ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ ('ohne Motor'), /* implementation_level */ '2;1'); FILE_NAME( /* name */ '\\\\fileserver\\Daten2\\STEP Daten fuer PIM\\291796-0.step', /* time_stamp */ '2021-09-06T10:23:19+02:00', /* author */ ('MuellerJ'), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v18.1', /* originating_system */ 'Autodesk Inventor 2021', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#717,#718, #719,#720,#721,#722,#723,#724,#725,#726,#727,#728,#729,#730,#731,#732,#733, #734,#735,#736,#737,#738,#739,#740,#741,#742,#743,#744,#745,#746,#747,#748, #749,#750,#751,#752,#753,#754,#755,#756,#757,#758,#759,#760,#761,#762,#763, #764,#765,#766,#767,#768,#769,#770,#771,#772,#773,#774,#775,#776,#777,#778, #779,#780,#781,#782,#783,#784,#785),#49929); #11=ITEM_DEFINED_TRANSFORMATION($,$,#30111,#32587); #12=ITEM_DEFINED_TRANSFORMATION($,$,#30111,#32588); #13=ITEM_DEFINED_TRANSFORMATION($,$,#30235,#32589); #14=ITEM_DEFINED_TRANSFORMATION($,$,#30235,#32590); #15=ITEM_DEFINED_TRANSFORMATION($,$,#30278,#32591); #16=ITEM_DEFINED_TRANSFORMATION($,$,#30278,#32592); #17=ITEM_DEFINED_TRANSFORMATION($,$,#30515,#32593); #18=ITEM_DEFINED_TRANSFORMATION($,$,#30515,#32594); #19=ITEM_DEFINED_TRANSFORMATION($,$,#30542,#32595); #20=ITEM_DEFINED_TRANSFORMATION($,$,#30956,#32596); #21=ITEM_DEFINED_TRANSFORMATION($,$,#30967,#32597); #22=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32598); #23=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32599); #24=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32600); #25=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32601); #26=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32602); #27=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32603); #28=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32604); #29=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32605); #30=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32606); #31=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32607); #32=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32608); #33=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32609); #34=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32610); #35=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32611); #36=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32612); #37=ITEM_DEFINED_TRANSFORMATION($,$,#31025,#32613); #38=ITEM_DEFINED_TRANSFORMATION($,$,#31053,#32614); #39=ITEM_DEFINED_TRANSFORMATION($,$,#31053,#32615); #40=ITEM_DEFINED_TRANSFORMATION($,$,#31053,#32616); #41=ITEM_DEFINED_TRANSFORMATION($,$,#31053,#32617); #42=ITEM_DEFINED_TRANSFORMATION($,$,#31053,#32618); #43=ITEM_DEFINED_TRANSFORMATION($,$,#31053,#32619); #44=ITEM_DEFINED_TRANSFORMATION($,$,#31053,#32620); #45=ITEM_DEFINED_TRANSFORMATION($,$,#31053,#32621); #46=ITEM_DEFINED_TRANSFORMATION($,$,#31081,#32622); #47=ITEM_DEFINED_TRANSFORMATION($,$,#31081,#32623); #48=ITEM_DEFINED_TRANSFORMATION($,$,#31081,#32624); #49=ITEM_DEFINED_TRANSFORMATION($,$,#31081,#32625); #50=ITEM_DEFINED_TRANSFORMATION($,$,#31104,#32626); #51=ITEM_DEFINED_TRANSFORMATION($,$,#31166,#32627); #52=ITEM_DEFINED_TRANSFORMATION($,$,#31556,#32628); #53=ITEM_DEFINED_TRANSFORMATION($,$,#31556,#32629); #54=ITEM_DEFINED_TRANSFORMATION($,$,#31565,#32630); #55=ITEM_DEFINED_TRANSFORMATION($,$,#31565,#32631); #56=ITEM_DEFINED_TRANSFORMATION($,$,#31540,#32632); #57=ITEM_DEFINED_TRANSFORMATION($,$,#31540,#32633); #58=ITEM_DEFINED_TRANSFORMATION($,$,#31228,#32634); #59=ITEM_DEFINED_TRANSFORMATION($,$,#31359,#32635); #60=ITEM_DEFINED_TRANSFORMATION($,$,#31359,#32636); #61=ITEM_DEFINED_TRANSFORMATION($,$,#31359,#32637); #62=ITEM_DEFINED_TRANSFORMATION($,$,#31359,#32638); #63=ITEM_DEFINED_TRANSFORMATION($,$,#31387,#32639); #64=ITEM_DEFINED_TRANSFORMATION($,$,#31387,#32640); #65=ITEM_DEFINED_TRANSFORMATION($,$,#31565,#32641); #66=ITEM_DEFINED_TRANSFORMATION($,$,#31556,#32642); #67=ITEM_DEFINED_TRANSFORMATION($,$,#31540,#32643); #68=ITEM_DEFINED_TRANSFORMATION($,$,#31540,#32644); #69=ITEM_DEFINED_TRANSFORMATION($,$,#31556,#32645); #70=ITEM_DEFINED_TRANSFORMATION($,$,#31565,#32646); #71=ITEM_DEFINED_TRANSFORMATION($,$,#31565,#32647); #72=ITEM_DEFINED_TRANSFORMATION($,$,#31556,#32648); #73=ITEM_DEFINED_TRANSFORMATION($,$,#31540,#32649); #74=ITEM_DEFINED_TRANSFORMATION($,$,#31540,#32650); #75=ITEM_DEFINED_TRANSFORMATION($,$,#31556,#32651); #76=ITEM_DEFINED_TRANSFORMATION($,$,#31565,#32652); #77=ITEM_DEFINED_TRANSFORMATION($,$,#31593,#32653); #78=ITEM_DEFINED_TRANSFORMATION($,$,#31593,#32654); #79=ITEM_DEFINED_TRANSFORMATION($,$,#31593,#32655); #80=ITEM_DEFINED_TRANSFORMATION($,$,#31593,#32656); #81=ITEM_DEFINED_TRANSFORMATION($,$,#31646,#32657); #82=ITEM_DEFINED_TRANSFORMATION($,$,#31657,#32658); #83=ITEM_DEFINED_TRANSFORMATION($,$,#31657,#32659); #84=ITEM_DEFINED_TRANSFORMATION($,$,#31657,#32660); #85=ITEM_DEFINED_TRANSFORMATION($,$,#31657,#32661); #86=ITEM_DEFINED_TRANSFORMATION($,$,#31657,#32662); #87=ITEM_DEFINED_TRANSFORMATION($,$,#31657,#32663); #88=ITEM_DEFINED_TRANSFORMATION($,$,#31657,#32664); #89=ITEM_DEFINED_TRANSFORMATION($,$,#31657,#32665); #90=ITEM_DEFINED_TRANSFORMATION($,$,#31687,#32666); #91=ITEM_DEFINED_TRANSFORMATION($,$,#31687,#32667); #92=ITEM_DEFINED_TRANSFORMATION($,$,#31727,#32668); #93=ITEM_DEFINED_TRANSFORMATION($,$,#31727,#32669); #94=ITEM_DEFINED_TRANSFORMATION($,$,#31767,#32670); #95=ITEM_DEFINED_TRANSFORMATION($,$,#31767,#32671); #96=ITEM_DEFINED_TRANSFORMATION($,$,#31767,#32672); #97=ITEM_DEFINED_TRANSFORMATION($,$,#31767,#32673); #98=ITEM_DEFINED_TRANSFORMATION($,$,#31795,#32674); #99=ITEM_DEFINED_TRANSFORMATION($,$,#31795,#32675); #100=ITEM_DEFINED_TRANSFORMATION($,$,#31795,#32676); #101=ITEM_DEFINED_TRANSFORMATION($,$,#31795,#32677); #102=ITEM_DEFINED_TRANSFORMATION($,$,#31924,#32678); #103=ITEM_DEFINED_TRANSFORMATION($,$,#31924,#32679); #104=ITEM_DEFINED_TRANSFORMATION($,$,#31964,#32680); #105=ITEM_DEFINED_TRANSFORMATION($,$,#32559,#32681); #106=ITEM_DEFINED_TRANSFORMATION($,$,#32559,#32682); #107=( REPRESENTATION_RELATIONSHIP($,$,#50089,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#11) SHAPE_REPRESENTATION_RELATIONSHIP() ); #108=( REPRESENTATION_RELATIONSHIP($,$,#50089,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#12) SHAPE_REPRESENTATION_RELATIONSHIP() ); #109=( REPRESENTATION_RELATIONSHIP($,$,#50090,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#13) SHAPE_REPRESENTATION_RELATIONSHIP() ); #110=( REPRESENTATION_RELATIONSHIP($,$,#50090,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#14) SHAPE_REPRESENTATION_RELATIONSHIP() ); #111=( REPRESENTATION_RELATIONSHIP($,$,#50091,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#15) SHAPE_REPRESENTATION_RELATIONSHIP() ); #112=( REPRESENTATION_RELATIONSHIP($,$,#50091,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#16) SHAPE_REPRESENTATION_RELATIONSHIP() ); #113=( REPRESENTATION_RELATIONSHIP($,$,#50092,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#17) SHAPE_REPRESENTATION_RELATIONSHIP() ); #114=( REPRESENTATION_RELATIONSHIP($,$,#50092,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#18) SHAPE_REPRESENTATION_RELATIONSHIP() ); #115=( REPRESENTATION_RELATIONSHIP($,$,#50093,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#19) SHAPE_REPRESENTATION_RELATIONSHIP() ); #116=( REPRESENTATION_RELATIONSHIP($,$,#50094,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#20) SHAPE_REPRESENTATION_RELATIONSHIP() ); #117=( REPRESENTATION_RELATIONSHIP($,$,#50095,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#21) SHAPE_REPRESENTATION_RELATIONSHIP() ); #118=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#22) SHAPE_REPRESENTATION_RELATIONSHIP() ); #119=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#23) SHAPE_REPRESENTATION_RELATIONSHIP() ); #120=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#24) SHAPE_REPRESENTATION_RELATIONSHIP() ); #121=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25) SHAPE_REPRESENTATION_RELATIONSHIP() ); #122=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#26) SHAPE_REPRESENTATION_RELATIONSHIP() ); #123=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#27) SHAPE_REPRESENTATION_RELATIONSHIP() ); #124=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#28) SHAPE_REPRESENTATION_RELATIONSHIP() ); #125=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#29) SHAPE_REPRESENTATION_RELATIONSHIP() ); #126=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#30) SHAPE_REPRESENTATION_RELATIONSHIP() ); #127=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#31) SHAPE_REPRESENTATION_RELATIONSHIP() ); #128=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#32) SHAPE_REPRESENTATION_RELATIONSHIP() ); #129=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#33) SHAPE_REPRESENTATION_RELATIONSHIP() ); #130=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#34) SHAPE_REPRESENTATION_RELATIONSHIP() ); #131=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#35) SHAPE_REPRESENTATION_RELATIONSHIP() ); #132=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#36) SHAPE_REPRESENTATION_RELATIONSHIP() ); #133=( REPRESENTATION_RELATIONSHIP($,$,#50096,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#37) SHAPE_REPRESENTATION_RELATIONSHIP() ); #134=( REPRESENTATION_RELATIONSHIP($,$,#50097,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#38) SHAPE_REPRESENTATION_RELATIONSHIP() ); #135=( REPRESENTATION_RELATIONSHIP($,$,#50097,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#39) SHAPE_REPRESENTATION_RELATIONSHIP() ); #136=( REPRESENTATION_RELATIONSHIP($,$,#50097,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#40) SHAPE_REPRESENTATION_RELATIONSHIP() ); #137=( REPRESENTATION_RELATIONSHIP($,$,#50097,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#41) SHAPE_REPRESENTATION_RELATIONSHIP() ); #138=( REPRESENTATION_RELATIONSHIP($,$,#50097,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#42) SHAPE_REPRESENTATION_RELATIONSHIP() ); #139=( REPRESENTATION_RELATIONSHIP($,$,#50097,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#43) SHAPE_REPRESENTATION_RELATIONSHIP() ); #140=( REPRESENTATION_RELATIONSHIP($,$,#50097,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#44) SHAPE_REPRESENTATION_RELATIONSHIP() ); #141=( REPRESENTATION_RELATIONSHIP($,$,#50097,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#45) SHAPE_REPRESENTATION_RELATIONSHIP() ); #142=( REPRESENTATION_RELATIONSHIP($,$,#50098,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#46) SHAPE_REPRESENTATION_RELATIONSHIP() ); #143=( REPRESENTATION_RELATIONSHIP($,$,#50098,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#47) SHAPE_REPRESENTATION_RELATIONSHIP() ); #144=( REPRESENTATION_RELATIONSHIP($,$,#50098,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#48) SHAPE_REPRESENTATION_RELATIONSHIP() ); #145=( REPRESENTATION_RELATIONSHIP($,$,#50098,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#49) SHAPE_REPRESENTATION_RELATIONSHIP() ); #146=( REPRESENTATION_RELATIONSHIP($,$,#50099,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#50) SHAPE_REPRESENTATION_RELATIONSHIP() ); #147=( REPRESENTATION_RELATIONSHIP($,$,#50100,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#51) SHAPE_REPRESENTATION_RELATIONSHIP() ); #148=( REPRESENTATION_RELATIONSHIP($,$,#50105,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#52) SHAPE_REPRESENTATION_RELATIONSHIP() ); #149=( REPRESENTATION_RELATIONSHIP($,$,#50105,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#53) SHAPE_REPRESENTATION_RELATIONSHIP() ); #150=( REPRESENTATION_RELATIONSHIP($,$,#50106,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#54) SHAPE_REPRESENTATION_RELATIONSHIP() ); #151=( REPRESENTATION_RELATIONSHIP($,$,#50106,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#55) SHAPE_REPRESENTATION_RELATIONSHIP() ); #152=( REPRESENTATION_RELATIONSHIP($,$,#50104,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#56) SHAPE_REPRESENTATION_RELATIONSHIP() ); #153=( REPRESENTATION_RELATIONSHIP($,$,#50104,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#57) SHAPE_REPRESENTATION_RELATIONSHIP() ); #154=( REPRESENTATION_RELATIONSHIP($,$,#50101,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#58) SHAPE_REPRESENTATION_RELATIONSHIP() ); #155=( REPRESENTATION_RELATIONSHIP($,$,#50102,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#59) SHAPE_REPRESENTATION_RELATIONSHIP() ); #156=( REPRESENTATION_RELATIONSHIP($,$,#50102,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#60) SHAPE_REPRESENTATION_RELATIONSHIP() ); #157=( REPRESENTATION_RELATIONSHIP($,$,#50102,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#61) SHAPE_REPRESENTATION_RELATIONSHIP() ); #158=( REPRESENTATION_RELATIONSHIP($,$,#50102,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#62) SHAPE_REPRESENTATION_RELATIONSHIP() ); #159=( REPRESENTATION_RELATIONSHIP($,$,#50103,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#63) SHAPE_REPRESENTATION_RELATIONSHIP() ); #160=( REPRESENTATION_RELATIONSHIP($,$,#50103,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#64) SHAPE_REPRESENTATION_RELATIONSHIP() ); #161=( REPRESENTATION_RELATIONSHIP($,$,#50106,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#65) SHAPE_REPRESENTATION_RELATIONSHIP() ); #162=( REPRESENTATION_RELATIONSHIP($,$,#50105,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#66) SHAPE_REPRESENTATION_RELATIONSHIP() ); #163=( REPRESENTATION_RELATIONSHIP($,$,#50104,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#67) SHAPE_REPRESENTATION_RELATIONSHIP() ); #164=( REPRESENTATION_RELATIONSHIP($,$,#50104,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#68) SHAPE_REPRESENTATION_RELATIONSHIP() ); #165=( REPRESENTATION_RELATIONSHIP($,$,#50105,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#69) SHAPE_REPRESENTATION_RELATIONSHIP() ); #166=( REPRESENTATION_RELATIONSHIP($,$,#50106,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#70) SHAPE_REPRESENTATION_RELATIONSHIP() ); #167=( REPRESENTATION_RELATIONSHIP($,$,#50106,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#71) SHAPE_REPRESENTATION_RELATIONSHIP() ); #168=( REPRESENTATION_RELATIONSHIP($,$,#50105,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#72) SHAPE_REPRESENTATION_RELATIONSHIP() ); #169=( REPRESENTATION_RELATIONSHIP($,$,#50104,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#73) SHAPE_REPRESENTATION_RELATIONSHIP() ); #170=( REPRESENTATION_RELATIONSHIP($,$,#50104,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#74) SHAPE_REPRESENTATION_RELATIONSHIP() ); #171=( REPRESENTATION_RELATIONSHIP($,$,#50105,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#75) SHAPE_REPRESENTATION_RELATIONSHIP() ); #172=( REPRESENTATION_RELATIONSHIP($,$,#50106,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#76) SHAPE_REPRESENTATION_RELATIONSHIP() ); #173=( REPRESENTATION_RELATIONSHIP($,$,#50107,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#77) SHAPE_REPRESENTATION_RELATIONSHIP() ); #174=( REPRESENTATION_RELATIONSHIP($,$,#50107,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#78) SHAPE_REPRESENTATION_RELATIONSHIP() ); #175=( REPRESENTATION_RELATIONSHIP($,$,#50107,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#79) SHAPE_REPRESENTATION_RELATIONSHIP() ); #176=( REPRESENTATION_RELATIONSHIP($,$,#50107,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#80) SHAPE_REPRESENTATION_RELATIONSHIP() ); #177=( REPRESENTATION_RELATIONSHIP($,$,#50108,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#81) SHAPE_REPRESENTATION_RELATIONSHIP() ); #178=( REPRESENTATION_RELATIONSHIP($,$,#50109,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#82) SHAPE_REPRESENTATION_RELATIONSHIP() ); #179=( REPRESENTATION_RELATIONSHIP($,$,#50109,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#83) SHAPE_REPRESENTATION_RELATIONSHIP() ); #180=( REPRESENTATION_RELATIONSHIP($,$,#50109,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#84) SHAPE_REPRESENTATION_RELATIONSHIP() ); #181=( REPRESENTATION_RELATIONSHIP($,$,#50109,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#85) SHAPE_REPRESENTATION_RELATIONSHIP() ); #182=( REPRESENTATION_RELATIONSHIP($,$,#50109,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#86) SHAPE_REPRESENTATION_RELATIONSHIP() ); #183=( REPRESENTATION_RELATIONSHIP($,$,#50109,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#87) SHAPE_REPRESENTATION_RELATIONSHIP() ); #184=( REPRESENTATION_RELATIONSHIP($,$,#50109,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#88) SHAPE_REPRESENTATION_RELATIONSHIP() ); #185=( REPRESENTATION_RELATIONSHIP($,$,#50109,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#89) SHAPE_REPRESENTATION_RELATIONSHIP() ); #186=( REPRESENTATION_RELATIONSHIP($,$,#50110,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#90) SHAPE_REPRESENTATION_RELATIONSHIP() ); #187=( REPRESENTATION_RELATIONSHIP($,$,#50110,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#91) SHAPE_REPRESENTATION_RELATIONSHIP() ); #188=( REPRESENTATION_RELATIONSHIP($,$,#50111,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#92) SHAPE_REPRESENTATION_RELATIONSHIP() ); #189=( REPRESENTATION_RELATIONSHIP($,$,#50111,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#93) SHAPE_REPRESENTATION_RELATIONSHIP() ); #190=( REPRESENTATION_RELATIONSHIP($,$,#50112,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#94) SHAPE_REPRESENTATION_RELATIONSHIP() ); #191=( REPRESENTATION_RELATIONSHIP($,$,#50112,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#95) SHAPE_REPRESENTATION_RELATIONSHIP() ); #192=( REPRESENTATION_RELATIONSHIP($,$,#50112,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#96) SHAPE_REPRESENTATION_RELATIONSHIP() ); #193=( REPRESENTATION_RELATIONSHIP($,$,#50112,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#97) SHAPE_REPRESENTATION_RELATIONSHIP() ); #194=( REPRESENTATION_RELATIONSHIP($,$,#50113,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#98) SHAPE_REPRESENTATION_RELATIONSHIP() ); #195=( REPRESENTATION_RELATIONSHIP($,$,#50113,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#99) SHAPE_REPRESENTATION_RELATIONSHIP() ); #196=( REPRESENTATION_RELATIONSHIP($,$,#50113,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#100) SHAPE_REPRESENTATION_RELATIONSHIP() ); #197=( REPRESENTATION_RELATIONSHIP($,$,#50113,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#101) SHAPE_REPRESENTATION_RELATIONSHIP() ); #198=( REPRESENTATION_RELATIONSHIP($,$,#50114,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#102) SHAPE_REPRESENTATION_RELATIONSHIP() ); #199=( REPRESENTATION_RELATIONSHIP($,$,#50114,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#103) SHAPE_REPRESENTATION_RELATIONSHIP() ); #200=( REPRESENTATION_RELATIONSHIP($,$,#50115,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#104) SHAPE_REPRESENTATION_RELATIONSHIP() ); #201=( REPRESENTATION_RELATIONSHIP($,$,#50116,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#105) SHAPE_REPRESENTATION_RELATIONSHIP() ); #202=( REPRESENTATION_RELATIONSHIP($,$,#50116,#50088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#106) SHAPE_REPRESENTATION_RELATIONSHIP() ); #203=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#107,#49992); #204=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#108,#49993); #205=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#109,#49994); #206=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#110,#49995); #207=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#111,#49996); #208=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#112,#49997); #209=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#113,#49998); #210=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#114,#49999); #211=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#115,#50000); #212=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#116,#50001); #213=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#117,#50002); #214=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#118,#50003); #215=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#119,#50004); #216=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#120,#50005); #217=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#121,#50006); #218=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#122,#50007); #219=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#123,#50008); #220=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#124,#50009); #221=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#125,#50010); #222=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#126,#50011); #223=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#127,#50012); #224=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#128,#50013); #225=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#129,#50014); #226=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#130,#50015); #227=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#131,#50016); #228=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#132,#50017); #229=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#133,#50018); #230=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#134,#50019); #231=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#135,#50020); #232=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#136,#50021); #233=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#137,#50022); #234=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#138,#50023); #235=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#139,#50024); #236=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#140,#50025); #237=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#141,#50026); #238=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#142,#50027); #239=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#143,#50028); #240=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#144,#50029); #241=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#145,#50030); #242=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#146,#50031); #243=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#147,#50032); #244=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#148,#50033); #245=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#149,#50034); #246=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#150,#50035); #247=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#151,#50036); #248=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#152,#50037); #249=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#153,#50038); #250=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#154,#50039); #251=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#155,#50040); #252=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#156,#50041); #253=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#157,#50042); #254=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#158,#50043); #255=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#159,#50044); #256=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#160,#50045); #257=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#161,#50046); #258=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#162,#50047); #259=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#163,#50048); #260=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#164,#50049); #261=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#165,#50050); #262=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#166,#50051); #263=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#167,#50052); #264=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#168,#50053); #265=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#169,#50054); #266=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#170,#50055); #267=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#171,#50056); #268=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#172,#50057); #269=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#173,#50058); #270=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#174,#50059); #271=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#175,#50060); #272=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#176,#50061); #273=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#177,#50062); #274=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#178,#50063); #275=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#179,#50064); #276=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#180,#50065); #277=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#181,#50066); #278=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#182,#50067); #279=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#183,#50068); #280=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#184,#50069); #281=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#185,#50070); #282=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#186,#50071); #283=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#187,#50072); #284=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#188,#50073); #285=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#189,#50074); #286=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#190,#50075); #287=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#191,#50076); #288=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#192,#50077); #289=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#193,#50078); #290=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#194,#50079); #291=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#195,#50080); #292=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#196,#50081); #293=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#197,#50082); #294=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#198,#50083); #295=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#199,#50084); #296=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#200,#50085); #297=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#201,#50086); #298=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#202,#50087); #299=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Seitenplatte VS / MTW_1-00013558:1', 'Seitenplatte VS / MTW_1-00013558:1', 'Seitenplatte VS / MTW_1-00013558:1',#50118,#50119, 'Seitenplatte VS / MTW_1-00013558:1'); #300=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Seitenplatte VS / MTW_1-00013558:2', 'Seitenplatte VS / MTW_1-00013558:2', 'Seitenplatte VS / MTW_1-00013558:2',#50118,#50119, 'Seitenplatte VS / MTW_1-00013558:2'); #301=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Lagerflansch mit Bohrung GKF / 00046668:1', 'Lagerflansch mit Bohrung GKF / 00046668:1', 'Lagerflansch mit Bohrung GKF / 00046668:1',#50118,#50120, 'Lagerflansch mit Bohrung GKF / 00046668:1'); #302=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Lagerflansch mit Bohrung GKF / 00046668:2', 'Lagerflansch mit Bohrung GKF / 00046668:2', 'Lagerflansch mit Bohrung GKF / 00046668:2',#50118,#50120, 'Lagerflansch mit Bohrung GKF / 00046668:2'); #303=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'KL 2205 2RS / 25x52x18 / 00001856:1', 'KL 2205 2RS / 25x52x18 / 00001856:1', 'KL 2205 2RS / 25x52x18 / 00001856:1',#50118,#50121, 'KL 2205 2RS / 25x52x18 / 00001856:1'); #304=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'KL 2205 2RS / 25x52x18 / 00001856:2', 'KL 2205 2RS / 25x52x18 / 00001856:2', 'KL 2205 2RS / 25x52x18 / 00001856:2',#50118,#50121, 'KL 2205 2RS / 25x52x18 / 00001856:2'); #305=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei / 00025792:1', 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei / 00025792:1', 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei / 00025792:1', #50118,#50122, 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei / 00025792:1'); #306=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei / 00025792:2', 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei / 00025792:2', 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei / 00025792:2', #50118,#50122, 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei / 00025792:2'); #307=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Kettenrad GKF KU 200/265/314 / 00279838', 'Kettenrad GKF KU 200/265/314 / 00279838', 'Kettenrad GKF KU 200/265/314 / 00279838',#50118,#50123, 'Kettenrad GKF KU 200/265/314 / 00279838'); #308=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Passfeder DIN 6885 - A 8 x 7 x 36 / 00028726', 'Passfeder DIN 6885 - A 8 x 7 x 36 / 00028726', 'Passfeder DIN 6885 - A 8 x 7 x 36 / 00028726',#50118,#50124, 'Passfeder DIN 6885 - A 8 x 7 x 36 / 00028726'); #309=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Antriebswelle / 00047041', 'Antriebswelle / 00047041','Antriebswelle / 00047041',#50118,#50125, 'Antriebswelle / 00047041'); #310=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:1', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:1', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:1',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:1'); #311=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:2', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:2', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:2',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:2'); #312=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:3', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:3', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:3',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:3'); #313=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:4', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:4', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:4',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:4'); #314=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:5', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:5', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:5',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:5'); #315=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:6', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:6', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:6',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:6'); #316=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:7', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:7', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:7',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:7'); #317=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:8', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:8', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:8',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:8'); #318=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:9', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:9', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:9',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:9'); #319=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:10', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:10', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:10',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:10'); #320=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:11', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:11', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:11',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:11'); #321=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:12', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:12', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:12',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:12'); #322=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:13', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:13', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:13',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:13'); #323=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:14', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:14', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:14',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:14'); #324=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:15', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:15', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:15',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:15'); #325=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:16', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:16', 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:16',#50118,#50126, 'Zylinderkopfschraube DIN 7984 - M5 x 12 / 00000257:16'); #326=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:1', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:1', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:1',#50118,#50127, 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:1'); #327=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:2', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:2', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:2',#50118,#50127, 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:2'); #328=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:3', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:3', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:3',#50118,#50127, 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:3'); #329=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:4', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:4', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:4',#50118,#50127, 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:4'); #330=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:5', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:5', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:5',#50118,#50127, 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:5'); #331=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:6', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:6', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:6',#50118,#50127, 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:6'); #332=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:7', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:7', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:7',#50118,#50127, 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:7'); #333=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:8', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:8', 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:8',#50118,#50127, 'Zylinderkopfschraube DIN 7984 - M8 x 22 / 00000085:8'); #334=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Klemmplatte 90 / 00000697:1', 'Klemmplatte 90 / 00000697:1','Klemmplatte 90 / 00000697:1',#50118,#50128, 'Klemmplatte 90 / 00000697:1'); #335=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Klemmplatte 90 / 00000697:2', 'Klemmplatte 90 / 00000697:2','Klemmplatte 90 / 00000697:2',#50118,#50128, 'Klemmplatte 90 / 00000697:2'); #336=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Klemmplatte 90 / 00000697:3', 'Klemmplatte 90 / 00000697:3','Klemmplatte 90 / 00000697:3',#50118,#50128, 'Klemmplatte 90 / 00000697:3'); #337=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Klemmplatte 90 / 00000697:4', 'Klemmplatte 90 / 00000697:4','Klemmplatte 90 / 00000697:4',#50118,#50128, 'Klemmplatte 90 / 00000697:4'); #338=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'F\X\FChrung oben links GKF / MTW_1-00013512', 'F\X\FChrung oben links GKF / MTW_1-00013512', 'F\X\FChrung oben links GKF / MTW_1-00013512',#50118,#50129, 'F\X\FChrung oben links GKF / MTW_1-00013512'); #339=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'F\X\FChrung oben rechts GKF / MTW_1-00013504', 'F\X\FChrung oben rechts GKF / MTW_1-00013504', 'F\X\FChrung oben rechts GKF / MTW_1-00013504',#50118,#50130, 'F\X\FChrung oben rechts GKF / MTW_1-00013504'); #340=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:1', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:1', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:1',#50118,#50135, 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:1'); #341=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:2', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:2', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:2',#50118,#50135, 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:2'); #342=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:1', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:1', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:1',#50118,#50136, 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:1'); #343=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:2', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:2', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:2',#50118,#50136, 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:2'); #344=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter M05x13 verzinkt / 00002968:1', 'Gleitmutter M05x13 verzinkt / 00002968:1', 'Gleitmutter M05x13 verzinkt / 00002968:1',#50118,#50134, 'Gleitmutter M05x13 verzinkt / 00002968:1'); #345=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter M05x13 verzinkt / 00002968:2', 'Gleitmutter M05x13 verzinkt / 00002968:2', 'Gleitmutter M05x13 verzinkt / 00002968:2',#50118,#50134, 'Gleitmutter M05x13 verzinkt / 00002968:2'); #346=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Verdrehsicherung / MTW_1-00013556', 'Verdrehsicherung / MTW_1-00013556', 'Verdrehsicherung / MTW_1-00013556',#50118,#50131, 'Verdrehsicherung / MTW_1-00013556'); #347=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:1', 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:1', 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:1',#50118,#50132, 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:1'); #348=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:2', 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:2', 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:2',#50118,#50132, 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:2'); #349=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:3', 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:3', 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:3',#50118,#50132, 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:3'); #350=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:4', 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:4', 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:4',#50118,#50132, 'Zylinderkopfschraube DIN 7984 - M8 x 35 / 00000142:4'); #351=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitf\X\FChrung VS / MTW_1-00013528:1', 'Gleitf\X\FChrung VS / MTW_1-00013528:1', 'Gleitf\X\FChrung VS / MTW_1-00013528:1',#50118,#50133, 'Gleitf\X\FChrung VS / MTW_1-00013528:1'); #352=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitf\X\FChrung VS / MTW_1-00013528:2', 'Gleitf\X\FChrung VS / MTW_1-00013528:2', 'Gleitf\X\FChrung VS / MTW_1-00013528:2',#50118,#50133, 'Gleitf\X\FChrung VS / MTW_1-00013528:2'); #353=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:3', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:3', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:3',#50118,#50136, 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:3'); #354=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:3', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:3', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:3',#50118,#50135, 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:3'); #355=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter M05x13 verzinkt / 00002968:3', 'Gleitmutter M05x13 verzinkt / 00002968:3', 'Gleitmutter M05x13 verzinkt / 00002968:3',#50118,#50134, 'Gleitmutter M05x13 verzinkt / 00002968:3'); #356=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter M05x13 verzinkt / 00002968:4', 'Gleitmutter M05x13 verzinkt / 00002968:4', 'Gleitmutter M05x13 verzinkt / 00002968:4',#50118,#50134, 'Gleitmutter M05x13 verzinkt / 00002968:4'); #357=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:4', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:4', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:4',#50118,#50135, 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:4'); #358=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:4', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:4', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:4',#50118,#50136, 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:4'); #359=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:5', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:5', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:5',#50118,#50136, 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:5'); #360=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:5', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:5', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:5',#50118,#50135, 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:5'); #361=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter M05x13 verzinkt / 00002968:5', 'Gleitmutter M05x13 verzinkt / 00002968:5', 'Gleitmutter M05x13 verzinkt / 00002968:5',#50118,#50134, 'Gleitmutter M05x13 verzinkt / 00002968:5'); #362=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter M05x13 verzinkt / 00002968:6', 'Gleitmutter M05x13 verzinkt / 00002968:6', 'Gleitmutter M05x13 verzinkt / 00002968:6',#50118,#50134, 'Gleitmutter M05x13 verzinkt / 00002968:6'); #363=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:6', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:6', 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:6',#50118,#50135, 'Unterlegscheibe DIN 125 - A 5,3 / 00000920:6'); #364=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:6', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:6', 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:6',#50118,#50136, 'Zylinderkopfschraube DIN 7984 - M5 x 30 / 00025750:6'); #365=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Passschraube 10-M08-20 / MTW_1-00012776:1', 'Passschraube 10-M08-20 / MTW_1-00012776:1', 'Passschraube 10-M08-20 / MTW_1-00012776:1',#50118,#50137, 'Passschraube 10-M08-20 / MTW_1-00012776:1'); #366=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Passschraube 10-M08-20 / MTW_1-00012776:2', 'Passschraube 10-M08-20 / MTW_1-00012776:2', 'Passschraube 10-M08-20 / MTW_1-00012776:2',#50118,#50137, 'Passschraube 10-M08-20 / MTW_1-00012776:2'); #367=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Passschraube 10-M08-20 / MTW_1-00012776:3', 'Passschraube 10-M08-20 / MTW_1-00012776:3', 'Passschraube 10-M08-20 / MTW_1-00012776:3',#50118,#50137, 'Passschraube 10-M08-20 / MTW_1-00012776:3'); #368=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Passschraube 10-M08-20 / MTW_1-00012776:4', 'Passschraube 10-M08-20 / MTW_1-00012776:4', 'Passschraube 10-M08-20 / MTW_1-00012776:4',#50118,#50137, 'Passschraube 10-M08-20 / MTW_1-00012776:4'); #369=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Passfeder DIN 6885 - A 6 x 6 x 56 / 00000169', 'Passfeder DIN 6885 - A 6 x 6 x 56 / 00000169', 'Passfeder DIN 6885 - A 6 x 6 x 56 / 00000169',#50118,#50138, 'Passfeder DIN 6885 - A 6 x 6 x 56 / 00000169'); #370=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:1', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:1', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:1',#50118,#50139, 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:1'); #371=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:2', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:2', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:2',#50118,#50139, 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:2'); #372=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:3', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:3', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:3',#50118,#50139, 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:3'); #373=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:4', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:4', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:4',#50118,#50139, 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:4'); #374=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:5', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:5', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:5',#50118,#50139, 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:5'); #375=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:6', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:6', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:6',#50118,#50139, 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:6'); #376=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:7', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:7', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:7',#50118,#50139, 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:7'); #377=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:8', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:8', 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:8',#50118,#50139, 'Tr\X\E4gerstange GKF L = 105 mm / 00170035:8'); #378=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zwischenst\X\FCck GKF L = 40 mm / MTW_1-00013496:1', 'Zwischenst\X\FCck GKF L = 40 mm / MTW_1-00013496:1', 'Zwischenst\X\FCck GKF L = 40 mm / MTW_1-00013496:1',#50118,#50140, 'Zwischenst\X\FCck GKF L = 40 mm / MTW_1-00013496:1'); #379=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zwischenst\X\FCck GKF L = 40 mm / MTW_1-00013496:2', 'Zwischenst\X\FCck GKF L = 40 mm / MTW_1-00013496:2', 'Zwischenst\X\FCck GKF L = 40 mm / MTW_1-00013496:2',#50118,#50140, 'Zwischenst\X\FCck GKF L = 40 mm / MTW_1-00013496:2'); #380=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zwischenst\X\FCck GKF L = 30 mm / MTW_1-00013495:1', 'Zwischenst\X\FCck GKF L = 30 mm / MTW_1-00013495:1', 'Zwischenst\X\FCck GKF L = 30 mm / MTW_1-00013495:1',#50118,#50141, 'Zwischenst\X\FCck GKF L = 30 mm / MTW_1-00013495:1'); #381=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zwischenst\X\FCck GKF L = 30 mm / MTW_1-00013495:2', 'Zwischenst\X\FCck GKF L = 30 mm / MTW_1-00013495:2', 'Zwischenst\X\FCck GKF L = 30 mm / MTW_1-00013495:2',#50118,#50141, 'Zwischenst\X\FCck GKF L = 30 mm / MTW_1-00013495:2'); #382=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:1', 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:1', 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:1',#50118,#50142, 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:1'); #383=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:2', 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:2', 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:2',#50118,#50142, 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:2'); #384=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:3', 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:3', 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:3',#50118,#50142, 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:3'); #385=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:4', 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:4', 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:4',#50118,#50142, 'Zylinderkopfschraube DIN 7984 - M8 x 25 / 00000057:4'); #386=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:1', 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:1', 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:1',#50118,#50143, 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:1'); #387=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:2', 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:2', 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:2',#50118,#50143, 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:2'); #388=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:3', 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:3', 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:3',#50118,#50143, 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:3'); #389=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:4', 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:4', 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:4',#50118,#50143, 'Gleitmutter 30 M08 mit Federblech / MTW_1-00126777:4'); #390=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zwischenst\X\FCck GKF L = 17,5 mm / MTW_1-00013494:1', 'Zwischenst\X\FCck GKF L = 17,5 mm / MTW_1-00013494:1', 'Zwischenst\X\FCck GKF L = 17,5 mm / MTW_1-00013494:1',#50118,#50144, 'Zwischenst\X\FCck GKF L = 17,5 mm / MTW_1-00013494:1'); #391=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zwischenst\X\FCck GKF L = 17,5 mm / MTW_1-00013494:2', 'Zwischenst\X\FCck GKF L = 17,5 mm / MTW_1-00013494:2', 'Zwischenst\X\FCck GKF L = 17,5 mm / MTW_1-00013494:2',#50118,#50144, 'Zwischenst\X\FCck GKF L = 17,5 mm / MTW_1-00013494:2'); #392=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Einlauftrichter 200/265/314 KU / MTW_1-00026280', 'Einlauftrichter 200/265/314 KU / MTW_1-00026280', 'Einlauftrichter 200/265/314 KU / MTW_1-00026280',#50118,#50145, 'Einlauftrichter 200/265/314 KU / MTW_1-00026280'); #393=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M6 x 12 / 00000035:1', 'Zylinderkopfschraube DIN 7984 - M6 x 12 / 00000035:1', 'Zylinderkopfschraube DIN 7984 - M6 x 12 / 00000035:1',#50118,#50146, 'Zylinderkopfschraube DIN 7984 - M6 x 12 / 00000035:1'); #394=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'Zylinderkopfschraube DIN 7984 - M6 x 12 / 00000035:2', 'Zylinderkopfschraube DIN 7984 - M6 x 12 / 00000035:2', 'Zylinderkopfschraube DIN 7984 - M6 x 12 / 00000035:2',#50118,#50146, 'Zylinderkopfschraube DIN 7984 - M6 x 12 / 00000035:2'); #395=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#49260,#49261,#49262,#49263,#49264), (#49265,#49266,#49267,#49268,#49269),(#49270,#49271,#49272,#49273,#49274), (#49275,#49276,#49277,#49278,#49279),(#49280,#49281,#49282,#49283,#49284)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0.,0.5,1.),(-0.726775054319686, -0.103825007759949,0.7267750543197),.UNSPECIFIED.); #396=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#49573,#49574,#49575,#49576,#49577), (#49578,#49579,#49580,#49581,#49582),(#49583,#49584,#49585,#49586,#49587), (#49588,#49589,#49590,#49591,#49592),(#49593,#49594,#49595,#49596,#49597)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0.,0.5,1.),(-0.726775054319686, -0.103825007759949,0.7267750543197),.UNSPECIFIED.); #397=ELLIPSE('',#31828,4.73427220735492,4.1); #398=ELLIPSE('',#31829,4.73427220735492,4.1); #399=ELLIPSE('',#31830,4.73427220735492,4.1); #400=ELLIPSE('',#31831,4.73427220735492,4.1); #401=ELLIPSE('',#31852,4.70013877454698,3.3235); #402=ELLIPSE('',#31853,4.70013877454698,3.3235); #403=ELLIPSE('',#31856,4.70013877454698,3.3235); #404=ELLIPSE('',#31857,4.70013877454698,3.3235); #405=ELLIPSE('',#31867,0.618025818396923,0.355995746701672); #406=ELLIPSE('',#31868,0.971859180118202,0.562241744843969); #407=ELLIPSE('',#31869,0.618025818396923,0.355995746701672); #408=ELLIPSE('',#31883,0.618025818396923,0.355995746701672); #409=ELLIPSE('',#31884,0.971859180118202,0.562241744843966); #410=ELLIPSE('',#31885,0.618025818396923,0.355995746701672); #411=ELLIPSE('',#31889,0.971859180118202,0.562241744843969); #412=ELLIPSE('',#31890,0.618025818396923,0.355995746701672); #413=ELLIPSE('',#31891,0.618025818396923,0.355995746701672); #414=ELLIPSE('',#31896,0.971859180118202,0.562241744843966); #415=ELLIPSE('',#31897,0.618025818396923,0.355995746701672); #416=ELLIPSE('',#31898,0.618025818396923,0.355995746701672); #417=ELLIPSE('',#32365,5.84760880032609,2.); #418=ELLIPSE('',#32407,6.18017222103494,2.); #419=ELLIPSE('',#32409,6.180172221035,2.); #420=ELLIPSE('',#32411,3.43912685062775,2.); #421=ELLIPSE('',#32417,1.12469936554778,1.); #422=ELLIPSE('',#32418,2.065175213599,2.00000000000003); #423=ELLIPSE('',#32426,1.4142135623731,1.); #424=ELLIPSE('',#32431,3.43912685062788,2.); #425=ELLIPSE('',#32478,5.84760880032609,2.); #426=ELLIPSE('',#32509,1.4142135623731,1.); #427=ELLIPSE('',#32519,6.18017222103494,2.); #428=ELLIPSE('',#32521,6.180172221035,2.); #429=ELLIPSE('',#32523,3.43912685062775,2.); #430=ELLIPSE('',#32529,2.065175213599,2.00000000000003); #431=ELLIPSE('',#32530,1.12469936554778,1.); #432=ELLIPSE('',#32542,3.43912685062788,2.); #433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43705,#43706,#43707,#43708,#43709, #43710,#43711,#43712,#43713,#43714,#43715,#43716,#43717,#43718,#43719,#43720, #43721,#43722,#43723,#43724,#43725,#43726,#43727,#43728,#43729,#43730,#43731, #43732,#43733,#43734,#43735,#43736,#43737,#43738,#43739),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,3,2,2,2,2,2,2,2,4),(-4.12885445481462,-3.95518872465942, -3.39014734085206,-2.82511410117438,-2.2600808614967,-1.69505763664849, -1.13003441180028,-0.56501720590014,0.,0.565018708854355,1.13003741770871, 1.69506427097947,2.26009112425023,2.82512799230817,3.39016486036611,3.9552077470854, 4.1288652538566),.UNSPECIFIED.); #434=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43757,#43758,#43759,#43760,#43761, #43762,#43763,#43764,#43765,#43766,#43767,#43768,#43769,#43770,#43771,#43772, #43773,#43774,#43775,#43776,#43777,#43778,#43779,#43780,#43781,#43782,#43783, #43784,#43785,#43786,#43787,#43788,#43789,#43790,#43791),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,3,2,2,2,2,2,2,2,4),(-4.12885550110384,-3.95519060714144, -3.39014912029166,-2.82511554715949,-2.26008197402732,-1.69505838064355, -1.13003478725978,-0.565017393629891,0.,0.565018605805189,1.13003721161038, 1.69506373142016,2.26009025122995,2.82512675075414,3.39016325027834,3.95520594926943, 4.12886421083854),.UNSPECIFIED.); #435=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43861,#43862,#43863,#43864,#43865, #43866,#43867,#43868,#43869,#43870),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.455064911568751, -0.341315631981151,-0.22756635239355,-0.113783176196775,0.), .UNSPECIFIED.); #436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43872,#43873,#43874,#43875,#43876, #43877,#43878,#43879,#43880,#43881),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.113783176196775,0.227566352393549,0.34131563198115,0.455064911568751), .UNSPECIFIED.); #437=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43885,#43886,#43887,#43888,#43889, #43890,#43891,#43892,#43893,#43894),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.455064911568753, -0.341315631981153,-0.227566352393553,-0.113783176196776,0.), .UNSPECIFIED.); #438=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43895,#43896,#43897,#43898,#43899, #43900,#43901,#43902,#43903,#43904),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.113783176196776,0.227566352393553,0.341315631981153,0.455064911568753), .UNSPECIFIED.); #439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43952,#43953,#43954,#43955,#43956, #43957,#43958,#43959,#43960,#43961),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.151481628030178,0.302963256060356,0.45441723360419,0.605871211148024), .UNSPECIFIED.); #440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43963,#43964,#43965,#43966,#43967, #43968,#43969,#43970,#43971,#43972),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.605871211148024, -0.45441723360419,-0.302963256060356,-0.151481628030178,0.), .UNSPECIFIED.); #441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43988,#43989,#43990,#43991,#43992, #43993,#43994,#43995,#43996,#43997),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.151481628030179,0.302963256060357,0.454417233604191,0.605871211148025), .UNSPECIFIED.); #442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43998,#43999,#44000,#44001,#44002, #44003,#44004,#44005,#44006,#44007),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.605871211148024, -0.454417233604191,-0.302963256060357,-0.151481628030179,0.), .UNSPECIFIED.); #443=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46206,#46207,#46208,#46209,#46210, #46211,#46212,#46213,#46214,#46215),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.21596324050134, 0.254911033668988,0.293858826836637,0.331815095123863,0.369771363411089), .UNSPECIFIED.); #444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46219,#46220,#46221,#46222,#46223, #46224,#46225,#46226,#46227,#46228),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.690584479386119, 0.728510222045555,0.766435964704991,0.805237738080921,0.84403951145685), .UNSPECIFIED.); #445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46244,#46245,#46246,#46247,#46248, #46249,#46250,#46251,#46252,#46253),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.537129447315387, 0.575931220691317,0.614732994067246,0.652658736726682,0.690584479386119), .UNSPECIFIED.); #446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46260,#46261,#46262,#46263,#46264, #46265,#46266,#46267,#46268,#46269),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.191837207622328, 0.230638980998257,0.269440754374187,0.307366497033623,0.345292239693059), .UNSPECIFIED.); #447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46273,#46274,#46275,#46276,#46277, #46278,#46279,#46280,#46281,#46282),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.369771363411089, 0.407727631698315,0.445683899985541,0.484631693153189,0.523579486320838), .UNSPECIFIED.); #448=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46297,#46298,#46299,#46300,#46301, #46302,#46303,#46304,#46305,#46306),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.345292239693059, 0.383217982352496,0.421143725011932,0.459945498387861,0.498747271763791), .UNSPECIFIED.); #449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46311,#46312,#46313,#46314,#46315, #46316,#46317,#46318,#46319,#46320),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.369771363411089, 0.407727631698315,0.445683899985541,0.484631693153189,0.523579486320838), .UNSPECIFIED.); #450=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46341,#46342,#46343,#46344,#46345, #46346,#46347,#46348,#46349,#46350),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.21596324050134, 0.254911033668989,0.293858826836637,0.331815095123863,0.369771363411089), .UNSPECIFIED.); #451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46393,#46394,#46395,#46396,#46397, #46398,#46399,#46400,#46401,#46402),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.71377503166112, 1.74397889516365,1.81081165219701,1.95322684742462,1.95544169614428), .UNSPECIFIED.); #452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46406,#46407,#46408,#46409,#46410, #46411,#46412,#46413,#46414,#46415),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.75507784367048, 1.78617068763752,1.85452790730926,1.99881472923905,2.00279934215822), .UNSPECIFIED.); #453=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46417,#46418,#46419,#46420,#46421, #46422,#46423,#46424,#46425,#46426),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.854669392734674, 0.858654005652738,1.00294082758253,1.07129804725427,1.10239089122131), .UNSPECIFIED.); #454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46433,#46434,#46435,#46436,#46437, #46438,#46439,#46440,#46441,#46442),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.838747694058702, 0.840962542778363,0.983377738005979,1.05021049503933,1.08041435854186), .UNSPECIFIED.); #455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46484,#46485,#46486,#46487,#46488, #46489,#46490,#46491,#46492,#46493),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.458247517043151, 0.474934341268352,0.638772382719156,0.720691403444558,0.757888618488309), .UNSPECIFIED.); #456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46495,#46496,#46497,#46498,#46499, #46500),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.757888618488309,0.80261042416996, 0.84733222985161),.UNSPECIFIED.); #457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46502,#46503,#46504,#46505,#46506, #46507,#46508,#46509,#46510,#46511),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.84733222985161, 0.884529444895362,0.966448465620763,1.13028650707157,1.14697333129677), .UNSPECIFIED.); #458=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46521,#46522,#46523,#46524,#46525, #46526,#46527,#46528,#46529,#46530),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.45824751704315, 0.474934341268352,0.638772382719155,0.720691403444557,0.802610424169959), .UNSPECIFIED.); #459=B_SPLINE_CURVE_WITH_KNOTS('',3,(#46546,#46547,#46548,#46549,#46550, #46551,#46552,#46553,#46554,#46555),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.802610424169959, 0.88452944489536,0.966448465620762,1.13028650707157,1.14697333129677), .UNSPECIFIED.); #460=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#43701,#43702,#43703),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.0692261022797965,-0.032602932131283), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.10525017520686,1.077875582142,1.05000789915872)) REPRESENTATION_ITEM('') ); #461=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#43740,#43741,#43742),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-4.1722396806326,-4.13561636834712), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05000767700334,1.07787630387653,1.10525182412585)) REPRESENTATION_ITEM('') ); #462=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#43746,#43747,#43748),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(4.1356025902356,4.1722257707194), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.10525032029255,1.0778756404555,1.0500078691312)) REPRESENTATION_ITEM('') ); #463=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#43792,#43793,#43794),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-4.17223816745307,-4.13561486951363), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05000776224579,1.07787629676285,1.10525172628527)) REPRESENTATION_ITEM('') ); #464=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44095,#44096,#44097),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #465=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44102,#44103,#44104),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837926,1.)) REPRESENTATION_ITEM('') ); #466=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44108,#44109,#44110),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #467=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44114,#44115,#44116),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711689),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #468=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44120,#44121,#44122),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.087632710355844),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.07735026918962,1.07735026918962)) REPRESENTATION_ITEM('') ); #469=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44125,#44126,#44127),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.087632710355844,0.175265420711688), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07735026918962,1.07735026918962,1.)) REPRESENTATION_ITEM('') ); #470=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44129,#44130,#44131),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #471=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44184,#44185,#44186),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #472=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44191,#44192,#44193),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #473=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44197,#44198,#44199),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #474=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44203,#44204,#44205),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #475=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44209,#44210,#44211),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.146054517259741),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.07735026918963,1.07735026918963)) REPRESENTATION_ITEM('') ); #476=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44214,#44215,#44216),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.146054517259741,0.292109034519481), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07735026918963,1.07735026918963,1.)) REPRESENTATION_ITEM('') ); #477=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#44218,#44219,#44220),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #478=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45019,#45020,#45021),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #479=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45026,#45027,#45028),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #480=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45032,#45033,#45034),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #481=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45038,#45039,#45040),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #482=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45044,#45045,#45046),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.146054517259741),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.07735026918963,1.07735026918963)) REPRESENTATION_ITEM('') ); #483=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45049,#45050,#45051),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.146054517259741,0.292109034519481), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07735026918963,1.07735026918963,1.)) REPRESENTATION_ITEM('') ); #484=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45053,#45054,#45055),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #485=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45509,#45510,#45511),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.19663798159321,12.2197698834055), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.06248991781544,1.32212546679995,1.06248991781544)) REPRESENTATION_ITEM('') ); #486=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45516,#45517,#45518),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(2.94615949644706,5.53912187779148), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05666366847998,1.06833633152002,1.05666366847998)) REPRESENTATION_ITEM('') ); #487=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45525,#45526,#45527),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.19663798159321,12.2197698834055), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.06248991781544,1.32212546679995,1.06248991781544)) REPRESENTATION_ITEM('') ); #488=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45533,#45534,#45535),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(2.94615949644707,5.53912187779149), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05666366847998,1.06833633152002,1.05666366847998)) REPRESENTATION_ITEM('') ); #489=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45540,#45541,#45542),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.19663798159321,12.2197698834055), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.06248991781544,1.32212546679995,1.06248991781544)) REPRESENTATION_ITEM('') ); #490=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45548,#45549,#45550),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(2.94615949644707,5.53912187779149), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05666366847997,1.06833633152002,1.05666366847997)) REPRESENTATION_ITEM('') ); #491=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45557,#45558,#45559),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(6.70820393249937,12.2197698834055), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.19230769230769,1.19230769230769,1.06248991781544)) REPRESENTATION_ITEM('') ); #492=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45560,#45561,#45562),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.19663798159321,6.70820393249937), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.06248991781544,1.19230769230769,1.19230769230769)) REPRESENTATION_ITEM('') ); #493=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45566,#45567,#45568),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(2.94615949644707,5.53912187779149), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05666366847998,1.06833633152002,1.05666366847998)) REPRESENTATION_ITEM('') ); #494=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45645,#45646,#45647),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711685),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #495=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45652,#45653,#45654),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711685),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #496=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45658,#45659,#45660),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711685),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837924,1.)) REPRESENTATION_ITEM('') ); #497=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45664,#45665,#45666),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711685),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #498=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45670,#45671,#45672),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0876327103558426),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.07735026918962,1.07735026918962)) REPRESENTATION_ITEM('') ); #499=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45675,#45676,#45677),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0876327103558426,0.175265420711685), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07735026918962,1.07735026918962,1.)) REPRESENTATION_ITEM('') ); #500=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45679,#45680,#45681),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711685),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #501=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45830,#45831,#45832),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0610999376035321),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00150858696295,1.)) REPRESENTATION_ITEM('') ); #502=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45836,#45837,#45838),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0610999376035321),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00150858696295,1.)) REPRESENTATION_ITEM('') ); #503=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45864,#45865,#45866),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0610999376035321),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00150858696295,1.)) REPRESENTATION_ITEM('') ); #504=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#45869,#45870,#45871),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0610999376035321),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00150858696295,1.)) REPRESENTATION_ITEM('') ); #505=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#46162,#46163,#46164),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #506=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#46169,#46170,#46171),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #507=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#46175,#46176,#46177),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #508=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#46181,#46182,#46183),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #509=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#46187,#46188,#46189),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.146054517259741),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.07735026918963,1.07735026918963)) REPRESENTATION_ITEM('') ); #510=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#46192,#46193,#46194),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.146054517259741,0.292109034519481), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07735026918963,1.07735026918963,1.)) REPRESENTATION_ITEM('') ); #511=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#46196,#46197,#46198),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.292109034519481),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #512=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49213,#49214,#49215),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(7.34332536994737,13.2303854206141), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.36417179479266,1.36417179479266,1.13011691761993)) REPRESENTATION_ITEM('') ); #513=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49333,#49334,#49335),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(7.66342298494354,15.3268459698872), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.21006226146292,1.21006226146292,1.)) REPRESENTATION_ITEM('') ); #514=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49349,#49350,#49351),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(7.34332536994734,13.2303854205784), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.36417179479267,1.36417179479266,1.13011691762276)) REPRESENTATION_ITEM('') ); #515=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49529,#49530,#49531),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(7.34332536994737,13.2303854206141), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.36417179479266,1.36417179479266,1.13011691761993)) REPRESENTATION_ITEM('') ); #516=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49642,#49643,#49644),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(7.66342298494354,15.3268459698872), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.21006226146292,1.21006226146292,1.)) REPRESENTATION_ITEM('') ); #517=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49656,#49657,#49658),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(7.34332536994734,13.2303854205784), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.36417179479267,1.36417179479266,1.13011691762276)) REPRESENTATION_ITEM('') ); #518=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49734,#49735,#49736),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.233687227615583),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #519=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49741,#49742,#49743),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.233687227615583),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837926,1.)) REPRESENTATION_ITEM('') ); #520=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49747,#49748,#49749),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.233687227615583),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #521=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49753,#49754,#49755),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.233687227615584),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #522=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49759,#49760,#49761),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.116843613807792),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.07735026918963,1.07735026918963)) REPRESENTATION_ITEM('') ); #523=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49764,#49765,#49766),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.116843613807792,0.233687227615583), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07735026918963,1.07735026918963,1.)) REPRESENTATION_ITEM('') ); #524=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#49768,#49769,#49770),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.233687227615583),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #525=ORIENTED_CLOSED_SHELL('',*,#29479,.F.); #526=SPHERICAL_SURFACE('',#30385,3.572); #527=SPHERICAL_SURFACE('',#30391,3.572); #528=SPHERICAL_SURFACE('',#30397,3.572); #529=SPHERICAL_SURFACE('',#30403,3.572); #530=SPHERICAL_SURFACE('',#30409,3.572); #531=SPHERICAL_SURFACE('',#30415,3.572); #532=SPHERICAL_SURFACE('',#30421,3.572); #533=SPHERICAL_SURFACE('',#30427,3.572); #534=SPHERICAL_SURFACE('',#30433,3.572); #535=SPHERICAL_SURFACE('',#30439,3.572); #536=SPHERICAL_SURFACE('',#30445,3.572); #537=SPHERICAL_SURFACE('',#30451,3.572); #538=SPHERICAL_SURFACE('',#30457,3.572); #539=SPHERICAL_SURFACE('',#30460,3.572); #540=SPHERICAL_SURFACE('',#30463,3.572); #541=SPHERICAL_SURFACE('',#30466,3.572); #542=SPHERICAL_SURFACE('',#30469,3.572); #543=SPHERICAL_SURFACE('',#30472,3.572); #544=SPHERICAL_SURFACE('',#30476,3.572); #545=SPHERICAL_SURFACE('',#30479,3.572); #546=SPHERICAL_SURFACE('',#30482,3.572); #547=SPHERICAL_SURFACE('',#30485,3.572); #548=SPHERICAL_SURFACE('',#30488,3.572); #549=SPHERICAL_SURFACE('',#30491,3.572); #550=SPHERICAL_SURFACE('',#30495,22.9150010015335); #551=SPHERICAL_SURFACE('',#32351,2.); #552=SPHERICAL_SURFACE('',#32354,2.); #553=SPHERICAL_SURFACE('',#32374,2.); #554=SPHERICAL_SURFACE('',#32376,2.); #555=SPHERICAL_SURFACE('',#32381,2.); #556=SPHERICAL_SURFACE('',#32468,2.); #557=SPHERICAL_SURFACE('',#32471,2.); #558=SPHERICAL_SURFACE('',#32487,2.); #559=SPHERICAL_SURFACE('',#32489,2.); #560=SPHERICAL_SURFACE('',#32494,2.); #561=TOROIDAL_SURFACE('',#30285,13.5,0.999999999999993); #562=TOROIDAL_SURFACE('',#30292,13.5,0.999999999999997); #563=TOROIDAL_SURFACE('',#30302,25.,0.999999999999994); #564=TOROIDAL_SURFACE('',#30309,25.,0.999999999999993); #565=TOROIDAL_SURFACE('',#30325,17.050001,0.335410196624967); #566=TOROIDAL_SURFACE('',#30333,22.050001,0.224999999999998); #567=TOROIDAL_SURFACE('',#30347,17.050001,0.335410196624967); #568=TOROIDAL_SURFACE('',#30355,22.050001,0.224999999999998); #569=TOROIDAL_SURFACE('',#30500,19.0459995,3.57199999999999); #570=TOROIDAL_SURFACE('',#30506,19.0459995,3.57200000000002); #571=TOROIDAL_SURFACE('',#30926,49.,4.); #572=TOROIDAL_SURFACE('',#30938,40.,5.); #573=TOROIDAL_SURFACE('',#30952,40.,5.); #574=TOROIDAL_SURFACE('',#30954,49.,4.); #575=TOROIDAL_SURFACE('',#31026,2.6,0.1); #576=TOROIDAL_SURFACE('',#31039,3.75,0.5); #577=TOROIDAL_SURFACE('',#31054,4.1,0.1); #578=TOROIDAL_SURFACE('',#31067,5.7,0.8); #579=TOROIDAL_SURFACE('',#31360,4.1,0.1); #580=TOROIDAL_SURFACE('',#31373,5.7,0.8); #581=TOROIDAL_SURFACE('',#31566,2.6,0.1); #582=TOROIDAL_SURFACE('',#31579,3.75,0.5); #583=TOROIDAL_SURFACE('',#31601,3.59999999999993,0.599999999999929); #584=TOROIDAL_SURFACE('',#31609,3.59999999999801,0.599999999998008); #585=TOROIDAL_SURFACE('',#31620,7.3999999999999,0.600000000000098); #586=TOROIDAL_SURFACE('',#31629,5.40000000000005,0.600000000000047); #587=TOROIDAL_SURFACE('',#31636,5.39999999999638,0.599999999996378); #588=TOROIDAL_SURFACE('',#31768,4.1,0.1); #589=TOROIDAL_SURFACE('',#31781,5.7,0.8); #590=TOROIDAL_SURFACE('',#32346,10.5,2.); #591=TOROIDAL_SURFACE('',#32369,10.5,2.); #592=TOROIDAL_SURFACE('',#32421,5.70020753820967,1.); #593=TOROIDAL_SURFACE('',#32463,10.5,2.); #594=TOROIDAL_SURFACE('',#32482,10.5,2.); #595=TOROIDAL_SURFACE('',#32533,5.70020753820967,1.); #596=TOROIDAL_SURFACE('',#32560,3.1,0.1); #597=TOROIDAL_SURFACE('',#32573,4.4,0.6); #598=BREP_WITH_VOIDS('Volumenk\X\F6rper1',#29478,(#525)); #599=CONICAL_SURFACE('',#30259,42.5,0.785398163397446); #600=CONICAL_SURFACE('',#30265,31.5,0.785398163397448); #601=CONICAL_SURFACE('',#30269,26.5,0.785398163397446); #602=CONICAL_SURFACE('',#30329,0.500000000000008,0.463647609000812); #603=CONICAL_SURFACE('',#30330,0.249999999999974,0.24497866312684); #604=CONICAL_SURFACE('',#30351,0.500000000000008,0.463647609000812); #605=CONICAL_SURFACE('',#30352,0.249999999999974,0.24497866312684); #606=CONICAL_SURFACE('',#30360,0.250000000000001,0.244978663126865); #607=CONICAL_SURFACE('',#30364,0.500000000000001,0.463647609000807); #608=CONICAL_SURFACE('',#30367,0.250000000000009,0.244978663126873); #609=CONICAL_SURFACE('',#30375,0.250000000000001,0.244978663126865); #610=CONICAL_SURFACE('',#30378,0.500000000000001,0.463647609000807); #611=CONICAL_SURFACE('',#30381,0.250000000000009,0.244978663126873); #612=CONICAL_SURFACE('',#30943,15.49990765299,0.785386413308598); #613=CONICAL_SURFACE('',#30945,15.49990881145,0.785386413308598); #614=CONICAL_SURFACE('',#30968,1.22925,1.02974425867665); #615=CONICAL_SURFACE('',#30972,9.5,0.785398163397441); #616=CONICAL_SURFACE('',#30978,12.,0.785398163397451); #617=CONICAL_SURFACE('',#30984,12.,0.785398163397442); #618=CONICAL_SURFACE('',#31036,2.25462,0.785398163397447); #619=CONICAL_SURFACE('',#31052,0.866025403784439,1.0471975511966); #620=CONICAL_SURFACE('',#31064,3.61659375,0.785398163397448); #621=CONICAL_SURFACE('',#31080,1.44337567297406,1.0471975511966); #622=CONICAL_SURFACE('',#31229,1.22925,1.02974425867665); #623=CONICAL_SURFACE('',#31233,1.22925,1.02974425867665); #624=CONICAL_SURFACE('',#31299,5.25,0.785398163397442); #625=CONICAL_SURFACE('',#31304,5.25,0.785398163397442); #626=CONICAL_SURFACE('',#31309,5.25,0.785398163397442); #627=CONICAL_SURFACE('',#31314,5.25,0.785398163397442); #628=CONICAL_SURFACE('',#31319,2.094,1.02974425867665); #629=CONICAL_SURFACE('',#31324,2.094,1.02974425867665); #630=CONICAL_SURFACE('',#31329,2.094,1.02974425867665); #631=CONICAL_SURFACE('',#31334,2.094,1.02974425867665); #632=CONICAL_SURFACE('',#31370,3.61659375,0.785398163397447); #633=CONICAL_SURFACE('',#31386,1.44337567297406,1.0471975511966); #634=CONICAL_SURFACE('',#31553,4.5,0.785398163397448); #635=CONICAL_SURFACE('',#31576,2.25462,0.785398163397448); #636=CONICAL_SURFACE('',#31592,0.866025403784439,1.0471975511966); #637=CONICAL_SURFACE('',#31613,3.54019237886465,0.523598775598195); #638=CONICAL_SURFACE('',#31617,3.6,0.78539816339745); #639=CONICAL_SURFACE('',#31640,4.9102222521133,0.261799387799092); #640=CONICAL_SURFACE('',#31667,4.85,0.785398163397445); #641=CONICAL_SURFACE('',#31669,4.85,0.785398163397445); #642=CONICAL_SURFACE('',#31675,4.85,0.785398163397445); #643=CONICAL_SURFACE('',#31679,1.0335,1.02974425867665); #644=CONICAL_SURFACE('',#31682,2.3,0.785398163397448); #645=CONICAL_SURFACE('',#31778,3.61659375,0.785398163397447); #646=CONICAL_SURFACE('',#31794,1.44337567297406,1.0471975511966); #647=CONICAL_SURFACE('',#31870,0.145,0.52558379355161); #648=CONICAL_SURFACE('',#31872,0.459999999999999,0.521834279814409); #649=CONICAL_SURFACE('',#31886,0.145,0.52558379355161); #650=CONICAL_SURFACE('',#31887,0.459999999999999,0.521834279814409); #651=CONICAL_SURFACE('',#31892,0.459999999999999,0.521834279814409); #652=CONICAL_SURFACE('',#31893,0.145,0.52558379355161); #653=CONICAL_SURFACE('',#31899,0.459999999999999,0.521834279814409); #654=CONICAL_SURFACE('',#31901,0.145,0.52558379355161); #655=CONICAL_SURFACE('',#32428,9.98339870845325,0.349065850398867); #656=CONICAL_SURFACE('',#32435,12.802476570811,0.349065850398867); #657=CONICAL_SURFACE('',#32539,9.98339870845325,0.349065850398867); #658=CONICAL_SURFACE('',#32546,12.802476570811,0.349065850398867); #659=CONICAL_SURFACE('',#32570,2.693275,0.785398163397448); #660=CONICAL_SURFACE('',#32586,1.15470053837925,1.0471975511966); #661=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50089,#689); #662=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50090,#690); #663=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50091,#691); #664=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50092,#692); #665=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50093,#693); #666=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50094,#694); #667=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50095,#695); #668=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50096,#696); #669=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50097,#697); #670=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50098,#698); #671=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50099,#699); #672=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50100,#700); #673=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50101,#701); #674=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50102,#702); #675=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50103,#703); #676=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50104,#704); #677=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50105,#705); #678=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50106,#706); #679=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50107,#707); #680=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50108,#708); #681=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50109,#709); #682=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50110,#710); #683=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50111,#711); #684=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50112,#712); #685=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50113,#713); #686=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50114,#714); #687=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50115,#715); #688=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50116,#716); #689=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#786),#49901); #690=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#787),#49902); #691=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#598),#49903); #692=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#788),#49904); #693=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#789),#49905); #694=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#790),#49906); #695=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#791),#49907); #696=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#792),#49908); #697=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#793),#49909); #698=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#794),#49910); #699=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#795),#49911); #700=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#796),#49912); #701=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#797),#49913); #702=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#798),#49914); #703=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#799),#49915); #704=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#800),#49916); #705=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#801),#49917); #706=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#802),#49918); #707=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#803),#49919); #708=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#804),#49920); #709=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#805),#49921); #710=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#806),#49922); #711=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#807),#49923); #712=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#808),#49924); #713=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#809),#49925); #714=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#810),#49926); #715=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#811),#49927); #716=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#812),#49928); #717=STYLED_ITEM('',(#29814),#786); #718=STYLED_ITEM('',(#29815),#787); #719=STYLED_ITEM('',(#29817),#28030); #720=STYLED_ITEM('',(#29817),#28039); #721=STYLED_ITEM('',(#29816),#598); #722=STYLED_ITEM('',(#29818),#788); #723=STYLED_ITEM('',(#29819),#789); #724=STYLED_ITEM('',(#29820),#790); #725=STYLED_ITEM('',(#29821),#28451); #726=STYLED_ITEM('',(#29821),#28457); #727=STYLED_ITEM('',(#29822),#28471); #728=STYLED_ITEM('',(#29822),#28475); #729=STYLED_ITEM('',(#29822),#28478); #730=STYLED_ITEM('',(#29821),#791); #731=STYLED_ITEM('',(#29823),#792); #732=STYLED_ITEM('',(#29824),#793); #733=STYLED_ITEM('',(#29824),#794); #734=STYLED_ITEM('',(#29826),#28527); #735=STYLED_ITEM('',(#29826),#28528); #736=STYLED_ITEM('',(#29826),#28529); #737=STYLED_ITEM('',(#29826),#28530); #738=STYLED_ITEM('',(#29826),#28531); #739=STYLED_ITEM('',(#29826),#28532); #740=STYLED_ITEM('',(#29826),#28533); #741=STYLED_ITEM('',(#29826),#28534); #742=STYLED_ITEM('',(#29826),#28535); #743=STYLED_ITEM('',(#29826),#28536); #744=STYLED_ITEM('',(#29826),#28537); #745=STYLED_ITEM('',(#29826),#28538); #746=STYLED_ITEM('',(#29826),#28539); #747=STYLED_ITEM('',(#29826),#28540); #748=STYLED_ITEM('',(#29826),#28541); #749=STYLED_ITEM('',(#29826),#28542); #750=STYLED_ITEM('',(#29826),#28543); #751=STYLED_ITEM('',(#29826),#28544); #752=STYLED_ITEM('',(#29826),#28545); #753=STYLED_ITEM('',(#29826),#28546); #754=STYLED_ITEM('',(#29826),#28547); #755=STYLED_ITEM('',(#29826),#28548); #756=STYLED_ITEM('',(#29826),#28549); #757=STYLED_ITEM('',(#29826),#28550); #758=STYLED_ITEM('',(#29826),#28551); #759=STYLED_ITEM('',(#29826),#28552); #760=STYLED_ITEM('',(#29826),#28553); #761=STYLED_ITEM('',(#29826),#28554); #762=STYLED_ITEM('',(#29826),#28555); #763=STYLED_ITEM('',(#29826),#28556); #764=STYLED_ITEM('',(#29826),#28557); #765=STYLED_ITEM('',(#29825),#795); #766=STYLED_ITEM('',(#29826),#796); #767=STYLED_ITEM('',(#29814),#797); #768=STYLED_ITEM('',(#29823),#798); #769=STYLED_ITEM('',(#29826),#799); #770=STYLED_ITEM('',(#29824),#800); #771=STYLED_ITEM('',(#29823),#801); #772=STYLED_ITEM('',(#29823),#802); #773=STYLED_ITEM('',(#29827),#803); #774=STYLED_ITEM('',(#29820),#804); #775=STYLED_ITEM('',(#29822),#28817); #776=STYLED_ITEM('',(#29821),#28824); #777=STYLED_ITEM('',(#29821),#28829); #778=STYLED_ITEM('',(#29821),#805); #779=STYLED_ITEM('',(#29826),#806); #780=STYLED_ITEM('',(#29826),#807); #781=STYLED_ITEM('',(#29823),#808); #782=STYLED_ITEM('',(#29823),#809); #783=STYLED_ITEM('',(#29826),#810); #784=STYLED_ITEM('',(#29826),#811); #785=STYLED_ITEM('',(#29823),#812); #786=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29476); #787=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29477); #788=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29480); #789=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29481); #790=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29482); #791=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29483); #792=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29484); #793=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29485); #794=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29486); #795=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29487); #796=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29488); #797=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29489); #798=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29490); #799=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29491); #800=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29492); #801=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29493); #802=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29494); #803=MANIFOLD_SOLID_BREP('Solid1',#29495); #804=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29496); #805=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29497); #806=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29498); #807=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29499); #808=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29500); #809=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29501); #810=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29502); #811=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29503); #812=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper1',#29504); #813=FACE_BOUND('',#3769,.T.); #814=FACE_BOUND('',#3770,.T.); #815=FACE_BOUND('',#3771,.T.); #816=FACE_BOUND('',#3772,.T.); #817=FACE_BOUND('',#3773,.T.); #818=FACE_BOUND('',#3774,.T.); #819=FACE_BOUND('',#3775,.T.); #820=FACE_BOUND('',#3776,.T.); #821=FACE_BOUND('',#3777,.T.); #822=FACE_BOUND('',#3778,.T.); #823=FACE_BOUND('',#3779,.T.); #824=FACE_BOUND('',#3780,.T.); #825=FACE_BOUND('',#3781,.T.); #826=FACE_BOUND('',#3782,.T.); #827=FACE_BOUND('',#3783,.T.); #828=FACE_BOUND('',#3784,.T.); #829=FACE_BOUND('',#3785,.T.); #830=FACE_BOUND('',#3786,.T.); #831=FACE_BOUND('',#3787,.T.); #832=FACE_BOUND('',#3788,.T.); #833=FACE_BOUND('',#3789,.T.); #834=FACE_BOUND('',#3790,.T.); #835=FACE_BOUND('',#3791,.T.); #836=FACE_BOUND('',#3792,.T.); #837=FACE_BOUND('',#3797,.T.); #838=FACE_BOUND('',#3798,.T.); #839=FACE_BOUND('',#3799,.T.); #840=FACE_BOUND('',#3800,.T.); #841=FACE_BOUND('',#3801,.T.); #842=FACE_BOUND('',#3802,.T.); #843=FACE_BOUND('',#3803,.T.); #844=FACE_BOUND('',#3804,.T.); #845=FACE_BOUND('',#3805,.T.); #846=FACE_BOUND('',#3806,.T.); #847=FACE_BOUND('',#3807,.T.); #848=FACE_BOUND('',#3808,.T.); #849=FACE_BOUND('',#3809,.T.); #850=FACE_BOUND('',#3810,.T.); #851=FACE_BOUND('',#3811,.T.); #852=FACE_BOUND('',#3812,.T.); #853=FACE_BOUND('',#3813,.T.); #854=FACE_BOUND('',#3814,.T.); #855=FACE_BOUND('',#3815,.T.); #856=FACE_BOUND('',#3816,.T.); #857=FACE_BOUND('',#3817,.T.); #858=FACE_BOUND('',#3818,.T.); #859=FACE_BOUND('',#3819,.T.); #860=FACE_BOUND('',#3820,.T.); #861=FACE_BOUND('',#3837,.T.); #862=FACE_BOUND('',#3840,.T.); #863=FACE_BOUND('',#3841,.T.); #864=FACE_BOUND('',#3842,.T.); #865=FACE_BOUND('',#3843,.T.); #866=FACE_BOUND('',#3844,.T.); #867=FACE_BOUND('',#3848,.T.); #868=FACE_BOUND('',#3849,.T.); #869=FACE_BOUND('',#3850,.T.); #870=FACE_BOUND('',#3851,.T.); #871=FACE_BOUND('',#3852,.T.); #872=FACE_BOUND('',#3856,.T.); #873=FACE_BOUND('',#3860,.T.); #874=FACE_BOUND('',#3863,.T.); #875=FACE_BOUND('',#3865,.T.); #876=FACE_BOUND('',#3867,.T.); #877=FACE_BOUND('',#3873,.T.); #878=FACE_BOUND('',#3875,.T.); #879=FACE_BOUND('',#3882,.T.); #880=FACE_BOUND('',#3884,.T.); #881=FACE_BOUND('',#3886,.T.); #882=FACE_BOUND('',#3894,.T.); #883=FACE_BOUND('',#3896,.T.); #884=FACE_BOUND('',#3898,.T.); #885=FACE_BOUND('',#3905,.T.); #886=FACE_BOUND('',#3910,.T.); #887=FACE_BOUND('',#3912,.T.); #888=FACE_BOUND('',#3914,.T.); #889=FACE_BOUND('',#3919,.T.); #890=FACE_BOUND('',#3921,.T.); #891=FACE_BOUND('',#3923,.T.); #892=FACE_BOUND('',#3925,.T.); #893=FACE_BOUND('',#3927,.T.); #894=FACE_BOUND('',#3929,.T.); #895=FACE_BOUND('',#3931,.T.); #896=FACE_BOUND('',#3934,.T.); #897=FACE_BOUND('',#3936,.T.); #898=FACE_BOUND('',#3938,.T.); #899=FACE_BOUND('',#3940,.T.); #900=FACE_BOUND('',#3942,.T.); #901=FACE_BOUND('',#3945,.T.); #902=FACE_BOUND('',#3946,.T.); #903=FACE_BOUND('',#3948,.T.); #904=FACE_BOUND('',#3949,.T.); #905=FACE_BOUND('',#3951,.T.); #906=FACE_BOUND('',#3952,.T.); #907=FACE_BOUND('',#3954,.T.); #908=FACE_BOUND('',#3955,.T.); #909=FACE_BOUND('',#3957,.T.); #910=FACE_BOUND('',#3958,.T.); #911=FACE_BOUND('',#3960,.T.); #912=FACE_BOUND('',#3962,.T.); #913=FACE_BOUND('',#3963,.T.); #914=FACE_BOUND('',#3965,.T.); #915=FACE_BOUND('',#3966,.T.); #916=FACE_BOUND('',#3968,.T.); #917=FACE_BOUND('',#3969,.T.); #918=FACE_BOUND('',#3971,.T.); #919=FACE_BOUND('',#3972,.T.); #920=FACE_BOUND('',#3974,.T.); #921=FACE_BOUND('',#3975,.T.); #922=FACE_BOUND('',#3977,.T.); #923=FACE_BOUND('',#3979,.T.); #924=FACE_BOUND('',#3980,.T.); #925=FACE_BOUND('',#3981,.T.); #926=FACE_BOUND('',#3982,.T.); #927=FACE_BOUND('',#3983,.T.); #928=FACE_BOUND('',#3984,.T.); #929=FACE_BOUND('',#3985,.T.); #930=FACE_BOUND('',#3986,.T.); #931=FACE_BOUND('',#3987,.T.); #932=FACE_BOUND('',#3988,.T.); #933=FACE_BOUND('',#3989,.T.); #934=FACE_BOUND('',#3990,.T.); #935=FACE_BOUND('',#3991,.T.); #936=FACE_BOUND('',#3992,.T.); #937=FACE_BOUND('',#3993,.T.); #938=FACE_BOUND('',#3994,.T.); #939=FACE_BOUND('',#3995,.T.); #940=FACE_BOUND('',#3996,.T.); #941=FACE_BOUND('',#3997,.T.); #942=FACE_BOUND('',#3998,.T.); #943=FACE_BOUND('',#3999,.T.); #944=FACE_BOUND('',#4000,.T.); #945=FACE_BOUND('',#4001,.T.); #946=FACE_BOUND('',#4010,.T.); #947=FACE_BOUND('',#4011,.T.); #948=FACE_BOUND('',#4023,.T.); #949=FACE_BOUND('',#4024,.T.); #950=FACE_BOUND('',#4026,.T.); #951=FACE_BOUND('',#4041,.T.); #952=FACE_BOUND('',#4042,.T.); #953=FACE_BOUND('',#4050,.T.); #954=FACE_BOUND('',#4053,.T.); #955=FACE_BOUND('',#4100,.T.); #956=FACE_BOUND('',#4101,.T.); #957=FACE_BOUND('',#4102,.T.); #958=FACE_BOUND('',#4103,.T.); #959=FACE_BOUND('',#4104,.T.); #960=FACE_BOUND('',#4105,.T.); #961=FACE_BOUND('',#4106,.T.); #962=FACE_BOUND('',#4107,.T.); #963=FACE_BOUND('',#4108,.T.); #964=FACE_BOUND('',#4109,.T.); #965=FACE_BOUND('',#4110,.T.); #966=FACE_BOUND('',#4371,.T.); #967=FACE_BOUND('',#4376,.T.); #968=FACE_BOUND('',#4392,.T.); #969=FACE_BOUND('',#4394,.T.); #970=FACE_BOUND('',#4398,.T.); #971=FACE_BOUND('',#4414,.T.); #972=FACE_BOUND('',#4416,.T.); #973=FACE_BOUND('',#4420,.T.); #974=FACE_BOUND('',#4422,.T.); #975=FACE_BOUND('',#4424,.T.); #976=FACE_BOUND('',#4426,.T.); #977=FACE_BOUND('',#4428,.T.); #978=FACE_BOUND('',#4433,.T.); #979=FACE_BOUND('',#4438,.T.); #980=FACE_BOUND('',#4450,.T.); #981=FACE_BOUND('',#4455,.T.); #982=FACE_BOUND('',#4474,.T.); #983=FACE_BOUND('',#4475,.T.); #984=FACE_BOUND('',#4483,.T.); #985=FACE_BOUND('',#4484,.T.); #986=FACE_BOUND('',#4487,.T.); #987=FACE_BOUND('',#4491,.T.); #988=FACE_BOUND('',#4495,.T.); #989=FACE_BOUND('',#4499,.T.); #990=FACE_BOUND('',#4506,.T.); #991=FACE_BOUND('',#4507,.T.); #992=FACE_BOUND('',#4508,.T.); #993=FACE_BOUND('',#4509,.T.); #994=FACE_BOUND('',#4525,.T.); #995=FACE_BOUND('',#4526,.T.); #996=FACE_BOUND('',#4527,.T.); #997=FACE_BOUND('',#4528,.T.); #998=FACE_BOUND('',#4530,.T.); #999=FACE_BOUND('',#4534,.T.); #1000=FACE_BOUND('',#4538,.T.); #1001=FACE_BOUND('',#4542,.T.); #1002=FACE_BOUND('',#4549,.T.); #1003=FACE_BOUND('',#4550,.T.); #1004=FACE_BOUND('',#4551,.T.); #1005=FACE_BOUND('',#4552,.T.); #1006=FACE_BOUND('',#4568,.T.); #1007=FACE_BOUND('',#4569,.T.); #1008=FACE_BOUND('',#4570,.T.); #1009=FACE_BOUND('',#4571,.T.); #1010=FACE_BOUND('',#4579,.T.); #1011=FACE_BOUND('',#4580,.T.); #1012=FACE_BOUND('',#4584,.T.); #1013=FACE_BOUND('',#4585,.T.); #1014=FACE_BOUND('',#4586,.T.); #1015=FACE_BOUND('',#4587,.T.); #1016=FACE_BOUND('',#4588,.T.); #1017=FACE_BOUND('',#4589,.T.); #1018=FACE_BOUND('',#4590,.T.); #1019=FACE_BOUND('',#4591,.T.); #1020=FACE_BOUND('',#4594,.T.); #1021=FACE_BOUND('',#4598,.T.); #1022=FACE_BOUND('',#4602,.T.); #1023=FACE_BOUND('',#4606,.T.); #1024=FACE_BOUND('',#4621,.T.); #1025=FACE_BOUND('',#4622,.T.); #1026=FACE_BOUND('',#4624,.T.); #1027=FACE_BOUND('',#4625,.T.); #1028=FACE_BOUND('',#4626,.T.); #1029=FACE_BOUND('',#4627,.T.); #1030=FACE_BOUND('',#4628,.T.); #1031=FACE_BOUND('',#4629,.T.); #1032=FACE_BOUND('',#4630,.T.); #1033=FACE_BOUND('',#4631,.T.); #1034=FACE_BOUND('',#4634,.T.); #1035=FACE_BOUND('',#4635,.T.); #1036=FACE_BOUND('',#4646,.T.); #1037=FACE_BOUND('',#4650,.T.); #1038=FACE_BOUND('',#4654,.T.); #1039=FACE_BOUND('',#4658,.T.); #1040=FACE_BOUND('',#4661,.T.); #1041=FACE_BOUND('',#4665,.T.); #1042=FACE_BOUND('',#4669,.T.); #1043=FACE_BOUND('',#4673,.T.); #1044=FACE_BOUND('',#4679,.T.); #1045=FACE_BOUND('',#4684,.T.); #1046=FACE_BOUND('',#4714,.T.); #1047=FACE_BOUND('',#4724,.T.); #1048=FACE_BOUND('',#4734,.T.); #1049=FACE_BOUND('',#4744,.T.); #1050=FACE_BOUND('',#4754,.T.); #1051=FACE_BOUND('',#4764,.T.); #1052=FACE_BOUND('',#4766,.T.); #1053=FACE_BOUND('',#4767,.T.); #1054=FACE_BOUND('',#4768,.T.); #1055=FACE_BOUND('',#4782,.T.); #1056=FACE_BOUND('',#4783,.T.); #1057=FACE_BOUND('',#4784,.T.); #1058=FACE_BOUND('',#4795,.T.); #1059=FACE_BOUND('',#4798,.T.); #1060=FACE_BOUND('',#4802,.T.); #1061=FACE_BOUND('',#4804,.T.); #1062=FACE_BOUND('',#4808,.T.); #1063=FACE_BOUND('',#4813,.T.); #1064=FACE_BOUND('',#4839,.T.); #1065=FACE_BOUND('',#4846,.T.); #1066=FACE_BOUND('',#4848,.T.); #1067=FACE_BOUND('',#4863,.T.); #1068=FACE_BOUND('',#4866,.T.); #1069=FACE_BOUND('',#4877,.T.); #1070=FACE_BOUND('',#4878,.T.); #1071=FACE_BOUND('',#4879,.T.); #1072=FACE_BOUND('',#4880,.T.); #1073=FACE_BOUND('',#4895,.T.); #1074=FACE_BOUND('',#4896,.T.); #1075=FACE_BOUND('',#4897,.T.); #1076=FACE_BOUND('',#4898,.T.); #1077=FACE_BOUND('',#4904,.T.); #1078=FACE_BOUND('',#4905,.T.); #1079=FACE_BOUND('',#4906,.T.); #1080=FACE_BOUND('',#4907,.T.); #1081=FACE_BOUND('',#4922,.T.); #1082=FACE_BOUND('',#4923,.T.); #1083=FACE_BOUND('',#4924,.T.); #1084=FACE_BOUND('',#4925,.T.); #1085=FACE_BOUND('',#4929,.T.); #1086=FACE_BOUND('',#4934,.T.); #1087=FACE_BOUND('',#5003,.T.); #1088=FACE_BOUND('',#5014,.T.); #1089=FACE_BOUND('',#5015,.T.); #1090=FACE_BOUND('',#5016,.T.); #1091=FACE_BOUND('',#5017,.T.); #1092=FACE_BOUND('',#5032,.T.); #1093=FACE_BOUND('',#5033,.T.); #1094=FACE_BOUND('',#5034,.T.); #1095=FACE_BOUND('',#5035,.T.); #1096=FACE_BOUND('',#5124,.T.); #1097=FACE_BOUND('',#5212,.T.); #1098=FACE_BOUND('',#5345,.T.); #1099=FACE_BOUND('',#5346,.T.); #1100=FACE_BOUND('',#5416,.T.); #1101=FACE_BOUND('',#5419,.T.); #1102=FACE_BOUND('',#5420,.T.); #1103=FACE_BOUND('',#5421,.T.); #1104=FACE_BOUND('',#5422,.T.); #1105=FACE_BOUND('',#5423,.T.); #1106=FACE_BOUND('',#5424,.T.); #1107=FACE_BOUND('',#5425,.T.); #1108=FACE_BOUND('',#5426,.T.); #1109=FACE_BOUND('',#5451,.T.); #1110=FACE_BOUND('',#5482,.T.); #1111=FACE_BOUND('',#5486,.T.); #1112=FACE_BOUND('',#5487,.T.); #1113=FACE_BOUND('',#5488,.T.); #1114=FACE_BOUND('',#5489,.T.); #1115=FACE_BOUND('',#5490,.T.); #1116=FACE_BOUND('',#5492,.T.); #1117=FACE_BOUND('',#5493,.T.); #1118=FACE_BOUND('',#5516,.T.); #1119=FACE_BOUND('',#5552,.T.); #1120=FACE_BOUND('',#5557,.T.); #1121=FACE_BOUND('',#5562,.T.); #1122=PLANE('',#30154); #1123=PLANE('',#30158); #1124=PLANE('',#30183); #1125=PLANE('',#30187); #1126=PLANE('',#30191); #1127=PLANE('',#30195); #1128=PLANE('',#30199); #1129=PLANE('',#30203); #1130=PLANE('',#30207); #1131=PLANE('',#30208); #1132=PLANE('',#30217); #1133=PLANE('',#30220); #1134=PLANE('',#30225); #1135=PLANE('',#30227); #1136=PLANE('',#30229); #1137=PLANE('',#30231); #1138=PLANE('',#30233); #1139=PLANE('',#30234); #1140=PLANE('',#30251); #1141=PLANE('',#30255); #1142=PLANE('',#30261); #1143=PLANE('',#30267); #1144=PLANE('',#30273); #1145=PLANE('',#30277); #1146=PLANE('',#30279); #1147=PLANE('',#30282); #1148=PLANE('',#30296); #1149=PLANE('',#30299); #1150=PLANE('',#30315); #1151=PLANE('',#30317); #1152=PLANE('',#30320); #1153=PLANE('',#30337); #1154=PLANE('',#30339); #1155=PLANE('',#30342); #1156=PLANE('',#30357); #1157=PLANE('',#30369); #1158=PLANE('',#30370); #1159=PLANE('',#30371); #1160=PLANE('',#30383); #1161=PLANE('',#30384); #1162=PLANE('',#30519); #1163=PLANE('',#30520); #1164=PLANE('',#30528); #1165=PLANE('',#30533); #1166=PLANE('',#30534); #1167=PLANE('',#30537); #1168=PLANE('',#30538); #1169=PLANE('',#30541); #1170=PLANE('',#30543); #1171=PLANE('',#30569); #1172=PLANE('',#30594); #1173=PLANE('',#30612); #1174=PLANE('',#30613); #1175=PLANE('',#30614); #1176=PLANE('',#30615); #1177=PLANE('',#30616); #1178=PLANE('',#30617); #1179=PLANE('',#30618); #1180=PLANE('',#30619); #1181=PLANE('',#30620); #1182=PLANE('',#30621); #1183=PLANE('',#30622); #1184=PLANE('',#30623); #1185=PLANE('',#30625); #1186=PLANE('',#30626); #1187=PLANE('',#30628); #1188=PLANE('',#30629); #1189=PLANE('',#30631); #1190=PLANE('',#30632); #1191=PLANE('',#30634); #1192=PLANE('',#30635); #1193=PLANE('',#30637); #1194=PLANE('',#30638); #1195=PLANE('',#30640); #1196=PLANE('',#30641); #1197=PLANE('',#30643); #1198=PLANE('',#30644); #1199=PLANE('',#30646); #1200=PLANE('',#30647); #1201=PLANE('',#30649); #1202=PLANE('',#30650); #1203=PLANE('',#30652); #1204=PLANE('',#30653); #1205=PLANE('',#30655); #1206=PLANE('',#30656); #1207=PLANE('',#30660); #1208=PLANE('',#30663); #1209=PLANE('',#30666); #1210=PLANE('',#30669); #1211=PLANE('',#30670); #1212=PLANE('',#30671); #1213=PLANE('',#30672); #1214=PLANE('',#30673); #1215=PLANE('',#30674); #1216=PLANE('',#30675); #1217=PLANE('',#30676); #1218=PLANE('',#30677); #1219=PLANE('',#30678); #1220=PLANE('',#30679); #1221=PLANE('',#30680); #1222=PLANE('',#30681); #1223=PLANE('',#30682); #1224=PLANE('',#30683); #1225=PLANE('',#30684); #1226=PLANE('',#30685); #1227=PLANE('',#30686); #1228=PLANE('',#30687); #1229=PLANE('',#30688); #1230=PLANE('',#30689); #1231=PLANE('',#30690); #1232=PLANE('',#30691); #1233=PLANE('',#30692); #1234=PLANE('',#30693); #1235=PLANE('',#30694); #1236=PLANE('',#30695); #1237=PLANE('',#30696); #1238=PLANE('',#30697); #1239=PLANE('',#30698); #1240=PLANE('',#30699); #1241=PLANE('',#30700); #1242=PLANE('',#30701); #1243=PLANE('',#30702); #1244=PLANE('',#30703); #1245=PLANE('',#30704); #1246=PLANE('',#30705); #1247=PLANE('',#30706); #1248=PLANE('',#30707); #1249=PLANE('',#30708); #1250=PLANE('',#30709); #1251=PLANE('',#30710); #1252=PLANE('',#30711); #1253=PLANE('',#30712); #1254=PLANE('',#30713); #1255=PLANE('',#30714); #1256=PLANE('',#30715); #1257=PLANE('',#30716); #1258=PLANE('',#30717); #1259=PLANE('',#30718); #1260=PLANE('',#30719); #1261=PLANE('',#30720); #1262=PLANE('',#30721); #1263=PLANE('',#30722); #1264=PLANE('',#30723); #1265=PLANE('',#30724); #1266=PLANE('',#30725); #1267=PLANE('',#30726); #1268=PLANE('',#30727); #1269=PLANE('',#30728); #1270=PLANE('',#30729); #1271=PLANE('',#30730); #1272=PLANE('',#30731); #1273=PLANE('',#30732); #1274=PLANE('',#30733); #1275=PLANE('',#30734); #1276=PLANE('',#30735); #1277=PLANE('',#30736); #1278=PLANE('',#30737); #1279=PLANE('',#30738); #1280=PLANE('',#30739); #1281=PLANE('',#30740); #1282=PLANE('',#30741); #1283=PLANE('',#30742); #1284=PLANE('',#30743); #1285=PLANE('',#30744); #1286=PLANE('',#30745); #1287=PLANE('',#30746); #1288=PLANE('',#30747); #1289=PLANE('',#30748); #1290=PLANE('',#30749); #1291=PLANE('',#30750); #1292=PLANE('',#30751); #1293=PLANE('',#30752); #1294=PLANE('',#30753); #1295=PLANE('',#30754); #1296=PLANE('',#30755); #1297=PLANE('',#30756); #1298=PLANE('',#30757); #1299=PLANE('',#30758); #1300=PLANE('',#30759); #1301=PLANE('',#30760); #1302=PLANE('',#30761); #1303=PLANE('',#30762); #1304=PLANE('',#30763); #1305=PLANE('',#30764); #1306=PLANE('',#30765); #1307=PLANE('',#30766); #1308=PLANE('',#30767); #1309=PLANE('',#30768); #1310=PLANE('',#30769); #1311=PLANE('',#30770); #1312=PLANE('',#30771); #1313=PLANE('',#30772); #1314=PLANE('',#30773); #1315=PLANE('',#30774); #1316=PLANE('',#30775); #1317=PLANE('',#30776); #1318=PLANE('',#30777); #1319=PLANE('',#30778); #1320=PLANE('',#30779); #1321=PLANE('',#30780); #1322=PLANE('',#30781); #1323=PLANE('',#30782); #1324=PLANE('',#30783); #1325=PLANE('',#30784); #1326=PLANE('',#30785); #1327=PLANE('',#30786); #1328=PLANE('',#30787); #1329=PLANE('',#30788); #1330=PLANE('',#30789); #1331=PLANE('',#30790); #1332=PLANE('',#30791); #1333=PLANE('',#30792); #1334=PLANE('',#30793); #1335=PLANE('',#30794); #1336=PLANE('',#30795); #1337=PLANE('',#30796); #1338=PLANE('',#30797); #1339=PLANE('',#30798); #1340=PLANE('',#30799); #1341=PLANE('',#30800); #1342=PLANE('',#30801); #1343=PLANE('',#30802); #1344=PLANE('',#30803); #1345=PLANE('',#30804); #1346=PLANE('',#30805); #1347=PLANE('',#30806); #1348=PLANE('',#30807); #1349=PLANE('',#30808); #1350=PLANE('',#30809); #1351=PLANE('',#30810); #1352=PLANE('',#30811); #1353=PLANE('',#30812); #1354=PLANE('',#30813); #1355=PLANE('',#30814); #1356=PLANE('',#30815); #1357=PLANE('',#30816); #1358=PLANE('',#30817); #1359=PLANE('',#30818); #1360=PLANE('',#30819); #1361=PLANE('',#30820); #1362=PLANE('',#30821); #1363=PLANE('',#30822); #1364=PLANE('',#30823); #1365=PLANE('',#30824); #1366=PLANE('',#30825); #1367=PLANE('',#30826); #1368=PLANE('',#30827); #1369=PLANE('',#30828); #1370=PLANE('',#30829); #1371=PLANE('',#30830); #1372=PLANE('',#30831); #1373=PLANE('',#30832); #1374=PLANE('',#30833); #1375=PLANE('',#30834); #1376=PLANE('',#30835); #1377=PLANE('',#30836); #1378=PLANE('',#30837); #1379=PLANE('',#30838); #1380=PLANE('',#30839); #1381=PLANE('',#30840); #1382=PLANE('',#30841); #1383=PLANE('',#30842); #1384=PLANE('',#30843); #1385=PLANE('',#30844); #1386=PLANE('',#30845); #1387=PLANE('',#30846); #1388=PLANE('',#30847); #1389=PLANE('',#30848); #1390=PLANE('',#30849); #1391=PLANE('',#30850); #1392=PLANE('',#30851); #1393=PLANE('',#30852); #1394=PLANE('',#30853); #1395=PLANE('',#30854); #1396=PLANE('',#30855); #1397=PLANE('',#30856); #1398=PLANE('',#30857); #1399=PLANE('',#30858); #1400=PLANE('',#30859); #1401=PLANE('',#30860); #1402=PLANE('',#30861); #1403=PLANE('',#30862); #1404=PLANE('',#30863); #1405=PLANE('',#30864); #1406=PLANE('',#30865); #1407=PLANE('',#30866); #1408=PLANE('',#30867); #1409=PLANE('',#30868); #1410=PLANE('',#30869); #1411=PLANE('',#30870); #1412=PLANE('',#30871); #1413=PLANE('',#30872); #1414=PLANE('',#30873); #1415=PLANE('',#30874); #1416=PLANE('',#30875); #1417=PLANE('',#30876); #1418=PLANE('',#30877); #1419=PLANE('',#30878); #1420=PLANE('',#30879); #1421=PLANE('',#30880); #1422=PLANE('',#30881); #1423=PLANE('',#30882); #1424=PLANE('',#30883); #1425=PLANE('',#30884); #1426=PLANE('',#30885); #1427=PLANE('',#30886); #1428=PLANE('',#30887); #1429=PLANE('',#30888); #1430=PLANE('',#30889); #1431=PLANE('',#30890); #1432=PLANE('',#30891); #1433=PLANE('',#30892); #1434=PLANE('',#30893); #1435=PLANE('',#30894); #1436=PLANE('',#30895); #1437=PLANE('',#30896); #1438=PLANE('',#30897); #1439=PLANE('',#30898); #1440=PLANE('',#30899); #1441=PLANE('',#30900); #1442=PLANE('',#30901); #1443=PLANE('',#30902); #1444=PLANE('',#30903); #1445=PLANE('',#30904); #1446=PLANE('',#30905); #1447=PLANE('',#30906); #1448=PLANE('',#30907); #1449=PLANE('',#30908); #1450=PLANE('',#30909); #1451=PLANE('',#30910); #1452=PLANE('',#30911); #1453=PLANE('',#30912); #1454=PLANE('',#30913); #1455=PLANE('',#30914); #1456=PLANE('',#30915); #1457=PLANE('',#30916); #1458=PLANE('',#30917); #1459=PLANE('',#30918); #1460=PLANE('',#30919); #1461=PLANE('',#30920); #1462=PLANE('',#30921); #1463=PLANE('',#30922); #1464=PLANE('',#30923); #1465=PLANE('',#30924); #1466=PLANE('',#30925); #1467=PLANE('',#30941); #1468=PLANE('',#30944); #1469=PLANE('',#30947); #1470=PLANE('',#30949); #1471=PLANE('',#30950); #1472=PLANE('',#30957); #1473=PLANE('',#30961); #1474=PLANE('',#30965); #1475=PLANE('',#30966); #1476=PLANE('',#30977); #1477=PLANE('',#30983); #1478=PLANE('',#30989); #1479=PLANE('',#30990); #1480=PLANE('',#30993); #1481=PLANE('',#30995); #1482=PLANE('',#30999); #1483=PLANE('',#31000); #1484=PLANE('',#31003); #1485=PLANE('',#31007); #1486=PLANE('',#31009); #1487=PLANE('',#31016); #1488=PLANE('',#31018); #1489=PLANE('',#31021); #1490=PLANE('',#31023); #1491=PLANE('',#31034); #1492=PLANE('',#31038); #1493=PLANE('',#31044); #1494=PLANE('',#31046); #1495=PLANE('',#31047); #1496=PLANE('',#31048); #1497=PLANE('',#31049); #1498=PLANE('',#31050); #1499=PLANE('',#31051); #1500=PLANE('',#31062); #1501=PLANE('',#31066); #1502=PLANE('',#31072); #1503=PLANE('',#31074); #1504=PLANE('',#31075); #1505=PLANE('',#31076); #1506=PLANE('',#31077); #1507=PLANE('',#31078); #1508=PLANE('',#31079); #1509=PLANE('',#31088); #1510=PLANE('',#31089); #1511=PLANE('',#31090); #1512=PLANE('',#31091); #1513=PLANE('',#31092); #1514=PLANE('',#31093); #1515=PLANE('',#31094); #1516=PLANE('',#31095); #1517=PLANE('',#31096); #1518=PLANE('',#31097); #1519=PLANE('',#31098); #1520=PLANE('',#31099); #1521=PLANE('',#31100); #1522=PLANE('',#31101); #1523=PLANE('',#31102); #1524=PLANE('',#31103); #1525=PLANE('',#31105); #1526=PLANE('',#31112); #1527=PLANE('',#31119); #1528=PLANE('',#31126); #1529=PLANE('',#31133); #1530=PLANE('',#31137); #1531=PLANE('',#31138); #1532=PLANE('',#31142); #1533=PLANE('',#31145); #1534=PLANE('',#31150); #1535=PLANE('',#31151); #1536=PLANE('',#31152); #1537=PLANE('',#31153); #1538=PLANE('',#31157); #1539=PLANE('',#31164); #1540=PLANE('',#31165); #1541=PLANE('',#31167); #1542=PLANE('',#31174); #1543=PLANE('',#31181); #1544=PLANE('',#31188); #1545=PLANE('',#31195); #1546=PLANE('',#31199); #1547=PLANE('',#31200); #1548=PLANE('',#31204); #1549=PLANE('',#31207); #1550=PLANE('',#31212); #1551=PLANE('',#31213); #1552=PLANE('',#31214); #1553=PLANE('',#31215); #1554=PLANE('',#31219); #1555=PLANE('',#31226); #1556=PLANE('',#31227); #1557=PLANE('',#31237); #1558=PLANE('',#31238); #1559=PLANE('',#31239); #1560=PLANE('',#31240); #1561=PLANE('',#31241); #1562=PLANE('',#31242); #1563=PLANE('',#31251); #1564=PLANE('',#31252); #1565=PLANE('',#31258); #1566=PLANE('',#31264); #1567=PLANE('',#31270); #1568=PLANE('',#31276); #1569=PLANE('',#31277); #1570=PLANE('',#31278); #1571=PLANE('',#31279); #1572=PLANE('',#31280); #1573=PLANE('',#31281); #1574=PLANE('',#31282); #1575=PLANE('',#31283); #1576=PLANE('',#31284); #1577=PLANE('',#31285); #1578=PLANE('',#31286); #1579=PLANE('',#31287); #1580=PLANE('',#31290); #1581=PLANE('',#31295); #1582=PLANE('',#31296); #1583=PLANE('',#31321); #1584=PLANE('',#31326); #1585=PLANE('',#31331); #1586=PLANE('',#31336); #1587=PLANE('',#31339); #1588=PLANE('',#31344); #1589=PLANE('',#31349); #1590=PLANE('',#31354); #1591=PLANE('',#31368); #1592=PLANE('',#31372); #1593=PLANE('',#31378); #1594=PLANE('',#31380); #1595=PLANE('',#31381); #1596=PLANE('',#31382); #1597=PLANE('',#31383); #1598=PLANE('',#31384); #1599=PLANE('',#31385); #1600=PLANE('',#31391); #1601=PLANE('',#31395); #1602=PLANE('',#31399); #1603=PLANE('',#31403); #1604=PLANE('',#31407); #1605=PLANE('',#31411); #1606=PLANE('',#31415); #1607=PLANE('',#31419); #1608=PLANE('',#31423); #1609=PLANE('',#31427); #1610=PLANE('',#31428); #1611=PLANE('',#31432); #1612=PLANE('',#31436); #1613=PLANE('',#31440); #1614=PLANE('',#31444); #1615=PLANE('',#31445); #1616=PLANE('',#31449); #1617=PLANE('',#31453); #1618=PLANE('',#31457); #1619=PLANE('',#31461); #1620=PLANE('',#31462); #1621=PLANE('',#31466); #1622=PLANE('',#31470); #1623=PLANE('',#31474); #1624=PLANE('',#31478); #1625=PLANE('',#31479); #1626=PLANE('',#31483); #1627=PLANE('',#31487); #1628=PLANE('',#31491); #1629=PLANE('',#31495); #1630=PLANE('',#31496); #1631=PLANE('',#31500); #1632=PLANE('',#31504); #1633=PLANE('',#31508); #1634=PLANE('',#31512); #1635=PLANE('',#31513); #1636=PLANE('',#31514); #1637=PLANE('',#31523); #1638=PLANE('',#31526); #1639=PLANE('',#31529); #1640=PLANE('',#31532); #1641=PLANE('',#31535); #1642=PLANE('',#31538); #1643=PLANE('',#31539); #1644=PLANE('',#31541); #1645=PLANE('',#31542); #1646=PLANE('',#31543); #1647=PLANE('',#31544); #1648=PLANE('',#31545); #1649=PLANE('',#31546); #1650=PLANE('',#31547); #1651=PLANE('',#31548); #1652=PLANE('',#31552); #1653=PLANE('',#31555); #1654=PLANE('',#31563); #1655=PLANE('',#31564); #1656=PLANE('',#31574); #1657=PLANE('',#31578); #1658=PLANE('',#31584); #1659=PLANE('',#31586); #1660=PLANE('',#31587); #1661=PLANE('',#31588); #1662=PLANE('',#31589); #1663=PLANE('',#31590); #1664=PLANE('',#31591); #1665=PLANE('',#31594); #1666=PLANE('',#31595); #1667=PLANE('',#31596); #1668=PLANE('',#31597); #1669=PLANE('',#31598); #1670=PLANE('',#31599); #1671=PLANE('',#31600); #1672=PLANE('',#31619); #1673=PLANE('',#31627); #1674=PLANE('',#31644); #1675=PLANE('',#31645); #1676=PLANE('',#31647); #1677=PLANE('',#31651); #1678=PLANE('',#31655); #1679=PLANE('',#31656); #1680=PLANE('',#31658); #1681=PLANE('',#31659); #1682=PLANE('',#31671); #1683=PLANE('',#31672); #1684=PLANE('',#31673); #1685=PLANE('',#31677); #1686=PLANE('',#31686); #1687=PLANE('',#31700); #1688=PLANE('',#31707); #1689=PLANE('',#31710); #1690=PLANE('',#31711); #1691=PLANE('',#31712); #1692=PLANE('',#31713); #1693=PLANE('',#31718); #1694=PLANE('',#31721); #1695=PLANE('',#31726); #1696=PLANE('',#31740); #1697=PLANE('',#31747); #1698=PLANE('',#31750); #1699=PLANE('',#31751); #1700=PLANE('',#31752); #1701=PLANE('',#31753); #1702=PLANE('',#31758); #1703=PLANE('',#31761); #1704=PLANE('',#31766); #1705=PLANE('',#31776); #1706=PLANE('',#31780); #1707=PLANE('',#31786); #1708=PLANE('',#31788); #1709=PLANE('',#31789); #1710=PLANE('',#31790); #1711=PLANE('',#31791); #1712=PLANE('',#31792); #1713=PLANE('',#31793); #1714=PLANE('',#31797); #1715=PLANE('',#31803); #1716=PLANE('',#31808); #1717=PLANE('',#31813); #1718=PLANE('',#31820); #1719=PLANE('',#31821); #1720=PLANE('',#31832); #1721=PLANE('',#31833); #1722=PLANE('',#31836); #1723=PLANE('',#31837); #1724=PLANE('',#31839); #1725=PLANE('',#31841); #1726=PLANE('',#31843); #1727=PLANE('',#31844); #1728=PLANE('',#31845); #1729=PLANE('',#31862); #1730=PLANE('',#31863); #1731=PLANE('',#31864); #1732=PLANE('',#31865); #1733=PLANE('',#31866); #1734=PLANE('',#31873); #1735=PLANE('',#31881); #1736=PLANE('',#31882); #1737=PLANE('',#31888); #1738=PLANE('',#31895); #1739=PLANE('',#31900); #1740=PLANE('',#31903); #1741=PLANE('',#31904); #1742=PLANE('',#31905); #1743=PLANE('',#31906); #1744=PLANE('',#31907); #1745=PLANE('',#31912); #1746=PLANE('',#31915); #1747=PLANE('',#31918); #1748=PLANE('',#31923); #1749=PLANE('',#31937); #1750=PLANE('',#31944); #1751=PLANE('',#31947); #1752=PLANE('',#31948); #1753=PLANE('',#31949); #1754=PLANE('',#31950); #1755=PLANE('',#31955); #1756=PLANE('',#31958); #1757=PLANE('',#31963); #1758=PLANE('',#31965); #1759=PLANE('',#31966); #1760=PLANE('',#31967); #1761=PLANE('',#31968); #1762=PLANE('',#31969); #1763=PLANE('',#31970); #1764=PLANE('',#31971); #1765=PLANE('',#31972); #1766=PLANE('',#31973); #1767=PLANE('',#31974); #1768=PLANE('',#31975); #1769=PLANE('',#31976); #1770=PLANE('',#31977); #1771=PLANE('',#31978); #1772=PLANE('',#31979); #1773=PLANE('',#31980); #1774=PLANE('',#31981); #1775=PLANE('',#31982); #1776=PLANE('',#31983); #1777=PLANE('',#31984); #1778=PLANE('',#31985); #1779=PLANE('',#31986); #1780=PLANE('',#31987); #1781=PLANE('',#31988); #1782=PLANE('',#31989); #1783=PLANE('',#31990); #1784=PLANE('',#31991); #1785=PLANE('',#31992); #1786=PLANE('',#31993); #1787=PLANE('',#31994); #1788=PLANE('',#31995); #1789=PLANE('',#31996); #1790=PLANE('',#31997); #1791=PLANE('',#31998); #1792=PLANE('',#31999); #1793=PLANE('',#32000); #1794=PLANE('',#32001); #1795=PLANE('',#32002); #1796=PLANE('',#32003); #1797=PLANE('',#32004); #1798=PLANE('',#32005); #1799=PLANE('',#32006); #1800=PLANE('',#32007); #1801=PLANE('',#32008); #1802=PLANE('',#32009); #1803=PLANE('',#32010); #1804=PLANE('',#32011); #1805=PLANE('',#32012); #1806=PLANE('',#32013); #1807=PLANE('',#32014); #1808=PLANE('',#32015); #1809=PLANE('',#32016); #1810=PLANE('',#32017); #1811=PLANE('',#32018); #1812=PLANE('',#32019); #1813=PLANE('',#32020); #1814=PLANE('',#32021); #1815=PLANE('',#32022); #1816=PLANE('',#32023); #1817=PLANE('',#32024); #1818=PLANE('',#32025); #1819=PLANE('',#32026); #1820=PLANE('',#32027); #1821=PLANE('',#32028); #1822=PLANE('',#32029); #1823=PLANE('',#32030); #1824=PLANE('',#32031); #1825=PLANE('',#32032); #1826=PLANE('',#32033); #1827=PLANE('',#32034); #1828=PLANE('',#32035); #1829=PLANE('',#32036); #1830=PLANE('',#32037); #1831=PLANE('',#32038); #1832=PLANE('',#32039); #1833=PLANE('',#32040); #1834=PLANE('',#32041); #1835=PLANE('',#32042); #1836=PLANE('',#32043); #1837=PLANE('',#32044); #1838=PLANE('',#32045); #1839=PLANE('',#32046); #1840=PLANE('',#32047); #1841=PLANE('',#32048); #1842=PLANE('',#32049); #1843=PLANE('',#32050); #1844=PLANE('',#32051); #1845=PLANE('',#32052); #1846=PLANE('',#32053); #1847=PLANE('',#32054); #1848=PLANE('',#32055); #1849=PLANE('',#32056); #1850=PLANE('',#32057); #1851=PLANE('',#32058); #1852=PLANE('',#32059); #1853=PLANE('',#32060); #1854=PLANE('',#32061); #1855=PLANE('',#32062); #1856=PLANE('',#32063); #1857=PLANE('',#32064); #1858=PLANE('',#32065); #1859=PLANE('',#32066); #1860=PLANE('',#32067); #1861=PLANE('',#32068); #1862=PLANE('',#32069); #1863=PLANE('',#32070); #1864=PLANE('',#32071); #1865=PLANE('',#32072); #1866=PLANE('',#32073); #1867=PLANE('',#32074); #1868=PLANE('',#32075); #1869=PLANE('',#32076); #1870=PLANE('',#32077); #1871=PLANE('',#32078); #1872=PLANE('',#32079); #1873=PLANE('',#32080); #1874=PLANE('',#32081); #1875=PLANE('',#32082); #1876=PLANE('',#32083); #1877=PLANE('',#32084); #1878=PLANE('',#32085); #1879=PLANE('',#32086); #1880=PLANE('',#32087); #1881=PLANE('',#32088); #1882=PLANE('',#32089); #1883=PLANE('',#32090); #1884=PLANE('',#32091); #1885=PLANE('',#32092); #1886=PLANE('',#32093); #1887=PLANE('',#32094); #1888=PLANE('',#32095); #1889=PLANE('',#32096); #1890=PLANE('',#32097); #1891=PLANE('',#32098); #1892=PLANE('',#32099); #1893=PLANE('',#32100); #1894=PLANE('',#32101); #1895=PLANE('',#32102); #1896=PLANE('',#32103); #1897=PLANE('',#32104); #1898=PLANE('',#32105); #1899=PLANE('',#32106); #1900=PLANE('',#32107); #1901=PLANE('',#32108); #1902=PLANE('',#32109); #1903=PLANE('',#32110); #1904=PLANE('',#32111); #1905=PLANE('',#32112); #1906=PLANE('',#32113); #1907=PLANE('',#32114); #1908=PLANE('',#32115); #1909=PLANE('',#32116); #1910=PLANE('',#32117); #1911=PLANE('',#32118); #1912=PLANE('',#32119); #1913=PLANE('',#32120); #1914=PLANE('',#32121); #1915=PLANE('',#32122); #1916=PLANE('',#32123); #1917=PLANE('',#32124); #1918=PLANE('',#32125); #1919=PLANE('',#32126); #1920=PLANE('',#32127); #1921=PLANE('',#32128); #1922=PLANE('',#32129); #1923=PLANE('',#32130); #1924=PLANE('',#32131); #1925=PLANE('',#32132); #1926=PLANE('',#32133); #1927=PLANE('',#32134); #1928=PLANE('',#32135); #1929=PLANE('',#32136); #1930=PLANE('',#32137); #1931=PLANE('',#32138); #1932=PLANE('',#32139); #1933=PLANE('',#32140); #1934=PLANE('',#32141); #1935=PLANE('',#32142); #1936=PLANE('',#32143); #1937=PLANE('',#32144); #1938=PLANE('',#32145); #1939=PLANE('',#32146); #1940=PLANE('',#32147); #1941=PLANE('',#32148); #1942=PLANE('',#32149); #1943=PLANE('',#32150); #1944=PLANE('',#32151); #1945=PLANE('',#32152); #1946=PLANE('',#32153); #1947=PLANE('',#32154); #1948=PLANE('',#32155); #1949=PLANE('',#32156); #1950=PLANE('',#32157); #1951=PLANE('',#32158); #1952=PLANE('',#32159); #1953=PLANE('',#32160); #1954=PLANE('',#32161); #1955=PLANE('',#32162); #1956=PLANE('',#32163); #1957=PLANE('',#32164); #1958=PLANE('',#32165); #1959=PLANE('',#32166); #1960=PLANE('',#32167); #1961=PLANE('',#32168); #1962=PLANE('',#32169); #1963=PLANE('',#32170); #1964=PLANE('',#32171); #1965=PLANE('',#32172); #1966=PLANE('',#32173); #1967=PLANE('',#32174); #1968=PLANE('',#32175); #1969=PLANE('',#32176); #1970=PLANE('',#32177); #1971=PLANE('',#32178); #1972=PLANE('',#32179); #1973=PLANE('',#32180); #1974=PLANE('',#32181); #1975=PLANE('',#32182); #1976=PLANE('',#32183); #1977=PLANE('',#32184); #1978=PLANE('',#32185); #1979=PLANE('',#32186); #1980=PLANE('',#32187); #1981=PLANE('',#32188); #1982=PLANE('',#32189); #1983=PLANE('',#32190); #1984=PLANE('',#32191); #1985=PLANE('',#32192); #1986=PLANE('',#32193); #1987=PLANE('',#32194); #1988=PLANE('',#32195); #1989=PLANE('',#32196); #1990=PLANE('',#32197); #1991=PLANE('',#32198); #1992=PLANE('',#32199); #1993=PLANE('',#32200); #1994=PLANE('',#32201); #1995=PLANE('',#32202); #1996=PLANE('',#32203); #1997=PLANE('',#32204); #1998=PLANE('',#32205); #1999=PLANE('',#32206); #2000=PLANE('',#32207); #2001=PLANE('',#32208); #2002=PLANE('',#32209); #2003=PLANE('',#32210); #2004=PLANE('',#32211); #2005=PLANE('',#32212); #2006=PLANE('',#32213); #2007=PLANE('',#32214); #2008=PLANE('',#32215); #2009=PLANE('',#32216); #2010=PLANE('',#32217); #2011=PLANE('',#32218); #2012=PLANE('',#32219); #2013=PLANE('',#32220); #2014=PLANE('',#32221); #2015=PLANE('',#32222); #2016=PLANE('',#32223); #2017=PLANE('',#32224); #2018=PLANE('',#32225); #2019=PLANE('',#32226); #2020=PLANE('',#32227); #2021=PLANE('',#32228); #2022=PLANE('',#32229); #2023=PLANE('',#32230); #2024=PLANE('',#32231); #2025=PLANE('',#32232); #2026=PLANE('',#32233); #2027=PLANE('',#32234); #2028=PLANE('',#32235); #2029=PLANE('',#32236); #2030=PLANE('',#32237); #2031=PLANE('',#32238); #2032=PLANE('',#32239); #2033=PLANE('',#32240); #2034=PLANE('',#32241); #2035=PLANE('',#32242); #2036=PLANE('',#32243); #2037=PLANE('',#32244); #2038=PLANE('',#32245); #2039=PLANE('',#32246); #2040=PLANE('',#32247); #2041=PLANE('',#32248); #2042=PLANE('',#32249); #2043=PLANE('',#32250); #2044=PLANE('',#32251); #2045=PLANE('',#32252); #2046=PLANE('',#32253); #2047=PLANE('',#32254); #2048=PLANE('',#32255); #2049=PLANE('',#32256); #2050=PLANE('',#32257); #2051=PLANE('',#32258); #2052=PLANE('',#32259); #2053=PLANE('',#32260); #2054=PLANE('',#32261); #2055=PLANE('',#32262); #2056=PLANE('',#32263); #2057=PLANE('',#32264); #2058=PLANE('',#32265); #2059=PLANE('',#32266); #2060=PLANE('',#32267); #2061=PLANE('',#32268); #2062=PLANE('',#32269); #2063=PLANE('',#32270); #2064=PLANE('',#32271); #2065=PLANE('',#32272); #2066=PLANE('',#32273); #2067=PLANE('',#32274); #2068=PLANE('',#32275); #2069=PLANE('',#32276); #2070=PLANE('',#32277); #2071=PLANE('',#32278); #2072=PLANE('',#32279); #2073=PLANE('',#32280); #2074=PLANE('',#32281); #2075=PLANE('',#32282); #2076=PLANE('',#32283); #2077=PLANE('',#32284); #2078=PLANE('',#32285); #2079=PLANE('',#32286); #2080=PLANE('',#32287); #2081=PLANE('',#32288); #2082=PLANE('',#32289); #2083=PLANE('',#32290); #2084=PLANE('',#32291); #2085=PLANE('',#32292); #2086=PLANE('',#32293); #2087=PLANE('',#32294); #2088=PLANE('',#32295); #2089=PLANE('',#32296); #2090=PLANE('',#32297); #2091=PLANE('',#32298); #2092=PLANE('',#32299); #2093=PLANE('',#32300); #2094=PLANE('',#32301); #2095=PLANE('',#32302); #2096=PLANE('',#32303); #2097=PLANE('',#32304); #2098=PLANE('',#32305); #2099=PLANE('',#32306); #2100=PLANE('',#32307); #2101=PLANE('',#32308); #2102=PLANE('',#32309); #2103=PLANE('',#32310); #2104=PLANE('',#32311); #2105=PLANE('',#32312); #2106=PLANE('',#32313); #2107=PLANE('',#32314); #2108=PLANE('',#32315); #2109=PLANE('',#32316); #2110=PLANE('',#32317); #2111=PLANE('',#32318); #2112=PLANE('',#32319); #2113=PLANE('',#32320); #2114=PLANE('',#32321); #2115=PLANE('',#32322); #2116=PLANE('',#32323); #2117=PLANE('',#32324); #2118=PLANE('',#32325); #2119=PLANE('',#32326); #2120=PLANE('',#32327); #2121=PLANE('',#32328); #2122=PLANE('',#32329); #2123=PLANE('',#32330); #2124=PLANE('',#32331); #2125=PLANE('',#32332); #2126=PLANE('',#32333); #2127=PLANE('',#32334); #2128=PLANE('',#32335); #2129=PLANE('',#32336); #2130=PLANE('',#32337); #2131=PLANE('',#32338); #2132=PLANE('',#32339); #2133=PLANE('',#32340); #2134=PLANE('',#32341); #2135=PLANE('',#32342); #2136=PLANE('',#32343); #2137=PLANE('',#32344); #2138=PLANE('',#32345); #2139=PLANE('',#32383); #2140=PLANE('',#32386); #2141=PLANE('',#32393); #2142=PLANE('',#32394); #2143=PLANE('',#32401); #2144=PLANE('',#32405); #2145=PLANE('',#32406); #2146=PLANE('',#32408); #2147=PLANE('',#32427); #2148=PLANE('',#32429); #2149=PLANE('',#32432); #2150=PLANE('',#32433); #2151=PLANE('',#32434); #2152=PLANE('',#32437); #2153=PLANE('',#32438); #2154=PLANE('',#32439); #2155=PLANE('',#32440); #2156=PLANE('',#32441); #2157=PLANE('',#32442); #2158=PLANE('',#32445); #2159=PLANE('',#32446); #2160=PLANE('',#32449); #2161=PLANE('',#32450); #2162=PLANE('',#32460); #2163=PLANE('',#32462); #2164=PLANE('',#32496); #2165=PLANE('',#32498); #2166=PLANE('',#32502); #2167=PLANE('',#32503); #2168=PLANE('',#32510); #2169=PLANE('',#32512); #2170=PLANE('',#32513); #2171=PLANE('',#32516); #2172=PLANE('',#32517); #2173=PLANE('',#32518); #2174=PLANE('',#32520); #2175=PLANE('',#32538); #2176=PLANE('',#32540); #2177=PLANE('',#32543); #2178=PLANE('',#32544); #2179=PLANE('',#32545); #2180=PLANE('',#32548); #2181=PLANE('',#32549); #2182=PLANE('',#32550); #2183=PLANE('',#32551); #2184=PLANE('',#32552); #2185=PLANE('',#32553); #2186=PLANE('',#32556); #2187=PLANE('',#32557); #2188=PLANE('',#32558); #2189=PLANE('',#32568); #2190=PLANE('',#32572); #2191=PLANE('',#32578); #2192=PLANE('',#32580); #2193=PLANE('',#32581); #2194=PLANE('',#32582); #2195=PLANE('',#32583); #2196=PLANE('',#32584); #2197=PLANE('',#32585); #2198=FACE_OUTER_BOUND('',#3730,.T.); #2199=FACE_OUTER_BOUND('',#3731,.T.); #2200=FACE_OUTER_BOUND('',#3732,.T.); #2201=FACE_OUTER_BOUND('',#3733,.T.); #2202=FACE_OUTER_BOUND('',#3734,.T.); #2203=FACE_OUTER_BOUND('',#3735,.T.); #2204=FACE_OUTER_BOUND('',#3736,.T.); #2205=FACE_OUTER_BOUND('',#3737,.T.); #2206=FACE_OUTER_BOUND('',#3738,.T.); #2207=FACE_OUTER_BOUND('',#3739,.T.); #2208=FACE_OUTER_BOUND('',#3740,.T.); #2209=FACE_OUTER_BOUND('',#3741,.T.); #2210=FACE_OUTER_BOUND('',#3742,.T.); #2211=FACE_OUTER_BOUND('',#3743,.T.); #2212=FACE_OUTER_BOUND('',#3744,.T.); #2213=FACE_OUTER_BOUND('',#3745,.T.); #2214=FACE_OUTER_BOUND('',#3746,.T.); #2215=FACE_OUTER_BOUND('',#3747,.T.); #2216=FACE_OUTER_BOUND('',#3748,.T.); #2217=FACE_OUTER_BOUND('',#3749,.T.); #2218=FACE_OUTER_BOUND('',#3750,.T.); #2219=FACE_OUTER_BOUND('',#3751,.T.); #2220=FACE_OUTER_BOUND('',#3752,.T.); #2221=FACE_OUTER_BOUND('',#3753,.T.); #2222=FACE_OUTER_BOUND('',#3754,.T.); #2223=FACE_OUTER_BOUND('',#3755,.T.); #2224=FACE_OUTER_BOUND('',#3756,.T.); #2225=FACE_OUTER_BOUND('',#3757,.T.); #2226=FACE_OUTER_BOUND('',#3758,.T.); #2227=FACE_OUTER_BOUND('',#3759,.T.); #2228=FACE_OUTER_BOUND('',#3760,.T.); #2229=FACE_OUTER_BOUND('',#3761,.T.); #2230=FACE_OUTER_BOUND('',#3762,.T.); #2231=FACE_OUTER_BOUND('',#3763,.T.); #2232=FACE_OUTER_BOUND('',#3764,.T.); #2233=FACE_OUTER_BOUND('',#3765,.T.); #2234=FACE_OUTER_BOUND('',#3766,.T.); #2235=FACE_OUTER_BOUND('',#3767,.T.); #2236=FACE_OUTER_BOUND('',#3768,.T.); #2237=FACE_OUTER_BOUND('',#3793,.T.); #2238=FACE_OUTER_BOUND('',#3794,.T.); #2239=FACE_OUTER_BOUND('',#3795,.T.); #2240=FACE_OUTER_BOUND('',#3796,.T.); #2241=FACE_OUTER_BOUND('',#3821,.T.); #2242=FACE_OUTER_BOUND('',#3822,.T.); #2243=FACE_OUTER_BOUND('',#3823,.T.); #2244=FACE_OUTER_BOUND('',#3824,.T.); #2245=FACE_OUTER_BOUND('',#3825,.T.); #2246=FACE_OUTER_BOUND('',#3826,.T.); #2247=FACE_OUTER_BOUND('',#3827,.T.); #2248=FACE_OUTER_BOUND('',#3828,.T.); #2249=FACE_OUTER_BOUND('',#3829,.T.); #2250=FACE_OUTER_BOUND('',#3830,.T.); #2251=FACE_OUTER_BOUND('',#3831,.T.); #2252=FACE_OUTER_BOUND('',#3832,.T.); #2253=FACE_OUTER_BOUND('',#3833,.T.); #2254=FACE_OUTER_BOUND('',#3834,.T.); #2255=FACE_OUTER_BOUND('',#3835,.T.); #2256=FACE_OUTER_BOUND('',#3836,.T.); #2257=FACE_OUTER_BOUND('',#3838,.T.); #2258=FACE_OUTER_BOUND('',#3839,.T.); #2259=FACE_OUTER_BOUND('',#3845,.T.); #2260=FACE_OUTER_BOUND('',#3846,.T.); #2261=FACE_OUTER_BOUND('',#3847,.T.); #2262=FACE_OUTER_BOUND('',#3853,.T.); #2263=FACE_OUTER_BOUND('',#3854,.T.); #2264=FACE_OUTER_BOUND('',#3855,.T.); #2265=FACE_OUTER_BOUND('',#3857,.T.); #2266=FACE_OUTER_BOUND('',#3858,.T.); #2267=FACE_OUTER_BOUND('',#3859,.T.); #2268=FACE_OUTER_BOUND('',#3861,.T.); #2269=FACE_OUTER_BOUND('',#3862,.T.); #2270=FACE_OUTER_BOUND('',#3864,.T.); #2271=FACE_OUTER_BOUND('',#3866,.T.); #2272=FACE_OUTER_BOUND('',#3868,.T.); #2273=FACE_OUTER_BOUND('',#3869,.T.); #2274=FACE_OUTER_BOUND('',#3870,.T.); #2275=FACE_OUTER_BOUND('',#3871,.T.); #2276=FACE_OUTER_BOUND('',#3872,.T.); #2277=FACE_OUTER_BOUND('',#3874,.T.); #2278=FACE_OUTER_BOUND('',#3876,.T.); #2279=FACE_OUTER_BOUND('',#3877,.T.); #2280=FACE_OUTER_BOUND('',#3878,.T.); #2281=FACE_OUTER_BOUND('',#3879,.T.); #2282=FACE_OUTER_BOUND('',#3880,.T.); #2283=FACE_OUTER_BOUND('',#3881,.T.); #2284=FACE_OUTER_BOUND('',#3883,.T.); #2285=FACE_OUTER_BOUND('',#3885,.T.); #2286=FACE_OUTER_BOUND('',#3887,.T.); #2287=FACE_OUTER_BOUND('',#3888,.T.); #2288=FACE_OUTER_BOUND('',#3889,.T.); #2289=FACE_OUTER_BOUND('',#3890,.T.); #2290=FACE_OUTER_BOUND('',#3891,.T.); #2291=FACE_OUTER_BOUND('',#3892,.T.); #2292=FACE_OUTER_BOUND('',#3893,.T.); #2293=FACE_OUTER_BOUND('',#3895,.T.); #2294=FACE_OUTER_BOUND('',#3897,.T.); #2295=FACE_OUTER_BOUND('',#3899,.T.); #2296=FACE_OUTER_BOUND('',#3900,.T.); #2297=FACE_OUTER_BOUND('',#3901,.T.); #2298=FACE_OUTER_BOUND('',#3902,.T.); #2299=FACE_OUTER_BOUND('',#3903,.T.); #2300=FACE_OUTER_BOUND('',#3904,.T.); #2301=FACE_OUTER_BOUND('',#3906,.T.); #2302=FACE_OUTER_BOUND('',#3907,.T.); #2303=FACE_OUTER_BOUND('',#3908,.T.); #2304=FACE_OUTER_BOUND('',#3909,.T.); #2305=FACE_OUTER_BOUND('',#3911,.T.); #2306=FACE_OUTER_BOUND('',#3913,.T.); #2307=FACE_OUTER_BOUND('',#3915,.T.); #2308=FACE_OUTER_BOUND('',#3916,.T.); #2309=FACE_OUTER_BOUND('',#3917,.T.); #2310=FACE_OUTER_BOUND('',#3918,.T.); #2311=FACE_OUTER_BOUND('',#3920,.T.); #2312=FACE_OUTER_BOUND('',#3922,.T.); #2313=FACE_OUTER_BOUND('',#3924,.T.); #2314=FACE_OUTER_BOUND('',#3926,.T.); #2315=FACE_OUTER_BOUND('',#3928,.T.); #2316=FACE_OUTER_BOUND('',#3930,.T.); #2317=FACE_OUTER_BOUND('',#3932,.T.); #2318=FACE_OUTER_BOUND('',#3933,.T.); #2319=FACE_OUTER_BOUND('',#3935,.T.); #2320=FACE_OUTER_BOUND('',#3937,.T.); #2321=FACE_OUTER_BOUND('',#3939,.T.); #2322=FACE_OUTER_BOUND('',#3941,.T.); #2323=FACE_OUTER_BOUND('',#3943,.T.); #2324=FACE_OUTER_BOUND('',#3944,.T.); #2325=FACE_OUTER_BOUND('',#3947,.T.); #2326=FACE_OUTER_BOUND('',#3950,.T.); #2327=FACE_OUTER_BOUND('',#3953,.T.); #2328=FACE_OUTER_BOUND('',#3956,.T.); #2329=FACE_OUTER_BOUND('',#3959,.T.); #2330=FACE_OUTER_BOUND('',#3961,.T.); #2331=FACE_OUTER_BOUND('',#3964,.T.); #2332=FACE_OUTER_BOUND('',#3967,.T.); #2333=FACE_OUTER_BOUND('',#3970,.T.); #2334=FACE_OUTER_BOUND('',#3973,.T.); #2335=FACE_OUTER_BOUND('',#3976,.T.); #2336=FACE_OUTER_BOUND('',#3978,.T.); #2337=FACE_OUTER_BOUND('',#4002,.T.); #2338=FACE_OUTER_BOUND('',#4003,.T.); #2339=FACE_OUTER_BOUND('',#4004,.T.); #2340=FACE_OUTER_BOUND('',#4005,.T.); #2341=FACE_OUTER_BOUND('',#4006,.T.); #2342=FACE_OUTER_BOUND('',#4007,.T.); #2343=FACE_OUTER_BOUND('',#4008,.T.); #2344=FACE_OUTER_BOUND('',#4009,.T.); #2345=FACE_OUTER_BOUND('',#4012,.T.); #2346=FACE_OUTER_BOUND('',#4013,.T.); #2347=FACE_OUTER_BOUND('',#4014,.T.); #2348=FACE_OUTER_BOUND('',#4015,.T.); #2349=FACE_OUTER_BOUND('',#4016,.T.); #2350=FACE_OUTER_BOUND('',#4017,.T.); #2351=FACE_OUTER_BOUND('',#4018,.T.); #2352=FACE_OUTER_BOUND('',#4019,.T.); #2353=FACE_OUTER_BOUND('',#4020,.T.); #2354=FACE_OUTER_BOUND('',#4021,.T.); #2355=FACE_OUTER_BOUND('',#4022,.T.); #2356=FACE_OUTER_BOUND('',#4025,.T.); #2357=FACE_OUTER_BOUND('',#4027,.T.); #2358=FACE_OUTER_BOUND('',#4028,.T.); #2359=FACE_OUTER_BOUND('',#4029,.T.); #2360=FACE_OUTER_BOUND('',#4030,.T.); #2361=FACE_OUTER_BOUND('',#4031,.T.); #2362=FACE_OUTER_BOUND('',#4032,.T.); #2363=FACE_OUTER_BOUND('',#4033,.T.); #2364=FACE_OUTER_BOUND('',#4034,.T.); #2365=FACE_OUTER_BOUND('',#4035,.T.); #2366=FACE_OUTER_BOUND('',#4036,.T.); #2367=FACE_OUTER_BOUND('',#4037,.T.); #2368=FACE_OUTER_BOUND('',#4038,.T.); #2369=FACE_OUTER_BOUND('',#4039,.T.); #2370=FACE_OUTER_BOUND('',#4040,.T.); #2371=FACE_OUTER_BOUND('',#4043,.T.); #2372=FACE_OUTER_BOUND('',#4044,.T.); #2373=FACE_OUTER_BOUND('',#4045,.T.); #2374=FACE_OUTER_BOUND('',#4046,.T.); #2375=FACE_OUTER_BOUND('',#4047,.T.); #2376=FACE_OUTER_BOUND('',#4048,.T.); #2377=FACE_OUTER_BOUND('',#4049,.T.); #2378=FACE_OUTER_BOUND('',#4051,.T.); #2379=FACE_OUTER_BOUND('',#4052,.T.); #2380=FACE_OUTER_BOUND('',#4054,.T.); #2381=FACE_OUTER_BOUND('',#4055,.T.); #2382=FACE_OUTER_BOUND('',#4056,.T.); #2383=FACE_OUTER_BOUND('',#4057,.T.); #2384=FACE_OUTER_BOUND('',#4058,.T.); #2385=FACE_OUTER_BOUND('',#4059,.T.); #2386=FACE_OUTER_BOUND('',#4060,.T.); #2387=FACE_OUTER_BOUND('',#4061,.T.); #2388=FACE_OUTER_BOUND('',#4062,.T.); #2389=FACE_OUTER_BOUND('',#4063,.T.); #2390=FACE_OUTER_BOUND('',#4064,.T.); #2391=FACE_OUTER_BOUND('',#4065,.T.); #2392=FACE_OUTER_BOUND('',#4066,.T.); #2393=FACE_OUTER_BOUND('',#4067,.T.); #2394=FACE_OUTER_BOUND('',#4068,.T.); #2395=FACE_OUTER_BOUND('',#4069,.T.); #2396=FACE_OUTER_BOUND('',#4070,.T.); #2397=FACE_OUTER_BOUND('',#4071,.T.); #2398=FACE_OUTER_BOUND('',#4072,.T.); #2399=FACE_OUTER_BOUND('',#4073,.T.); #2400=FACE_OUTER_BOUND('',#4074,.T.); #2401=FACE_OUTER_BOUND('',#4075,.T.); #2402=FACE_OUTER_BOUND('',#4076,.T.); #2403=FACE_OUTER_BOUND('',#4077,.T.); #2404=FACE_OUTER_BOUND('',#4078,.T.); #2405=FACE_OUTER_BOUND('',#4079,.T.); #2406=FACE_OUTER_BOUND('',#4080,.T.); #2407=FACE_OUTER_BOUND('',#4081,.T.); #2408=FACE_OUTER_BOUND('',#4082,.T.); #2409=FACE_OUTER_BOUND('',#4083,.T.); #2410=FACE_OUTER_BOUND('',#4084,.T.); #2411=FACE_OUTER_BOUND('',#4085,.T.); #2412=FACE_OUTER_BOUND('',#4086,.T.); #2413=FACE_OUTER_BOUND('',#4087,.T.); #2414=FACE_OUTER_BOUND('',#4088,.T.); #2415=FACE_OUTER_BOUND('',#4089,.T.); #2416=FACE_OUTER_BOUND('',#4090,.T.); #2417=FACE_OUTER_BOUND('',#4091,.T.); #2418=FACE_OUTER_BOUND('',#4092,.T.); #2419=FACE_OUTER_BOUND('',#4093,.T.); #2420=FACE_OUTER_BOUND('',#4094,.T.); #2421=FACE_OUTER_BOUND('',#4095,.T.); #2422=FACE_OUTER_BOUND('',#4096,.T.); #2423=FACE_OUTER_BOUND('',#4097,.T.); #2424=FACE_OUTER_BOUND('',#4098,.T.); #2425=FACE_OUTER_BOUND('',#4099,.T.); #2426=FACE_OUTER_BOUND('',#4111,.T.); #2427=FACE_OUTER_BOUND('',#4112,.T.); #2428=FACE_OUTER_BOUND('',#4113,.T.); #2429=FACE_OUTER_BOUND('',#4114,.T.); #2430=FACE_OUTER_BOUND('',#4115,.T.); #2431=FACE_OUTER_BOUND('',#4116,.T.); #2432=FACE_OUTER_BOUND('',#4117,.T.); #2433=FACE_OUTER_BOUND('',#4118,.T.); #2434=FACE_OUTER_BOUND('',#4119,.T.); #2435=FACE_OUTER_BOUND('',#4120,.T.); #2436=FACE_OUTER_BOUND('',#4121,.T.); #2437=FACE_OUTER_BOUND('',#4122,.T.); #2438=FACE_OUTER_BOUND('',#4123,.T.); #2439=FACE_OUTER_BOUND('',#4124,.T.); #2440=FACE_OUTER_BOUND('',#4125,.T.); #2441=FACE_OUTER_BOUND('',#4126,.T.); #2442=FACE_OUTER_BOUND('',#4127,.T.); #2443=FACE_OUTER_BOUND('',#4128,.T.); #2444=FACE_OUTER_BOUND('',#4129,.T.); #2445=FACE_OUTER_BOUND('',#4130,.T.); #2446=FACE_OUTER_BOUND('',#4131,.T.); #2447=FACE_OUTER_BOUND('',#4132,.T.); #2448=FACE_OUTER_BOUND('',#4133,.T.); #2449=FACE_OUTER_BOUND('',#4134,.T.); #2450=FACE_OUTER_BOUND('',#4135,.T.); #2451=FACE_OUTER_BOUND('',#4136,.T.); #2452=FACE_OUTER_BOUND('',#4137,.T.); #2453=FACE_OUTER_BOUND('',#4138,.T.); #2454=FACE_OUTER_BOUND('',#4139,.T.); #2455=FACE_OUTER_BOUND('',#4140,.T.); #2456=FACE_OUTER_BOUND('',#4141,.T.); #2457=FACE_OUTER_BOUND('',#4142,.T.); #2458=FACE_OUTER_BOUND('',#4143,.T.); #2459=FACE_OUTER_BOUND('',#4144,.T.); #2460=FACE_OUTER_BOUND('',#4145,.T.); #2461=FACE_OUTER_BOUND('',#4146,.T.); #2462=FACE_OUTER_BOUND('',#4147,.T.); #2463=FACE_OUTER_BOUND('',#4148,.T.); #2464=FACE_OUTER_BOUND('',#4149,.T.); #2465=FACE_OUTER_BOUND('',#4150,.T.); #2466=FACE_OUTER_BOUND('',#4151,.T.); #2467=FACE_OUTER_BOUND('',#4152,.T.); #2468=FACE_OUTER_BOUND('',#4153,.T.); #2469=FACE_OUTER_BOUND('',#4154,.T.); #2470=FACE_OUTER_BOUND('',#4155,.T.); #2471=FACE_OUTER_BOUND('',#4156,.T.); #2472=FACE_OUTER_BOUND('',#4157,.T.); #2473=FACE_OUTER_BOUND('',#4158,.T.); #2474=FACE_OUTER_BOUND('',#4159,.T.); #2475=FACE_OUTER_BOUND('',#4160,.T.); #2476=FACE_OUTER_BOUND('',#4161,.T.); #2477=FACE_OUTER_BOUND('',#4162,.T.); #2478=FACE_OUTER_BOUND('',#4163,.T.); #2479=FACE_OUTER_BOUND('',#4164,.T.); #2480=FACE_OUTER_BOUND('',#4165,.T.); #2481=FACE_OUTER_BOUND('',#4166,.T.); #2482=FACE_OUTER_BOUND('',#4167,.T.); #2483=FACE_OUTER_BOUND('',#4168,.T.); #2484=FACE_OUTER_BOUND('',#4169,.T.); #2485=FACE_OUTER_BOUND('',#4170,.T.); #2486=FACE_OUTER_BOUND('',#4171,.T.); #2487=FACE_OUTER_BOUND('',#4172,.T.); #2488=FACE_OUTER_BOUND('',#4173,.T.); #2489=FACE_OUTER_BOUND('',#4174,.T.); #2490=FACE_OUTER_BOUND('',#4175,.T.); #2491=FACE_OUTER_BOUND('',#4176,.T.); #2492=FACE_OUTER_BOUND('',#4177,.T.); #2493=FACE_OUTER_BOUND('',#4178,.T.); #2494=FACE_OUTER_BOUND('',#4179,.T.); #2495=FACE_OUTER_BOUND('',#4180,.T.); #2496=FACE_OUTER_BOUND('',#4181,.T.); #2497=FACE_OUTER_BOUND('',#4182,.T.); #2498=FACE_OUTER_BOUND('',#4183,.T.); #2499=FACE_OUTER_BOUND('',#4184,.T.); #2500=FACE_OUTER_BOUND('',#4185,.T.); #2501=FACE_OUTER_BOUND('',#4186,.T.); #2502=FACE_OUTER_BOUND('',#4187,.T.); #2503=FACE_OUTER_BOUND('',#4188,.T.); #2504=FACE_OUTER_BOUND('',#4189,.T.); #2505=FACE_OUTER_BOUND('',#4190,.T.); #2506=FACE_OUTER_BOUND('',#4191,.T.); #2507=FACE_OUTER_BOUND('',#4192,.T.); #2508=FACE_OUTER_BOUND('',#4193,.T.); #2509=FACE_OUTER_BOUND('',#4194,.T.); #2510=FACE_OUTER_BOUND('',#4195,.T.); #2511=FACE_OUTER_BOUND('',#4196,.T.); #2512=FACE_OUTER_BOUND('',#4197,.T.); #2513=FACE_OUTER_BOUND('',#4198,.T.); #2514=FACE_OUTER_BOUND('',#4199,.T.); #2515=FACE_OUTER_BOUND('',#4200,.T.); #2516=FACE_OUTER_BOUND('',#4201,.T.); #2517=FACE_OUTER_BOUND('',#4202,.T.); #2518=FACE_OUTER_BOUND('',#4203,.T.); #2519=FACE_OUTER_BOUND('',#4204,.T.); #2520=FACE_OUTER_BOUND('',#4205,.T.); #2521=FACE_OUTER_BOUND('',#4206,.T.); #2522=FACE_OUTER_BOUND('',#4207,.T.); #2523=FACE_OUTER_BOUND('',#4208,.T.); #2524=FACE_OUTER_BOUND('',#4209,.T.); #2525=FACE_OUTER_BOUND('',#4210,.T.); #2526=FACE_OUTER_BOUND('',#4211,.T.); #2527=FACE_OUTER_BOUND('',#4212,.T.); #2528=FACE_OUTER_BOUND('',#4213,.T.); #2529=FACE_OUTER_BOUND('',#4214,.T.); #2530=FACE_OUTER_BOUND('',#4215,.T.); #2531=FACE_OUTER_BOUND('',#4216,.T.); #2532=FACE_OUTER_BOUND('',#4217,.T.); #2533=FACE_OUTER_BOUND('',#4218,.T.); #2534=FACE_OUTER_BOUND('',#4219,.T.); #2535=FACE_OUTER_BOUND('',#4220,.T.); #2536=FACE_OUTER_BOUND('',#4221,.T.); #2537=FACE_OUTER_BOUND('',#4222,.T.); #2538=FACE_OUTER_BOUND('',#4223,.T.); #2539=FACE_OUTER_BOUND('',#4224,.T.); #2540=FACE_OUTER_BOUND('',#4225,.T.); #2541=FACE_OUTER_BOUND('',#4226,.T.); #2542=FACE_OUTER_BOUND('',#4227,.T.); #2543=FACE_OUTER_BOUND('',#4228,.T.); #2544=FACE_OUTER_BOUND('',#4229,.T.); #2545=FACE_OUTER_BOUND('',#4230,.T.); #2546=FACE_OUTER_BOUND('',#4231,.T.); #2547=FACE_OUTER_BOUND('',#4232,.T.); #2548=FACE_OUTER_BOUND('',#4233,.T.); #2549=FACE_OUTER_BOUND('',#4234,.T.); #2550=FACE_OUTER_BOUND('',#4235,.T.); #2551=FACE_OUTER_BOUND('',#4236,.T.); #2552=FACE_OUTER_BOUND('',#4237,.T.); #2553=FACE_OUTER_BOUND('',#4238,.T.); #2554=FACE_OUTER_BOUND('',#4239,.T.); #2555=FACE_OUTER_BOUND('',#4240,.T.); #2556=FACE_OUTER_BOUND('',#4241,.T.); #2557=FACE_OUTER_BOUND('',#4242,.T.); #2558=FACE_OUTER_BOUND('',#4243,.T.); #2559=FACE_OUTER_BOUND('',#4244,.T.); #2560=FACE_OUTER_BOUND('',#4245,.T.); #2561=FACE_OUTER_BOUND('',#4246,.T.); #2562=FACE_OUTER_BOUND('',#4247,.T.); #2563=FACE_OUTER_BOUND('',#4248,.T.); #2564=FACE_OUTER_BOUND('',#4249,.T.); #2565=FACE_OUTER_BOUND('',#4250,.T.); #2566=FACE_OUTER_BOUND('',#4251,.T.); #2567=FACE_OUTER_BOUND('',#4252,.T.); #2568=FACE_OUTER_BOUND('',#4253,.T.); #2569=FACE_OUTER_BOUND('',#4254,.T.); #2570=FACE_OUTER_BOUND('',#4255,.T.); #2571=FACE_OUTER_BOUND('',#4256,.T.); #2572=FACE_OUTER_BOUND('',#4257,.T.); #2573=FACE_OUTER_BOUND('',#4258,.T.); #2574=FACE_OUTER_BOUND('',#4259,.T.); #2575=FACE_OUTER_BOUND('',#4260,.T.); #2576=FACE_OUTER_BOUND('',#4261,.T.); #2577=FACE_OUTER_BOUND('',#4262,.T.); #2578=FACE_OUTER_BOUND('',#4263,.T.); #2579=FACE_OUTER_BOUND('',#4264,.T.); #2580=FACE_OUTER_BOUND('',#4265,.T.); #2581=FACE_OUTER_BOUND('',#4266,.T.); #2582=FACE_OUTER_BOUND('',#4267,.T.); #2583=FACE_OUTER_BOUND('',#4268,.T.); #2584=FACE_OUTER_BOUND('',#4269,.T.); #2585=FACE_OUTER_BOUND('',#4270,.T.); #2586=FACE_OUTER_BOUND('',#4271,.T.); #2587=FACE_OUTER_BOUND('',#4272,.T.); #2588=FACE_OUTER_BOUND('',#4273,.T.); #2589=FACE_OUTER_BOUND('',#4274,.T.); #2590=FACE_OUTER_BOUND('',#4275,.T.); #2591=FACE_OUTER_BOUND('',#4276,.T.); #2592=FACE_OUTER_BOUND('',#4277,.T.); #2593=FACE_OUTER_BOUND('',#4278,.T.); #2594=FACE_OUTER_BOUND('',#4279,.T.); #2595=FACE_OUTER_BOUND('',#4280,.T.); #2596=FACE_OUTER_BOUND('',#4281,.T.); #2597=FACE_OUTER_BOUND('',#4282,.T.); #2598=FACE_OUTER_BOUND('',#4283,.T.); #2599=FACE_OUTER_BOUND('',#4284,.T.); #2600=FACE_OUTER_BOUND('',#4285,.T.); #2601=FACE_OUTER_BOUND('',#4286,.T.); #2602=FACE_OUTER_BOUND('',#4287,.T.); #2603=FACE_OUTER_BOUND('',#4288,.T.); #2604=FACE_OUTER_BOUND('',#4289,.T.); #2605=FACE_OUTER_BOUND('',#4290,.T.); #2606=FACE_OUTER_BOUND('',#4291,.T.); #2607=FACE_OUTER_BOUND('',#4292,.T.); #2608=FACE_OUTER_BOUND('',#4293,.T.); #2609=FACE_OUTER_BOUND('',#4294,.T.); #2610=FACE_OUTER_BOUND('',#4295,.T.); #2611=FACE_OUTER_BOUND('',#4296,.T.); #2612=FACE_OUTER_BOUND('',#4297,.T.); #2613=FACE_OUTER_BOUND('',#4298,.T.); #2614=FACE_OUTER_BOUND('',#4299,.T.); #2615=FACE_OUTER_BOUND('',#4300,.T.); #2616=FACE_OUTER_BOUND('',#4301,.T.); #2617=FACE_OUTER_BOUND('',#4302,.T.); #2618=FACE_OUTER_BOUND('',#4303,.T.); #2619=FACE_OUTER_BOUND('',#4304,.T.); #2620=FACE_OUTER_BOUND('',#4305,.T.); #2621=FACE_OUTER_BOUND('',#4306,.T.); #2622=FACE_OUTER_BOUND('',#4307,.T.); #2623=FACE_OUTER_BOUND('',#4308,.T.); #2624=FACE_OUTER_BOUND('',#4309,.T.); #2625=FACE_OUTER_BOUND('',#4310,.T.); #2626=FACE_OUTER_BOUND('',#4311,.T.); #2627=FACE_OUTER_BOUND('',#4312,.T.); #2628=FACE_OUTER_BOUND('',#4313,.T.); #2629=FACE_OUTER_BOUND('',#4314,.T.); #2630=FACE_OUTER_BOUND('',#4315,.T.); #2631=FACE_OUTER_BOUND('',#4316,.T.); #2632=FACE_OUTER_BOUND('',#4317,.T.); #2633=FACE_OUTER_BOUND('',#4318,.T.); #2634=FACE_OUTER_BOUND('',#4319,.T.); #2635=FACE_OUTER_BOUND('',#4320,.T.); #2636=FACE_OUTER_BOUND('',#4321,.T.); #2637=FACE_OUTER_BOUND('',#4322,.T.); #2638=FACE_OUTER_BOUND('',#4323,.T.); #2639=FACE_OUTER_BOUND('',#4324,.T.); #2640=FACE_OUTER_BOUND('',#4325,.T.); #2641=FACE_OUTER_BOUND('',#4326,.T.); #2642=FACE_OUTER_BOUND('',#4327,.T.); #2643=FACE_OUTER_BOUND('',#4328,.T.); #2644=FACE_OUTER_BOUND('',#4329,.T.); #2645=FACE_OUTER_BOUND('',#4330,.T.); #2646=FACE_OUTER_BOUND('',#4331,.T.); #2647=FACE_OUTER_BOUND('',#4332,.T.); #2648=FACE_OUTER_BOUND('',#4333,.T.); #2649=FACE_OUTER_BOUND('',#4334,.T.); #2650=FACE_OUTER_BOUND('',#4335,.T.); #2651=FACE_OUTER_BOUND('',#4336,.T.); #2652=FACE_OUTER_BOUND('',#4337,.T.); #2653=FACE_OUTER_BOUND('',#4338,.T.); #2654=FACE_OUTER_BOUND('',#4339,.T.); #2655=FACE_OUTER_BOUND('',#4340,.T.); #2656=FACE_OUTER_BOUND('',#4341,.T.); #2657=FACE_OUTER_BOUND('',#4342,.T.); #2658=FACE_OUTER_BOUND('',#4343,.T.); #2659=FACE_OUTER_BOUND('',#4344,.T.); #2660=FACE_OUTER_BOUND('',#4345,.T.); #2661=FACE_OUTER_BOUND('',#4346,.T.); #2662=FACE_OUTER_BOUND('',#4347,.T.); #2663=FACE_OUTER_BOUND('',#4348,.T.); #2664=FACE_OUTER_BOUND('',#4349,.T.); #2665=FACE_OUTER_BOUND('',#4350,.T.); #2666=FACE_OUTER_BOUND('',#4351,.T.); #2667=FACE_OUTER_BOUND('',#4352,.T.); #2668=FACE_OUTER_BOUND('',#4353,.T.); #2669=FACE_OUTER_BOUND('',#4354,.T.); #2670=FACE_OUTER_BOUND('',#4355,.T.); #2671=FACE_OUTER_BOUND('',#4356,.T.); #2672=FACE_OUTER_BOUND('',#4357,.T.); #2673=FACE_OUTER_BOUND('',#4358,.T.); #2674=FACE_OUTER_BOUND('',#4359,.T.); #2675=FACE_OUTER_BOUND('',#4360,.T.); #2676=FACE_OUTER_BOUND('',#4361,.T.); #2677=FACE_OUTER_BOUND('',#4362,.T.); #2678=FACE_OUTER_BOUND('',#4363,.T.); #2679=FACE_OUTER_BOUND('',#4364,.T.); #2680=FACE_OUTER_BOUND('',#4365,.T.); #2681=FACE_OUTER_BOUND('',#4366,.T.); #2682=FACE_OUTER_BOUND('',#4367,.T.); #2683=FACE_OUTER_BOUND('',#4368,.T.); #2684=FACE_OUTER_BOUND('',#4369,.T.); #2685=FACE_OUTER_BOUND('',#4370,.T.); #2686=FACE_OUTER_BOUND('',#4372,.T.); #2687=FACE_OUTER_BOUND('',#4373,.T.); #2688=FACE_OUTER_BOUND('',#4374,.T.); #2689=FACE_OUTER_BOUND('',#4375,.T.); #2690=FACE_OUTER_BOUND('',#4377,.T.); #2691=FACE_OUTER_BOUND('',#4378,.T.); #2692=FACE_OUTER_BOUND('',#4379,.T.); #2693=FACE_OUTER_BOUND('',#4380,.T.); #2694=FACE_OUTER_BOUND('',#4381,.T.); #2695=FACE_OUTER_BOUND('',#4382,.T.); #2696=FACE_OUTER_BOUND('',#4383,.T.); #2697=FACE_OUTER_BOUND('',#4384,.T.); #2698=FACE_OUTER_BOUND('',#4385,.T.); #2699=FACE_OUTER_BOUND('',#4386,.T.); #2700=FACE_OUTER_BOUND('',#4387,.T.); #2701=FACE_OUTER_BOUND('',#4388,.T.); #2702=FACE_OUTER_BOUND('',#4389,.T.); #2703=FACE_OUTER_BOUND('',#4390,.T.); #2704=FACE_OUTER_BOUND('',#4391,.T.); #2705=FACE_OUTER_BOUND('',#4393,.T.); #2706=FACE_OUTER_BOUND('',#4395,.T.); #2707=FACE_OUTER_BOUND('',#4396,.T.); #2708=FACE_OUTER_BOUND('',#4397,.T.); #2709=FACE_OUTER_BOUND('',#4399,.T.); #2710=FACE_OUTER_BOUND('',#4400,.T.); #2711=FACE_OUTER_BOUND('',#4401,.T.); #2712=FACE_OUTER_BOUND('',#4402,.T.); #2713=FACE_OUTER_BOUND('',#4403,.T.); #2714=FACE_OUTER_BOUND('',#4404,.T.); #2715=FACE_OUTER_BOUND('',#4405,.T.); #2716=FACE_OUTER_BOUND('',#4406,.T.); #2717=FACE_OUTER_BOUND('',#4407,.T.); #2718=FACE_OUTER_BOUND('',#4408,.T.); #2719=FACE_OUTER_BOUND('',#4409,.T.); #2720=FACE_OUTER_BOUND('',#4410,.T.); #2721=FACE_OUTER_BOUND('',#4411,.T.); #2722=FACE_OUTER_BOUND('',#4412,.T.); #2723=FACE_OUTER_BOUND('',#4413,.T.); #2724=FACE_OUTER_BOUND('',#4415,.T.); #2725=FACE_OUTER_BOUND('',#4417,.T.); #2726=FACE_OUTER_BOUND('',#4418,.T.); #2727=FACE_OUTER_BOUND('',#4419,.T.); #2728=FACE_OUTER_BOUND('',#4421,.T.); #2729=FACE_OUTER_BOUND('',#4423,.T.); #2730=FACE_OUTER_BOUND('',#4425,.T.); #2731=FACE_OUTER_BOUND('',#4427,.T.); #2732=FACE_OUTER_BOUND('',#4429,.T.); #2733=FACE_OUTER_BOUND('',#4430,.T.); #2734=FACE_OUTER_BOUND('',#4431,.T.); #2735=FACE_OUTER_BOUND('',#4432,.T.); #2736=FACE_OUTER_BOUND('',#4434,.T.); #2737=FACE_OUTER_BOUND('',#4435,.T.); #2738=FACE_OUTER_BOUND('',#4436,.T.); #2739=FACE_OUTER_BOUND('',#4437,.T.); #2740=FACE_OUTER_BOUND('',#4439,.T.); #2741=FACE_OUTER_BOUND('',#4440,.T.); #2742=FACE_OUTER_BOUND('',#4441,.T.); #2743=FACE_OUTER_BOUND('',#4442,.T.); #2744=FACE_OUTER_BOUND('',#4443,.T.); #2745=FACE_OUTER_BOUND('',#4444,.T.); #2746=FACE_OUTER_BOUND('',#4445,.T.); #2747=FACE_OUTER_BOUND('',#4446,.T.); #2748=FACE_OUTER_BOUND('',#4447,.T.); #2749=FACE_OUTER_BOUND('',#4448,.T.); #2750=FACE_OUTER_BOUND('',#4449,.T.); #2751=FACE_OUTER_BOUND('',#4451,.T.); #2752=FACE_OUTER_BOUND('',#4452,.T.); #2753=FACE_OUTER_BOUND('',#4453,.T.); #2754=FACE_OUTER_BOUND('',#4454,.T.); #2755=FACE_OUTER_BOUND('',#4456,.T.); #2756=FACE_OUTER_BOUND('',#4457,.T.); #2757=FACE_OUTER_BOUND('',#4458,.T.); #2758=FACE_OUTER_BOUND('',#4459,.T.); #2759=FACE_OUTER_BOUND('',#4460,.T.); #2760=FACE_OUTER_BOUND('',#4461,.T.); #2761=FACE_OUTER_BOUND('',#4462,.T.); #2762=FACE_OUTER_BOUND('',#4463,.T.); #2763=FACE_OUTER_BOUND('',#4464,.T.); #2764=FACE_OUTER_BOUND('',#4465,.T.); #2765=FACE_OUTER_BOUND('',#4466,.T.); #2766=FACE_OUTER_BOUND('',#4467,.T.); #2767=FACE_OUTER_BOUND('',#4468,.T.); #2768=FACE_OUTER_BOUND('',#4469,.T.); #2769=FACE_OUTER_BOUND('',#4470,.T.); #2770=FACE_OUTER_BOUND('',#4471,.T.); #2771=FACE_OUTER_BOUND('',#4472,.T.); #2772=FACE_OUTER_BOUND('',#4473,.T.); #2773=FACE_OUTER_BOUND('',#4476,.T.); #2774=FACE_OUTER_BOUND('',#4477,.T.); #2775=FACE_OUTER_BOUND('',#4478,.T.); #2776=FACE_OUTER_BOUND('',#4479,.T.); #2777=FACE_OUTER_BOUND('',#4480,.T.); #2778=FACE_OUTER_BOUND('',#4481,.T.); #2779=FACE_OUTER_BOUND('',#4482,.T.); #2780=FACE_OUTER_BOUND('',#4485,.T.); #2781=FACE_OUTER_BOUND('',#4486,.T.); #2782=FACE_OUTER_BOUND('',#4488,.T.); #2783=FACE_OUTER_BOUND('',#4489,.T.); #2784=FACE_OUTER_BOUND('',#4490,.T.); #2785=FACE_OUTER_BOUND('',#4492,.T.); #2786=FACE_OUTER_BOUND('',#4493,.T.); #2787=FACE_OUTER_BOUND('',#4494,.T.); #2788=FACE_OUTER_BOUND('',#4496,.T.); #2789=FACE_OUTER_BOUND('',#4497,.T.); #2790=FACE_OUTER_BOUND('',#4498,.T.); #2791=FACE_OUTER_BOUND('',#4500,.T.); #2792=FACE_OUTER_BOUND('',#4501,.T.); #2793=FACE_OUTER_BOUND('',#4502,.T.); #2794=FACE_OUTER_BOUND('',#4503,.T.); #2795=FACE_OUTER_BOUND('',#4504,.T.); #2796=FACE_OUTER_BOUND('',#4505,.T.); #2797=FACE_OUTER_BOUND('',#4510,.T.); #2798=FACE_OUTER_BOUND('',#4511,.T.); #2799=FACE_OUTER_BOUND('',#4512,.T.); #2800=FACE_OUTER_BOUND('',#4513,.T.); #2801=FACE_OUTER_BOUND('',#4514,.T.); #2802=FACE_OUTER_BOUND('',#4515,.T.); #2803=FACE_OUTER_BOUND('',#4516,.T.); #2804=FACE_OUTER_BOUND('',#4517,.T.); #2805=FACE_OUTER_BOUND('',#4518,.T.); #2806=FACE_OUTER_BOUND('',#4519,.T.); #2807=FACE_OUTER_BOUND('',#4520,.T.); #2808=FACE_OUTER_BOUND('',#4521,.T.); #2809=FACE_OUTER_BOUND('',#4522,.T.); #2810=FACE_OUTER_BOUND('',#4523,.T.); #2811=FACE_OUTER_BOUND('',#4524,.T.); #2812=FACE_OUTER_BOUND('',#4529,.T.); #2813=FACE_OUTER_BOUND('',#4531,.T.); #2814=FACE_OUTER_BOUND('',#4532,.T.); #2815=FACE_OUTER_BOUND('',#4533,.T.); #2816=FACE_OUTER_BOUND('',#4535,.T.); #2817=FACE_OUTER_BOUND('',#4536,.T.); #2818=FACE_OUTER_BOUND('',#4537,.T.); #2819=FACE_OUTER_BOUND('',#4539,.T.); #2820=FACE_OUTER_BOUND('',#4540,.T.); #2821=FACE_OUTER_BOUND('',#4541,.T.); #2822=FACE_OUTER_BOUND('',#4543,.T.); #2823=FACE_OUTER_BOUND('',#4544,.T.); #2824=FACE_OUTER_BOUND('',#4545,.T.); #2825=FACE_OUTER_BOUND('',#4546,.T.); #2826=FACE_OUTER_BOUND('',#4547,.T.); #2827=FACE_OUTER_BOUND('',#4548,.T.); #2828=FACE_OUTER_BOUND('',#4553,.T.); #2829=FACE_OUTER_BOUND('',#4554,.T.); #2830=FACE_OUTER_BOUND('',#4555,.T.); #2831=FACE_OUTER_BOUND('',#4556,.T.); #2832=FACE_OUTER_BOUND('',#4557,.T.); #2833=FACE_OUTER_BOUND('',#4558,.T.); #2834=FACE_OUTER_BOUND('',#4559,.T.); #2835=FACE_OUTER_BOUND('',#4560,.T.); #2836=FACE_OUTER_BOUND('',#4561,.T.); #2837=FACE_OUTER_BOUND('',#4562,.T.); #2838=FACE_OUTER_BOUND('',#4563,.T.); #2839=FACE_OUTER_BOUND('',#4564,.T.); #2840=FACE_OUTER_BOUND('',#4565,.T.); #2841=FACE_OUTER_BOUND('',#4566,.T.); #2842=FACE_OUTER_BOUND('',#4567,.T.); #2843=FACE_OUTER_BOUND('',#4572,.T.); #2844=FACE_OUTER_BOUND('',#4573,.T.); #2845=FACE_OUTER_BOUND('',#4574,.T.); #2846=FACE_OUTER_BOUND('',#4575,.T.); #2847=FACE_OUTER_BOUND('',#4576,.T.); #2848=FACE_OUTER_BOUND('',#4577,.T.); #2849=FACE_OUTER_BOUND('',#4578,.T.); #2850=FACE_OUTER_BOUND('',#4581,.T.); #2851=FACE_OUTER_BOUND('',#4582,.T.); #2852=FACE_OUTER_BOUND('',#4583,.T.); #2853=FACE_OUTER_BOUND('',#4592,.T.); #2854=FACE_OUTER_BOUND('',#4593,.T.); #2855=FACE_OUTER_BOUND('',#4595,.T.); #2856=FACE_OUTER_BOUND('',#4596,.T.); #2857=FACE_OUTER_BOUND('',#4597,.T.); #2858=FACE_OUTER_BOUND('',#4599,.T.); #2859=FACE_OUTER_BOUND('',#4600,.T.); #2860=FACE_OUTER_BOUND('',#4601,.T.); #2861=FACE_OUTER_BOUND('',#4603,.T.); #2862=FACE_OUTER_BOUND('',#4604,.T.); #2863=FACE_OUTER_BOUND('',#4605,.T.); #2864=FACE_OUTER_BOUND('',#4607,.T.); #2865=FACE_OUTER_BOUND('',#4608,.T.); #2866=FACE_OUTER_BOUND('',#4609,.T.); #2867=FACE_OUTER_BOUND('',#4610,.T.); #2868=FACE_OUTER_BOUND('',#4611,.T.); #2869=FACE_OUTER_BOUND('',#4612,.T.); #2870=FACE_OUTER_BOUND('',#4613,.T.); #2871=FACE_OUTER_BOUND('',#4614,.T.); #2872=FACE_OUTER_BOUND('',#4615,.T.); #2873=FACE_OUTER_BOUND('',#4616,.T.); #2874=FACE_OUTER_BOUND('',#4617,.T.); #2875=FACE_OUTER_BOUND('',#4618,.T.); #2876=FACE_OUTER_BOUND('',#4619,.T.); #2877=FACE_OUTER_BOUND('',#4620,.T.); #2878=FACE_OUTER_BOUND('',#4623,.T.); #2879=FACE_OUTER_BOUND('',#4632,.T.); #2880=FACE_OUTER_BOUND('',#4633,.T.); #2881=FACE_OUTER_BOUND('',#4636,.T.); #2882=FACE_OUTER_BOUND('',#4637,.T.); #2883=FACE_OUTER_BOUND('',#4638,.T.); #2884=FACE_OUTER_BOUND('',#4639,.T.); #2885=FACE_OUTER_BOUND('',#4640,.T.); #2886=FACE_OUTER_BOUND('',#4641,.T.); #2887=FACE_OUTER_BOUND('',#4642,.T.); #2888=FACE_OUTER_BOUND('',#4643,.T.); #2889=FACE_OUTER_BOUND('',#4644,.T.); #2890=FACE_OUTER_BOUND('',#4645,.T.); #2891=FACE_OUTER_BOUND('',#4647,.T.); #2892=FACE_OUTER_BOUND('',#4648,.T.); #2893=FACE_OUTER_BOUND('',#4649,.T.); #2894=FACE_OUTER_BOUND('',#4651,.T.); #2895=FACE_OUTER_BOUND('',#4652,.T.); #2896=FACE_OUTER_BOUND('',#4653,.T.); #2897=FACE_OUTER_BOUND('',#4655,.T.); #2898=FACE_OUTER_BOUND('',#4656,.T.); #2899=FACE_OUTER_BOUND('',#4657,.T.); #2900=FACE_OUTER_BOUND('',#4659,.T.); #2901=FACE_OUTER_BOUND('',#4660,.T.); #2902=FACE_OUTER_BOUND('',#4662,.T.); #2903=FACE_OUTER_BOUND('',#4663,.T.); #2904=FACE_OUTER_BOUND('',#4664,.T.); #2905=FACE_OUTER_BOUND('',#4666,.T.); #2906=FACE_OUTER_BOUND('',#4667,.T.); #2907=FACE_OUTER_BOUND('',#4668,.T.); #2908=FACE_OUTER_BOUND('',#4670,.T.); #2909=FACE_OUTER_BOUND('',#4671,.T.); #2910=FACE_OUTER_BOUND('',#4672,.T.); #2911=FACE_OUTER_BOUND('',#4674,.T.); #2912=FACE_OUTER_BOUND('',#4675,.T.); #2913=FACE_OUTER_BOUND('',#4676,.T.); #2914=FACE_OUTER_BOUND('',#4677,.T.); #2915=FACE_OUTER_BOUND('',#4678,.T.); #2916=FACE_OUTER_BOUND('',#4680,.T.); #2917=FACE_OUTER_BOUND('',#4681,.T.); #2918=FACE_OUTER_BOUND('',#4682,.T.); #2919=FACE_OUTER_BOUND('',#4683,.T.); #2920=FACE_OUTER_BOUND('',#4685,.T.); #2921=FACE_OUTER_BOUND('',#4686,.T.); #2922=FACE_OUTER_BOUND('',#4687,.T.); #2923=FACE_OUTER_BOUND('',#4688,.T.); #2924=FACE_OUTER_BOUND('',#4689,.T.); #2925=FACE_OUTER_BOUND('',#4690,.T.); #2926=FACE_OUTER_BOUND('',#4691,.T.); #2927=FACE_OUTER_BOUND('',#4692,.T.); #2928=FACE_OUTER_BOUND('',#4693,.T.); #2929=FACE_OUTER_BOUND('',#4694,.T.); #2930=FACE_OUTER_BOUND('',#4695,.T.); #2931=FACE_OUTER_BOUND('',#4696,.T.); #2932=FACE_OUTER_BOUND('',#4697,.T.); #2933=FACE_OUTER_BOUND('',#4698,.T.); #2934=FACE_OUTER_BOUND('',#4699,.T.); #2935=FACE_OUTER_BOUND('',#4700,.T.); #2936=FACE_OUTER_BOUND('',#4701,.T.); #2937=FACE_OUTER_BOUND('',#4702,.T.); #2938=FACE_OUTER_BOUND('',#4703,.T.); #2939=FACE_OUTER_BOUND('',#4704,.T.); #2940=FACE_OUTER_BOUND('',#4705,.T.); #2941=FACE_OUTER_BOUND('',#4706,.T.); #2942=FACE_OUTER_BOUND('',#4707,.T.); #2943=FACE_OUTER_BOUND('',#4708,.T.); #2944=FACE_OUTER_BOUND('',#4709,.T.); #2945=FACE_OUTER_BOUND('',#4710,.T.); #2946=FACE_OUTER_BOUND('',#4711,.T.); #2947=FACE_OUTER_BOUND('',#4712,.T.); #2948=FACE_OUTER_BOUND('',#4713,.T.); #2949=FACE_OUTER_BOUND('',#4715,.T.); #2950=FACE_OUTER_BOUND('',#4716,.T.); #2951=FACE_OUTER_BOUND('',#4717,.T.); #2952=FACE_OUTER_BOUND('',#4718,.T.); #2953=FACE_OUTER_BOUND('',#4719,.T.); #2954=FACE_OUTER_BOUND('',#4720,.T.); #2955=FACE_OUTER_BOUND('',#4721,.T.); #2956=FACE_OUTER_BOUND('',#4722,.T.); #2957=FACE_OUTER_BOUND('',#4723,.T.); #2958=FACE_OUTER_BOUND('',#4725,.T.); #2959=FACE_OUTER_BOUND('',#4726,.T.); #2960=FACE_OUTER_BOUND('',#4727,.T.); #2961=FACE_OUTER_BOUND('',#4728,.T.); #2962=FACE_OUTER_BOUND('',#4729,.T.); #2963=FACE_OUTER_BOUND('',#4730,.T.); #2964=FACE_OUTER_BOUND('',#4731,.T.); #2965=FACE_OUTER_BOUND('',#4732,.T.); #2966=FACE_OUTER_BOUND('',#4733,.T.); #2967=FACE_OUTER_BOUND('',#4735,.T.); #2968=FACE_OUTER_BOUND('',#4736,.T.); #2969=FACE_OUTER_BOUND('',#4737,.T.); #2970=FACE_OUTER_BOUND('',#4738,.T.); #2971=FACE_OUTER_BOUND('',#4739,.T.); #2972=FACE_OUTER_BOUND('',#4740,.T.); #2973=FACE_OUTER_BOUND('',#4741,.T.); #2974=FACE_OUTER_BOUND('',#4742,.T.); #2975=FACE_OUTER_BOUND('',#4743,.T.); #2976=FACE_OUTER_BOUND('',#4745,.T.); #2977=FACE_OUTER_BOUND('',#4746,.T.); #2978=FACE_OUTER_BOUND('',#4747,.T.); #2979=FACE_OUTER_BOUND('',#4748,.T.); #2980=FACE_OUTER_BOUND('',#4749,.T.); #2981=FACE_OUTER_BOUND('',#4750,.T.); #2982=FACE_OUTER_BOUND('',#4751,.T.); #2983=FACE_OUTER_BOUND('',#4752,.T.); #2984=FACE_OUTER_BOUND('',#4753,.T.); #2985=FACE_OUTER_BOUND('',#4755,.T.); #2986=FACE_OUTER_BOUND('',#4756,.T.); #2987=FACE_OUTER_BOUND('',#4757,.T.); #2988=FACE_OUTER_BOUND('',#4758,.T.); #2989=FACE_OUTER_BOUND('',#4759,.T.); #2990=FACE_OUTER_BOUND('',#4760,.T.); #2991=FACE_OUTER_BOUND('',#4761,.T.); #2992=FACE_OUTER_BOUND('',#4762,.T.); #2993=FACE_OUTER_BOUND('',#4763,.T.); #2994=FACE_OUTER_BOUND('',#4765,.T.); #2995=FACE_OUTER_BOUND('',#4769,.T.); #2996=FACE_OUTER_BOUND('',#4770,.T.); #2997=FACE_OUTER_BOUND('',#4771,.T.); #2998=FACE_OUTER_BOUND('',#4772,.T.); #2999=FACE_OUTER_BOUND('',#4773,.T.); #3000=FACE_OUTER_BOUND('',#4774,.T.); #3001=FACE_OUTER_BOUND('',#4775,.T.); #3002=FACE_OUTER_BOUND('',#4776,.T.); #3003=FACE_OUTER_BOUND('',#4777,.T.); #3004=FACE_OUTER_BOUND('',#4778,.T.); #3005=FACE_OUTER_BOUND('',#4779,.T.); #3006=FACE_OUTER_BOUND('',#4780,.T.); #3007=FACE_OUTER_BOUND('',#4781,.T.); #3008=FACE_OUTER_BOUND('',#4785,.T.); #3009=FACE_OUTER_BOUND('',#4786,.T.); #3010=FACE_OUTER_BOUND('',#4787,.T.); #3011=FACE_OUTER_BOUND('',#4788,.T.); #3012=FACE_OUTER_BOUND('',#4789,.T.); #3013=FACE_OUTER_BOUND('',#4790,.T.); #3014=FACE_OUTER_BOUND('',#4791,.T.); #3015=FACE_OUTER_BOUND('',#4792,.T.); #3016=FACE_OUTER_BOUND('',#4793,.T.); #3017=FACE_OUTER_BOUND('',#4794,.T.); #3018=FACE_OUTER_BOUND('',#4796,.T.); #3019=FACE_OUTER_BOUND('',#4797,.T.); #3020=FACE_OUTER_BOUND('',#4799,.T.); #3021=FACE_OUTER_BOUND('',#4800,.T.); #3022=FACE_OUTER_BOUND('',#4801,.T.); #3023=FACE_OUTER_BOUND('',#4803,.T.); #3024=FACE_OUTER_BOUND('',#4805,.T.); #3025=FACE_OUTER_BOUND('',#4806,.T.); #3026=FACE_OUTER_BOUND('',#4807,.T.); #3027=FACE_OUTER_BOUND('',#4809,.T.); #3028=FACE_OUTER_BOUND('',#4810,.T.); #3029=FACE_OUTER_BOUND('',#4811,.T.); #3030=FACE_OUTER_BOUND('',#4812,.T.); #3031=FACE_OUTER_BOUND('',#4814,.T.); #3032=FACE_OUTER_BOUND('',#4815,.T.); #3033=FACE_OUTER_BOUND('',#4816,.T.); #3034=FACE_OUTER_BOUND('',#4817,.T.); #3035=FACE_OUTER_BOUND('',#4818,.T.); #3036=FACE_OUTER_BOUND('',#4819,.T.); #3037=FACE_OUTER_BOUND('',#4820,.T.); #3038=FACE_OUTER_BOUND('',#4821,.T.); #3039=FACE_OUTER_BOUND('',#4822,.T.); #3040=FACE_OUTER_BOUND('',#4823,.T.); #3041=FACE_OUTER_BOUND('',#4824,.T.); #3042=FACE_OUTER_BOUND('',#4825,.T.); #3043=FACE_OUTER_BOUND('',#4826,.T.); #3044=FACE_OUTER_BOUND('',#4827,.T.); #3045=FACE_OUTER_BOUND('',#4828,.T.); #3046=FACE_OUTER_BOUND('',#4829,.T.); #3047=FACE_OUTER_BOUND('',#4830,.T.); #3048=FACE_OUTER_BOUND('',#4831,.T.); #3049=FACE_OUTER_BOUND('',#4832,.T.); #3050=FACE_OUTER_BOUND('',#4833,.T.); #3051=FACE_OUTER_BOUND('',#4834,.T.); #3052=FACE_OUTER_BOUND('',#4835,.T.); #3053=FACE_OUTER_BOUND('',#4836,.T.); #3054=FACE_OUTER_BOUND('',#4837,.T.); #3055=FACE_OUTER_BOUND('',#4838,.T.); #3056=FACE_OUTER_BOUND('',#4840,.T.); #3057=FACE_OUTER_BOUND('',#4841,.T.); #3058=FACE_OUTER_BOUND('',#4842,.T.); #3059=FACE_OUTER_BOUND('',#4843,.T.); #3060=FACE_OUTER_BOUND('',#4844,.T.); #3061=FACE_OUTER_BOUND('',#4845,.T.); #3062=FACE_OUTER_BOUND('',#4847,.T.); #3063=FACE_OUTER_BOUND('',#4849,.T.); #3064=FACE_OUTER_BOUND('',#4850,.T.); #3065=FACE_OUTER_BOUND('',#4851,.T.); #3066=FACE_OUTER_BOUND('',#4852,.T.); #3067=FACE_OUTER_BOUND('',#4853,.T.); #3068=FACE_OUTER_BOUND('',#4854,.T.); #3069=FACE_OUTER_BOUND('',#4855,.T.); #3070=FACE_OUTER_BOUND('',#4856,.T.); #3071=FACE_OUTER_BOUND('',#4857,.T.); #3072=FACE_OUTER_BOUND('',#4858,.T.); #3073=FACE_OUTER_BOUND('',#4859,.T.); #3074=FACE_OUTER_BOUND('',#4860,.T.); #3075=FACE_OUTER_BOUND('',#4861,.T.); #3076=FACE_OUTER_BOUND('',#4862,.T.); #3077=FACE_OUTER_BOUND('',#4864,.T.); #3078=FACE_OUTER_BOUND('',#4865,.T.); #3079=FACE_OUTER_BOUND('',#4867,.T.); #3080=FACE_OUTER_BOUND('',#4868,.T.); #3081=FACE_OUTER_BOUND('',#4869,.T.); #3082=FACE_OUTER_BOUND('',#4870,.T.); #3083=FACE_OUTER_BOUND('',#4871,.T.); #3084=FACE_OUTER_BOUND('',#4872,.T.); #3085=FACE_OUTER_BOUND('',#4873,.T.); #3086=FACE_OUTER_BOUND('',#4874,.T.); #3087=FACE_OUTER_BOUND('',#4875,.T.); #3088=FACE_OUTER_BOUND('',#4876,.T.); #3089=FACE_OUTER_BOUND('',#4881,.T.); #3090=FACE_OUTER_BOUND('',#4882,.T.); #3091=FACE_OUTER_BOUND('',#4883,.T.); #3092=FACE_OUTER_BOUND('',#4884,.T.); #3093=FACE_OUTER_BOUND('',#4885,.T.); #3094=FACE_OUTER_BOUND('',#4886,.T.); #3095=FACE_OUTER_BOUND('',#4887,.T.); #3096=FACE_OUTER_BOUND('',#4888,.T.); #3097=FACE_OUTER_BOUND('',#4889,.T.); #3098=FACE_OUTER_BOUND('',#4890,.T.); #3099=FACE_OUTER_BOUND('',#4891,.T.); #3100=FACE_OUTER_BOUND('',#4892,.T.); #3101=FACE_OUTER_BOUND('',#4893,.T.); #3102=FACE_OUTER_BOUND('',#4894,.T.); #3103=FACE_OUTER_BOUND('',#4899,.T.); #3104=FACE_OUTER_BOUND('',#4900,.T.); #3105=FACE_OUTER_BOUND('',#4901,.T.); #3106=FACE_OUTER_BOUND('',#4902,.T.); #3107=FACE_OUTER_BOUND('',#4903,.T.); #3108=FACE_OUTER_BOUND('',#4908,.T.); #3109=FACE_OUTER_BOUND('',#4909,.T.); #3110=FACE_OUTER_BOUND('',#4910,.T.); #3111=FACE_OUTER_BOUND('',#4911,.T.); #3112=FACE_OUTER_BOUND('',#4912,.T.); #3113=FACE_OUTER_BOUND('',#4913,.T.); #3114=FACE_OUTER_BOUND('',#4914,.T.); #3115=FACE_OUTER_BOUND('',#4915,.T.); #3116=FACE_OUTER_BOUND('',#4916,.T.); #3117=FACE_OUTER_BOUND('',#4917,.T.); #3118=FACE_OUTER_BOUND('',#4918,.T.); #3119=FACE_OUTER_BOUND('',#4919,.T.); #3120=FACE_OUTER_BOUND('',#4920,.T.); #3121=FACE_OUTER_BOUND('',#4921,.T.); #3122=FACE_OUTER_BOUND('',#4926,.T.); #3123=FACE_OUTER_BOUND('',#4927,.T.); #3124=FACE_OUTER_BOUND('',#4928,.T.); #3125=FACE_OUTER_BOUND('',#4930,.T.); #3126=FACE_OUTER_BOUND('',#4931,.T.); #3127=FACE_OUTER_BOUND('',#4932,.T.); #3128=FACE_OUTER_BOUND('',#4933,.T.); #3129=FACE_OUTER_BOUND('',#4935,.T.); #3130=FACE_OUTER_BOUND('',#4936,.T.); #3131=FACE_OUTER_BOUND('',#4937,.T.); #3132=FACE_OUTER_BOUND('',#4938,.T.); #3133=FACE_OUTER_BOUND('',#4939,.T.); #3134=FACE_OUTER_BOUND('',#4940,.T.); #3135=FACE_OUTER_BOUND('',#4941,.T.); #3136=FACE_OUTER_BOUND('',#4942,.T.); #3137=FACE_OUTER_BOUND('',#4943,.T.); #3138=FACE_OUTER_BOUND('',#4944,.T.); #3139=FACE_OUTER_BOUND('',#4945,.T.); #3140=FACE_OUTER_BOUND('',#4946,.T.); #3141=FACE_OUTER_BOUND('',#4947,.T.); #3142=FACE_OUTER_BOUND('',#4948,.T.); #3143=FACE_OUTER_BOUND('',#4949,.T.); #3144=FACE_OUTER_BOUND('',#4950,.T.); #3145=FACE_OUTER_BOUND('',#4951,.T.); #3146=FACE_OUTER_BOUND('',#4952,.T.); #3147=FACE_OUTER_BOUND('',#4953,.T.); #3148=FACE_OUTER_BOUND('',#4954,.T.); #3149=FACE_OUTER_BOUND('',#4955,.T.); #3150=FACE_OUTER_BOUND('',#4956,.T.); #3151=FACE_OUTER_BOUND('',#4957,.T.); #3152=FACE_OUTER_BOUND('',#4958,.T.); #3153=FACE_OUTER_BOUND('',#4959,.T.); #3154=FACE_OUTER_BOUND('',#4960,.T.); #3155=FACE_OUTER_BOUND('',#4961,.T.); #3156=FACE_OUTER_BOUND('',#4962,.T.); #3157=FACE_OUTER_BOUND('',#4963,.T.); #3158=FACE_OUTER_BOUND('',#4964,.T.); #3159=FACE_OUTER_BOUND('',#4965,.T.); #3160=FACE_OUTER_BOUND('',#4966,.T.); #3161=FACE_OUTER_BOUND('',#4967,.T.); #3162=FACE_OUTER_BOUND('',#4968,.T.); #3163=FACE_OUTER_BOUND('',#4969,.T.); #3164=FACE_OUTER_BOUND('',#4970,.T.); #3165=FACE_OUTER_BOUND('',#4971,.T.); #3166=FACE_OUTER_BOUND('',#4972,.T.); #3167=FACE_OUTER_BOUND('',#4973,.T.); #3168=FACE_OUTER_BOUND('',#4974,.T.); #3169=FACE_OUTER_BOUND('',#4975,.T.); #3170=FACE_OUTER_BOUND('',#4976,.T.); #3171=FACE_OUTER_BOUND('',#4977,.T.); #3172=FACE_OUTER_BOUND('',#4978,.T.); #3173=FACE_OUTER_BOUND('',#4979,.T.); #3174=FACE_OUTER_BOUND('',#4980,.T.); #3175=FACE_OUTER_BOUND('',#4981,.T.); #3176=FACE_OUTER_BOUND('',#4982,.T.); #3177=FACE_OUTER_BOUND('',#4983,.T.); #3178=FACE_OUTER_BOUND('',#4984,.T.); #3179=FACE_OUTER_BOUND('',#4985,.T.); #3180=FACE_OUTER_BOUND('',#4986,.T.); #3181=FACE_OUTER_BOUND('',#4987,.T.); #3182=FACE_OUTER_BOUND('',#4988,.T.); #3183=FACE_OUTER_BOUND('',#4989,.T.); #3184=FACE_OUTER_BOUND('',#4990,.T.); #3185=FACE_OUTER_BOUND('',#4991,.T.); #3186=FACE_OUTER_BOUND('',#4992,.T.); #3187=FACE_OUTER_BOUND('',#4993,.T.); #3188=FACE_OUTER_BOUND('',#4994,.T.); #3189=FACE_OUTER_BOUND('',#4995,.T.); #3190=FACE_OUTER_BOUND('',#4996,.T.); #3191=FACE_OUTER_BOUND('',#4997,.T.); #3192=FACE_OUTER_BOUND('',#4998,.T.); #3193=FACE_OUTER_BOUND('',#4999,.T.); #3194=FACE_OUTER_BOUND('',#5000,.T.); #3195=FACE_OUTER_BOUND('',#5001,.T.); #3196=FACE_OUTER_BOUND('',#5002,.T.); #3197=FACE_OUTER_BOUND('',#5004,.T.); #3198=FACE_OUTER_BOUND('',#5005,.T.); #3199=FACE_OUTER_BOUND('',#5006,.T.); #3200=FACE_OUTER_BOUND('',#5007,.T.); #3201=FACE_OUTER_BOUND('',#5008,.T.); #3202=FACE_OUTER_BOUND('',#5009,.T.); #3203=FACE_OUTER_BOUND('',#5010,.T.); #3204=FACE_OUTER_BOUND('',#5011,.T.); #3205=FACE_OUTER_BOUND('',#5012,.T.); #3206=FACE_OUTER_BOUND('',#5013,.T.); #3207=FACE_OUTER_BOUND('',#5018,.T.); #3208=FACE_OUTER_BOUND('',#5019,.T.); #3209=FACE_OUTER_BOUND('',#5020,.T.); #3210=FACE_OUTER_BOUND('',#5021,.T.); #3211=FACE_OUTER_BOUND('',#5022,.T.); #3212=FACE_OUTER_BOUND('',#5023,.T.); #3213=FACE_OUTER_BOUND('',#5024,.T.); #3214=FACE_OUTER_BOUND('',#5025,.T.); #3215=FACE_OUTER_BOUND('',#5026,.T.); #3216=FACE_OUTER_BOUND('',#5027,.T.); #3217=FACE_OUTER_BOUND('',#5028,.T.); #3218=FACE_OUTER_BOUND('',#5029,.T.); #3219=FACE_OUTER_BOUND('',#5030,.T.); #3220=FACE_OUTER_BOUND('',#5031,.T.); #3221=FACE_OUTER_BOUND('',#5036,.T.); #3222=FACE_OUTER_BOUND('',#5037,.T.); #3223=FACE_OUTER_BOUND('',#5038,.T.); #3224=FACE_OUTER_BOUND('',#5039,.T.); #3225=FACE_OUTER_BOUND('',#5040,.T.); #3226=FACE_OUTER_BOUND('',#5041,.T.); #3227=FACE_OUTER_BOUND('',#5042,.T.); #3228=FACE_OUTER_BOUND('',#5043,.T.); #3229=FACE_OUTER_BOUND('',#5044,.T.); #3230=FACE_OUTER_BOUND('',#5045,.T.); #3231=FACE_OUTER_BOUND('',#5046,.T.); #3232=FACE_OUTER_BOUND('',#5047,.T.); #3233=FACE_OUTER_BOUND('',#5048,.T.); #3234=FACE_OUTER_BOUND('',#5049,.T.); #3235=FACE_OUTER_BOUND('',#5050,.T.); #3236=FACE_OUTER_BOUND('',#5051,.T.); #3237=FACE_OUTER_BOUND('',#5052,.T.); #3238=FACE_OUTER_BOUND('',#5053,.T.); #3239=FACE_OUTER_BOUND('',#5054,.T.); #3240=FACE_OUTER_BOUND('',#5055,.T.); #3241=FACE_OUTER_BOUND('',#5056,.T.); #3242=FACE_OUTER_BOUND('',#5057,.T.); #3243=FACE_OUTER_BOUND('',#5058,.T.); #3244=FACE_OUTER_BOUND('',#5059,.T.); #3245=FACE_OUTER_BOUND('',#5060,.T.); #3246=FACE_OUTER_BOUND('',#5061,.T.); #3247=FACE_OUTER_BOUND('',#5062,.T.); #3248=FACE_OUTER_BOUND('',#5063,.T.); #3249=FACE_OUTER_BOUND('',#5064,.T.); #3250=FACE_OUTER_BOUND('',#5065,.T.); #3251=FACE_OUTER_BOUND('',#5066,.T.); #3252=FACE_OUTER_BOUND('',#5067,.T.); #3253=FACE_OUTER_BOUND('',#5068,.T.); #3254=FACE_OUTER_BOUND('',#5069,.T.); #3255=FACE_OUTER_BOUND('',#5070,.T.); #3256=FACE_OUTER_BOUND('',#5071,.T.); #3257=FACE_OUTER_BOUND('',#5072,.T.); #3258=FACE_OUTER_BOUND('',#5073,.T.); #3259=FACE_OUTER_BOUND('',#5074,.T.); #3260=FACE_OUTER_BOUND('',#5075,.T.); #3261=FACE_OUTER_BOUND('',#5076,.T.); #3262=FACE_OUTER_BOUND('',#5077,.T.); #3263=FACE_OUTER_BOUND('',#5078,.T.); #3264=FACE_OUTER_BOUND('',#5079,.T.); #3265=FACE_OUTER_BOUND('',#5080,.T.); #3266=FACE_OUTER_BOUND('',#5081,.T.); #3267=FACE_OUTER_BOUND('',#5082,.T.); #3268=FACE_OUTER_BOUND('',#5083,.T.); #3269=FACE_OUTER_BOUND('',#5084,.T.); #3270=FACE_OUTER_BOUND('',#5085,.T.); #3271=FACE_OUTER_BOUND('',#5086,.T.); #3272=FACE_OUTER_BOUND('',#5087,.T.); #3273=FACE_OUTER_BOUND('',#5088,.T.); #3274=FACE_OUTER_BOUND('',#5089,.T.); #3275=FACE_OUTER_BOUND('',#5090,.T.); #3276=FACE_OUTER_BOUND('',#5091,.T.); #3277=FACE_OUTER_BOUND('',#5092,.T.); #3278=FACE_OUTER_BOUND('',#5093,.T.); #3279=FACE_OUTER_BOUND('',#5094,.T.); #3280=FACE_OUTER_BOUND('',#5095,.T.); #3281=FACE_OUTER_BOUND('',#5096,.T.); #3282=FACE_OUTER_BOUND('',#5097,.T.); #3283=FACE_OUTER_BOUND('',#5098,.T.); #3284=FACE_OUTER_BOUND('',#5099,.T.); #3285=FACE_OUTER_BOUND('',#5100,.T.); #3286=FACE_OUTER_BOUND('',#5101,.T.); #3287=FACE_OUTER_BOUND('',#5102,.T.); #3288=FACE_OUTER_BOUND('',#5103,.T.); #3289=FACE_OUTER_BOUND('',#5104,.T.); #3290=FACE_OUTER_BOUND('',#5105,.T.); #3291=FACE_OUTER_BOUND('',#5106,.T.); #3292=FACE_OUTER_BOUND('',#5107,.T.); #3293=FACE_OUTER_BOUND('',#5108,.T.); #3294=FACE_OUTER_BOUND('',#5109,.T.); #3295=FACE_OUTER_BOUND('',#5110,.T.); #3296=FACE_OUTER_BOUND('',#5111,.T.); #3297=FACE_OUTER_BOUND('',#5112,.T.); #3298=FACE_OUTER_BOUND('',#5113,.T.); #3299=FACE_OUTER_BOUND('',#5114,.T.); #3300=FACE_OUTER_BOUND('',#5115,.T.); #3301=FACE_OUTER_BOUND('',#5116,.T.); #3302=FACE_OUTER_BOUND('',#5117,.T.); #3303=FACE_OUTER_BOUND('',#5118,.T.); #3304=FACE_OUTER_BOUND('',#5119,.T.); #3305=FACE_OUTER_BOUND('',#5120,.T.); #3306=FACE_OUTER_BOUND('',#5121,.T.); #3307=FACE_OUTER_BOUND('',#5122,.T.); #3308=FACE_OUTER_BOUND('',#5123,.T.); #3309=FACE_OUTER_BOUND('',#5125,.T.); #3310=FACE_OUTER_BOUND('',#5126,.T.); #3311=FACE_OUTER_BOUND('',#5127,.T.); #3312=FACE_OUTER_BOUND('',#5128,.T.); #3313=FACE_OUTER_BOUND('',#5129,.T.); #3314=FACE_OUTER_BOUND('',#5130,.T.); #3315=FACE_OUTER_BOUND('',#5131,.T.); #3316=FACE_OUTER_BOUND('',#5132,.T.); #3317=FACE_OUTER_BOUND('',#5133,.T.); #3318=FACE_OUTER_BOUND('',#5134,.T.); #3319=FACE_OUTER_BOUND('',#5135,.T.); #3320=FACE_OUTER_BOUND('',#5136,.T.); #3321=FACE_OUTER_BOUND('',#5137,.T.); #3322=FACE_OUTER_BOUND('',#5138,.T.); #3323=FACE_OUTER_BOUND('',#5139,.T.); #3324=FACE_OUTER_BOUND('',#5140,.T.); #3325=FACE_OUTER_BOUND('',#5141,.T.); #3326=FACE_OUTER_BOUND('',#5142,.T.); #3327=FACE_OUTER_BOUND('',#5143,.T.); #3328=FACE_OUTER_BOUND('',#5144,.T.); #3329=FACE_OUTER_BOUND('',#5145,.T.); #3330=FACE_OUTER_BOUND('',#5146,.T.); #3331=FACE_OUTER_BOUND('',#5147,.T.); #3332=FACE_OUTER_BOUND('',#5148,.T.); #3333=FACE_OUTER_BOUND('',#5149,.T.); #3334=FACE_OUTER_BOUND('',#5150,.T.); #3335=FACE_OUTER_BOUND('',#5151,.T.); #3336=FACE_OUTER_BOUND('',#5152,.T.); #3337=FACE_OUTER_BOUND('',#5153,.T.); #3338=FACE_OUTER_BOUND('',#5154,.T.); #3339=FACE_OUTER_BOUND('',#5155,.T.); #3340=FACE_OUTER_BOUND('',#5156,.T.); #3341=FACE_OUTER_BOUND('',#5157,.T.); #3342=FACE_OUTER_BOUND('',#5158,.T.); #3343=FACE_OUTER_BOUND('',#5159,.T.); #3344=FACE_OUTER_BOUND('',#5160,.T.); #3345=FACE_OUTER_BOUND('',#5161,.T.); #3346=FACE_OUTER_BOUND('',#5162,.T.); #3347=FACE_OUTER_BOUND('',#5163,.T.); #3348=FACE_OUTER_BOUND('',#5164,.T.); #3349=FACE_OUTER_BOUND('',#5165,.T.); #3350=FACE_OUTER_BOUND('',#5166,.T.); #3351=FACE_OUTER_BOUND('',#5167,.T.); #3352=FACE_OUTER_BOUND('',#5168,.T.); #3353=FACE_OUTER_BOUND('',#5169,.T.); #3354=FACE_OUTER_BOUND('',#5170,.T.); #3355=FACE_OUTER_BOUND('',#5171,.T.); #3356=FACE_OUTER_BOUND('',#5172,.T.); #3357=FACE_OUTER_BOUND('',#5173,.T.); #3358=FACE_OUTER_BOUND('',#5174,.T.); #3359=FACE_OUTER_BOUND('',#5175,.T.); #3360=FACE_OUTER_BOUND('',#5176,.T.); #3361=FACE_OUTER_BOUND('',#5177,.T.); #3362=FACE_OUTER_BOUND('',#5178,.T.); #3363=FACE_OUTER_BOUND('',#5179,.T.); #3364=FACE_OUTER_BOUND('',#5180,.T.); #3365=FACE_OUTER_BOUND('',#5181,.T.); #3366=FACE_OUTER_BOUND('',#5182,.T.); #3367=FACE_OUTER_BOUND('',#5183,.T.); #3368=FACE_OUTER_BOUND('',#5184,.T.); #3369=FACE_OUTER_BOUND('',#5185,.T.); #3370=FACE_OUTER_BOUND('',#5186,.T.); #3371=FACE_OUTER_BOUND('',#5187,.T.); #3372=FACE_OUTER_BOUND('',#5188,.T.); #3373=FACE_OUTER_BOUND('',#5189,.T.); #3374=FACE_OUTER_BOUND('',#5190,.T.); #3375=FACE_OUTER_BOUND('',#5191,.T.); #3376=FACE_OUTER_BOUND('',#5192,.T.); #3377=FACE_OUTER_BOUND('',#5193,.T.); #3378=FACE_OUTER_BOUND('',#5194,.T.); #3379=FACE_OUTER_BOUND('',#5195,.T.); #3380=FACE_OUTER_BOUND('',#5196,.T.); #3381=FACE_OUTER_BOUND('',#5197,.T.); #3382=FACE_OUTER_BOUND('',#5198,.T.); #3383=FACE_OUTER_BOUND('',#5199,.T.); #3384=FACE_OUTER_BOUND('',#5200,.T.); #3385=FACE_OUTER_BOUND('',#5201,.T.); #3386=FACE_OUTER_BOUND('',#5202,.T.); #3387=FACE_OUTER_BOUND('',#5203,.T.); #3388=FACE_OUTER_BOUND('',#5204,.T.); #3389=FACE_OUTER_BOUND('',#5205,.T.); #3390=FACE_OUTER_BOUND('',#5206,.T.); #3391=FACE_OUTER_BOUND('',#5207,.T.); #3392=FACE_OUTER_BOUND('',#5208,.T.); #3393=FACE_OUTER_BOUND('',#5209,.T.); #3394=FACE_OUTER_BOUND('',#5210,.T.); #3395=FACE_OUTER_BOUND('',#5211,.T.); #3396=FACE_OUTER_BOUND('',#5213,.T.); #3397=FACE_OUTER_BOUND('',#5214,.T.); #3398=FACE_OUTER_BOUND('',#5215,.T.); #3399=FACE_OUTER_BOUND('',#5216,.T.); #3400=FACE_OUTER_BOUND('',#5217,.T.); #3401=FACE_OUTER_BOUND('',#5218,.T.); #3402=FACE_OUTER_BOUND('',#5219,.T.); #3403=FACE_OUTER_BOUND('',#5220,.T.); #3404=FACE_OUTER_BOUND('',#5221,.T.); #3405=FACE_OUTER_BOUND('',#5222,.T.); #3406=FACE_OUTER_BOUND('',#5223,.T.); #3407=FACE_OUTER_BOUND('',#5224,.T.); #3408=FACE_OUTER_BOUND('',#5225,.T.); #3409=FACE_OUTER_BOUND('',#5226,.T.); #3410=FACE_OUTER_BOUND('',#5227,.T.); #3411=FACE_OUTER_BOUND('',#5228,.T.); #3412=FACE_OUTER_BOUND('',#5229,.T.); #3413=FACE_OUTER_BOUND('',#5230,.T.); #3414=FACE_OUTER_BOUND('',#5231,.T.); #3415=FACE_OUTER_BOUND('',#5232,.T.); #3416=FACE_OUTER_BOUND('',#5233,.T.); #3417=FACE_OUTER_BOUND('',#5234,.T.); #3418=FACE_OUTER_BOUND('',#5235,.T.); #3419=FACE_OUTER_BOUND('',#5236,.T.); #3420=FACE_OUTER_BOUND('',#5237,.T.); #3421=FACE_OUTER_BOUND('',#5238,.T.); #3422=FACE_OUTER_BOUND('',#5239,.T.); #3423=FACE_OUTER_BOUND('',#5240,.T.); #3424=FACE_OUTER_BOUND('',#5241,.T.); #3425=FACE_OUTER_BOUND('',#5242,.T.); #3426=FACE_OUTER_BOUND('',#5243,.T.); #3427=FACE_OUTER_BOUND('',#5244,.T.); #3428=FACE_OUTER_BOUND('',#5245,.T.); #3429=FACE_OUTER_BOUND('',#5246,.T.); #3430=FACE_OUTER_BOUND('',#5247,.T.); #3431=FACE_OUTER_BOUND('',#5248,.T.); #3432=FACE_OUTER_BOUND('',#5249,.T.); #3433=FACE_OUTER_BOUND('',#5250,.T.); #3434=FACE_OUTER_BOUND('',#5251,.T.); #3435=FACE_OUTER_BOUND('',#5252,.T.); #3436=FACE_OUTER_BOUND('',#5253,.T.); #3437=FACE_OUTER_BOUND('',#5254,.T.); #3438=FACE_OUTER_BOUND('',#5255,.T.); #3439=FACE_OUTER_BOUND('',#5256,.T.); #3440=FACE_OUTER_BOUND('',#5257,.T.); #3441=FACE_OUTER_BOUND('',#5258,.T.); #3442=FACE_OUTER_BOUND('',#5259,.T.); #3443=FACE_OUTER_BOUND('',#5260,.T.); #3444=FACE_OUTER_BOUND('',#5261,.T.); #3445=FACE_OUTER_BOUND('',#5262,.T.); #3446=FACE_OUTER_BOUND('',#5263,.T.); #3447=FACE_OUTER_BOUND('',#5264,.T.); #3448=FACE_OUTER_BOUND('',#5265,.T.); #3449=FACE_OUTER_BOUND('',#5266,.T.); #3450=FACE_OUTER_BOUND('',#5267,.T.); #3451=FACE_OUTER_BOUND('',#5268,.T.); #3452=FACE_OUTER_BOUND('',#5269,.T.); #3453=FACE_OUTER_BOUND('',#5270,.T.); #3454=FACE_OUTER_BOUND('',#5271,.T.); #3455=FACE_OUTER_BOUND('',#5272,.T.); #3456=FACE_OUTER_BOUND('',#5273,.T.); #3457=FACE_OUTER_BOUND('',#5274,.T.); #3458=FACE_OUTER_BOUND('',#5275,.T.); #3459=FACE_OUTER_BOUND('',#5276,.T.); #3460=FACE_OUTER_BOUND('',#5277,.T.); #3461=FACE_OUTER_BOUND('',#5278,.T.); #3462=FACE_OUTER_BOUND('',#5279,.T.); #3463=FACE_OUTER_BOUND('',#5280,.T.); #3464=FACE_OUTER_BOUND('',#5281,.T.); #3465=FACE_OUTER_BOUND('',#5282,.T.); #3466=FACE_OUTER_BOUND('',#5283,.T.); #3467=FACE_OUTER_BOUND('',#5284,.T.); #3468=FACE_OUTER_BOUND('',#5285,.T.); #3469=FACE_OUTER_BOUND('',#5286,.T.); #3470=FACE_OUTER_BOUND('',#5287,.T.); #3471=FACE_OUTER_BOUND('',#5288,.T.); #3472=FACE_OUTER_BOUND('',#5289,.T.); #3473=FACE_OUTER_BOUND('',#5290,.T.); #3474=FACE_OUTER_BOUND('',#5291,.T.); #3475=FACE_OUTER_BOUND('',#5292,.T.); #3476=FACE_OUTER_BOUND('',#5293,.T.); #3477=FACE_OUTER_BOUND('',#5294,.T.); #3478=FACE_OUTER_BOUND('',#5295,.T.); #3479=FACE_OUTER_BOUND('',#5296,.T.); #3480=FACE_OUTER_BOUND('',#5297,.T.); #3481=FACE_OUTER_BOUND('',#5298,.T.); #3482=FACE_OUTER_BOUND('',#5299,.T.); #3483=FACE_OUTER_BOUND('',#5300,.T.); #3484=FACE_OUTER_BOUND('',#5301,.T.); #3485=FACE_OUTER_BOUND('',#5302,.T.); #3486=FACE_OUTER_BOUND('',#5303,.T.); #3487=FACE_OUTER_BOUND('',#5304,.T.); #3488=FACE_OUTER_BOUND('',#5305,.T.); #3489=FACE_OUTER_BOUND('',#5306,.T.); #3490=FACE_OUTER_BOUND('',#5307,.T.); #3491=FACE_OUTER_BOUND('',#5308,.T.); #3492=FACE_OUTER_BOUND('',#5309,.T.); #3493=FACE_OUTER_BOUND('',#5310,.T.); #3494=FACE_OUTER_BOUND('',#5311,.T.); #3495=FACE_OUTER_BOUND('',#5312,.T.); #3496=FACE_OUTER_BOUND('',#5313,.T.); #3497=FACE_OUTER_BOUND('',#5314,.T.); #3498=FACE_OUTER_BOUND('',#5315,.T.); #3499=FACE_OUTER_BOUND('',#5316,.T.); #3500=FACE_OUTER_BOUND('',#5317,.T.); #3501=FACE_OUTER_BOUND('',#5318,.T.); #3502=FACE_OUTER_BOUND('',#5319,.T.); #3503=FACE_OUTER_BOUND('',#5320,.T.); #3504=FACE_OUTER_BOUND('',#5321,.T.); #3505=FACE_OUTER_BOUND('',#5322,.T.); #3506=FACE_OUTER_BOUND('',#5323,.T.); #3507=FACE_OUTER_BOUND('',#5324,.T.); #3508=FACE_OUTER_BOUND('',#5325,.T.); #3509=FACE_OUTER_BOUND('',#5326,.T.); #3510=FACE_OUTER_BOUND('',#5327,.T.); #3511=FACE_OUTER_BOUND('',#5328,.T.); #3512=FACE_OUTER_BOUND('',#5329,.T.); #3513=FACE_OUTER_BOUND('',#5330,.T.); #3514=FACE_OUTER_BOUND('',#5331,.T.); #3515=FACE_OUTER_BOUND('',#5332,.T.); #3516=FACE_OUTER_BOUND('',#5333,.T.); #3517=FACE_OUTER_BOUND('',#5334,.T.); #3518=FACE_OUTER_BOUND('',#5335,.T.); #3519=FACE_OUTER_BOUND('',#5336,.T.); #3520=FACE_OUTER_BOUND('',#5337,.T.); #3521=FACE_OUTER_BOUND('',#5338,.T.); #3522=FACE_OUTER_BOUND('',#5339,.T.); #3523=FACE_OUTER_BOUND('',#5340,.T.); #3524=FACE_OUTER_BOUND('',#5341,.T.); #3525=FACE_OUTER_BOUND('',#5342,.T.); #3526=FACE_OUTER_BOUND('',#5343,.T.); #3527=FACE_OUTER_BOUND('',#5344,.T.); #3528=FACE_OUTER_BOUND('',#5347,.T.); #3529=FACE_OUTER_BOUND('',#5348,.T.); #3530=FACE_OUTER_BOUND('',#5349,.T.); #3531=FACE_OUTER_BOUND('',#5350,.T.); #3532=FACE_OUTER_BOUND('',#5351,.T.); #3533=FACE_OUTER_BOUND('',#5352,.T.); #3534=FACE_OUTER_BOUND('',#5353,.T.); #3535=FACE_OUTER_BOUND('',#5354,.T.); #3536=FACE_OUTER_BOUND('',#5355,.T.); #3537=FACE_OUTER_BOUND('',#5356,.T.); #3538=FACE_OUTER_BOUND('',#5357,.T.); #3539=FACE_OUTER_BOUND('',#5358,.T.); #3540=FACE_OUTER_BOUND('',#5359,.T.); #3541=FACE_OUTER_BOUND('',#5360,.T.); #3542=FACE_OUTER_BOUND('',#5361,.T.); #3543=FACE_OUTER_BOUND('',#5362,.T.); #3544=FACE_OUTER_BOUND('',#5363,.T.); #3545=FACE_OUTER_BOUND('',#5364,.T.); #3546=FACE_OUTER_BOUND('',#5365,.T.); #3547=FACE_OUTER_BOUND('',#5366,.T.); #3548=FACE_OUTER_BOUND('',#5367,.T.); #3549=FACE_OUTER_BOUND('',#5368,.T.); #3550=FACE_OUTER_BOUND('',#5369,.T.); #3551=FACE_OUTER_BOUND('',#5370,.T.); #3552=FACE_OUTER_BOUND('',#5371,.T.); #3553=FACE_OUTER_BOUND('',#5372,.T.); #3554=FACE_OUTER_BOUND('',#5373,.T.); #3555=FACE_OUTER_BOUND('',#5374,.T.); #3556=FACE_OUTER_BOUND('',#5375,.T.); #3557=FACE_OUTER_BOUND('',#5376,.T.); #3558=FACE_OUTER_BOUND('',#5377,.T.); #3559=FACE_OUTER_BOUND('',#5378,.T.); #3560=FACE_OUTER_BOUND('',#5379,.T.); #3561=FACE_OUTER_BOUND('',#5380,.T.); #3562=FACE_OUTER_BOUND('',#5381,.T.); #3563=FACE_OUTER_BOUND('',#5382,.T.); #3564=FACE_OUTER_BOUND('',#5383,.T.); #3565=FACE_OUTER_BOUND('',#5384,.T.); #3566=FACE_OUTER_BOUND('',#5385,.T.); #3567=FACE_OUTER_BOUND('',#5386,.T.); #3568=FACE_OUTER_BOUND('',#5387,.T.); #3569=FACE_OUTER_BOUND('',#5388,.T.); #3570=FACE_OUTER_BOUND('',#5389,.T.); #3571=FACE_OUTER_BOUND('',#5390,.T.); #3572=FACE_OUTER_BOUND('',#5391,.T.); #3573=FACE_OUTER_BOUND('',#5392,.T.); #3574=FACE_OUTER_BOUND('',#5393,.T.); #3575=FACE_OUTER_BOUND('',#5394,.T.); #3576=FACE_OUTER_BOUND('',#5395,.T.); #3577=FACE_OUTER_BOUND('',#5396,.T.); #3578=FACE_OUTER_BOUND('',#5397,.T.); #3579=FACE_OUTER_BOUND('',#5398,.T.); #3580=FACE_OUTER_BOUND('',#5399,.T.); #3581=FACE_OUTER_BOUND('',#5400,.T.); #3582=FACE_OUTER_BOUND('',#5401,.T.); #3583=FACE_OUTER_BOUND('',#5402,.T.); #3584=FACE_OUTER_BOUND('',#5403,.T.); #3585=FACE_OUTER_BOUND('',#5404,.T.); #3586=FACE_OUTER_BOUND('',#5405,.T.); #3587=FACE_OUTER_BOUND('',#5406,.T.); #3588=FACE_OUTER_BOUND('',#5407,.T.); #3589=FACE_OUTER_BOUND('',#5408,.T.); #3590=FACE_OUTER_BOUND('',#5409,.T.); #3591=FACE_OUTER_BOUND('',#5410,.T.); #3592=FACE_OUTER_BOUND('',#5411,.T.); #3593=FACE_OUTER_BOUND('',#5412,.T.); #3594=FACE_OUTER_BOUND('',#5413,.T.); #3595=FACE_OUTER_BOUND('',#5414,.T.); #3596=FACE_OUTER_BOUND('',#5415,.T.); #3597=FACE_OUTER_BOUND('',#5417,.T.); #3598=FACE_OUTER_BOUND('',#5418,.T.); #3599=FACE_OUTER_BOUND('',#5427,.T.); #3600=FACE_OUTER_BOUND('',#5428,.T.); #3601=FACE_OUTER_BOUND('',#5429,.T.); #3602=FACE_OUTER_BOUND('',#5430,.T.); #3603=FACE_OUTER_BOUND('',#5431,.T.); #3604=FACE_OUTER_BOUND('',#5432,.T.); #3605=FACE_OUTER_BOUND('',#5433,.T.); #3606=FACE_OUTER_BOUND('',#5434,.T.); #3607=FACE_OUTER_BOUND('',#5435,.T.); #3608=FACE_OUTER_BOUND('',#5436,.T.); #3609=FACE_OUTER_BOUND('',#5437,.T.); #3610=FACE_OUTER_BOUND('',#5438,.T.); #3611=FACE_OUTER_BOUND('',#5439,.T.); #3612=FACE_OUTER_BOUND('',#5440,.T.); #3613=FACE_OUTER_BOUND('',#5441,.T.); #3614=FACE_OUTER_BOUND('',#5442,.T.); #3615=FACE_OUTER_BOUND('',#5443,.T.); #3616=FACE_OUTER_BOUND('',#5444,.T.); #3617=FACE_OUTER_BOUND('',#5445,.T.); #3618=FACE_OUTER_BOUND('',#5446,.T.); #3619=FACE_OUTER_BOUND('',#5447,.T.); #3620=FACE_OUTER_BOUND('',#5448,.T.); #3621=FACE_OUTER_BOUND('',#5449,.T.); #3622=FACE_OUTER_BOUND('',#5450,.T.); #3623=FACE_OUTER_BOUND('',#5452,.T.); #3624=FACE_OUTER_BOUND('',#5453,.T.); #3625=FACE_OUTER_BOUND('',#5454,.T.); #3626=FACE_OUTER_BOUND('',#5455,.T.); #3627=FACE_OUTER_BOUND('',#5456,.T.); #3628=FACE_OUTER_BOUND('',#5457,.T.); #3629=FACE_OUTER_BOUND('',#5458,.T.); #3630=FACE_OUTER_BOUND('',#5459,.T.); #3631=FACE_OUTER_BOUND('',#5460,.T.); #3632=FACE_OUTER_BOUND('',#5461,.T.); #3633=FACE_OUTER_BOUND('',#5462,.T.); #3634=FACE_OUTER_BOUND('',#5463,.T.); #3635=FACE_OUTER_BOUND('',#5464,.T.); #3636=FACE_OUTER_BOUND('',#5465,.T.); #3637=FACE_OUTER_BOUND('',#5466,.T.); #3638=FACE_OUTER_BOUND('',#5467,.T.); #3639=FACE_OUTER_BOUND('',#5468,.T.); #3640=FACE_OUTER_BOUND('',#5469,.T.); #3641=FACE_OUTER_BOUND('',#5470,.T.); #3642=FACE_OUTER_BOUND('',#5471,.T.); #3643=FACE_OUTER_BOUND('',#5472,.T.); #3644=FACE_OUTER_BOUND('',#5473,.T.); #3645=FACE_OUTER_BOUND('',#5474,.T.); #3646=FACE_OUTER_BOUND('',#5475,.T.); #3647=FACE_OUTER_BOUND('',#5476,.T.); #3648=FACE_OUTER_BOUND('',#5477,.T.); #3649=FACE_OUTER_BOUND('',#5478,.T.); #3650=FACE_OUTER_BOUND('',#5479,.T.); #3651=FACE_OUTER_BOUND('',#5480,.T.); #3652=FACE_OUTER_BOUND('',#5481,.T.); #3653=FACE_OUTER_BOUND('',#5483,.T.); #3654=FACE_OUTER_BOUND('',#5484,.T.); #3655=FACE_OUTER_BOUND('',#5485,.T.); #3656=FACE_OUTER_BOUND('',#5491,.T.); #3657=FACE_OUTER_BOUND('',#5494,.T.); #3658=FACE_OUTER_BOUND('',#5495,.T.); #3659=FACE_OUTER_BOUND('',#5496,.T.); #3660=FACE_OUTER_BOUND('',#5497,.T.); #3661=FACE_OUTER_BOUND('',#5498,.T.); #3662=FACE_OUTER_BOUND('',#5499,.T.); #3663=FACE_OUTER_BOUND('',#5500,.T.); #3664=FACE_OUTER_BOUND('',#5501,.T.); #3665=FACE_OUTER_BOUND('',#5502,.T.); #3666=FACE_OUTER_BOUND('',#5503,.T.); #3667=FACE_OUTER_BOUND('',#5504,.T.); #3668=FACE_OUTER_BOUND('',#5505,.T.); #3669=FACE_OUTER_BOUND('',#5506,.T.); #3670=FACE_OUTER_BOUND('',#5507,.T.); #3671=FACE_OUTER_BOUND('',#5508,.T.); #3672=FACE_OUTER_BOUND('',#5509,.T.); #3673=FACE_OUTER_BOUND('',#5510,.T.); #3674=FACE_OUTER_BOUND('',#5511,.T.); #3675=FACE_OUTER_BOUND('',#5512,.T.); #3676=FACE_OUTER_BOUND('',#5513,.T.); #3677=FACE_OUTER_BOUND('',#5514,.T.); #3678=FACE_OUTER_BOUND('',#5515,.T.); #3679=FACE_OUTER_BOUND('',#5517,.T.); #3680=FACE_OUTER_BOUND('',#5518,.T.); #3681=FACE_OUTER_BOUND('',#5519,.T.); #3682=FACE_OUTER_BOUND('',#5520,.T.); #3683=FACE_OUTER_BOUND('',#5521,.T.); #3684=FACE_OUTER_BOUND('',#5522,.T.); #3685=FACE_OUTER_BOUND('',#5523,.T.); #3686=FACE_OUTER_BOUND('',#5524,.T.); #3687=FACE_OUTER_BOUND('',#5525,.T.); #3688=FACE_OUTER_BOUND('',#5526,.T.); #3689=FACE_OUTER_BOUND('',#5527,.T.); #3690=FACE_OUTER_BOUND('',#5528,.T.); #3691=FACE_OUTER_BOUND('',#5529,.T.); #3692=FACE_OUTER_BOUND('',#5530,.T.); #3693=FACE_OUTER_BOUND('',#5531,.T.); #3694=FACE_OUTER_BOUND('',#5532,.T.); #3695=FACE_OUTER_BOUND('',#5533,.T.); #3696=FACE_OUTER_BOUND('',#5534,.T.); #3697=FACE_OUTER_BOUND('',#5535,.T.); #3698=FACE_OUTER_BOUND('',#5536,.T.); #3699=FACE_OUTER_BOUND('',#5537,.T.); #3700=FACE_OUTER_BOUND('',#5538,.T.); #3701=FACE_OUTER_BOUND('',#5539,.T.); #3702=FACE_OUTER_BOUND('',#5540,.T.); #3703=FACE_OUTER_BOUND('',#5541,.T.); #3704=FACE_OUTER_BOUND('',#5542,.T.); #3705=FACE_OUTER_BOUND('',#5543,.T.); #3706=FACE_OUTER_BOUND('',#5544,.T.); #3707=FACE_OUTER_BOUND('',#5545,.T.); #3708=FACE_OUTER_BOUND('',#5546,.T.); #3709=FACE_OUTER_BOUND('',#5547,.T.); #3710=FACE_OUTER_BOUND('',#5548,.T.); #3711=FACE_OUTER_BOUND('',#5549,.T.); #3712=FACE_OUTER_BOUND('',#5550,.T.); #3713=FACE_OUTER_BOUND('',#5551,.T.); #3714=FACE_OUTER_BOUND('',#5553,.T.); #3715=FACE_OUTER_BOUND('',#5554,.T.); #3716=FACE_OUTER_BOUND('',#5555,.T.); #3717=FACE_OUTER_BOUND('',#5556,.T.); #3718=FACE_OUTER_BOUND('',#5558,.T.); #3719=FACE_OUTER_BOUND('',#5559,.T.); #3720=FACE_OUTER_BOUND('',#5560,.T.); #3721=FACE_OUTER_BOUND('',#5561,.T.); #3722=FACE_OUTER_BOUND('',#5563,.T.); #3723=FACE_OUTER_BOUND('',#5564,.T.); #3724=FACE_OUTER_BOUND('',#5565,.T.); #3725=FACE_OUTER_BOUND('',#5566,.T.); #3726=FACE_OUTER_BOUND('',#5567,.T.); #3727=FACE_OUTER_BOUND('',#5568,.T.); #3728=FACE_OUTER_BOUND('',#5569,.T.); #3729=FACE_OUTER_BOUND('',#5570,.T.); #3730=EDGE_LOOP('',(#19448,#19449,#19450,#19451)); #3731=EDGE_LOOP('',(#19452,#19453,#19454,#19455)); #3732=EDGE_LOOP('',(#19456,#19457,#19458,#19459)); #3733=EDGE_LOOP('',(#19460,#19461,#19462,#19463)); #3734=EDGE_LOOP('',(#19464,#19465,#19466,#19467)); #3735=EDGE_LOOP('',(#19468,#19469,#19470,#19471)); #3736=EDGE_LOOP('',(#19472,#19473,#19474,#19475)); #3737=EDGE_LOOP('',(#19476,#19477,#19478,#19479)); #3738=EDGE_LOOP('',(#19480,#19481,#19482,#19483)); #3739=EDGE_LOOP('',(#19484,#19485,#19486,#19487)); #3740=EDGE_LOOP('',(#19488,#19489,#19490,#19491)); #3741=EDGE_LOOP('',(#19492,#19493,#19494,#19495)); #3742=EDGE_LOOP('',(#19496,#19497,#19498,#19499)); #3743=EDGE_LOOP('',(#19500,#19501,#19502,#19503)); #3744=EDGE_LOOP('',(#19504,#19505,#19506,#19507)); #3745=EDGE_LOOP('',(#19508,#19509,#19510,#19511)); #3746=EDGE_LOOP('',(#19512,#19513,#19514,#19515)); #3747=EDGE_LOOP('',(#19516,#19517,#19518,#19519)); #3748=EDGE_LOOP('',(#19520,#19521,#19522,#19523)); #3749=EDGE_LOOP('',(#19524,#19525,#19526,#19527)); #3750=EDGE_LOOP('',(#19528,#19529,#19530,#19531)); #3751=EDGE_LOOP('',(#19532,#19533,#19534,#19535)); #3752=EDGE_LOOP('',(#19536,#19537,#19538,#19539)); #3753=EDGE_LOOP('',(#19540,#19541,#19542,#19543)); #3754=EDGE_LOOP('',(#19544,#19545,#19546,#19547)); #3755=EDGE_LOOP('',(#19548,#19549,#19550,#19551)); #3756=EDGE_LOOP('',(#19552,#19553,#19554,#19555)); #3757=EDGE_LOOP('',(#19556,#19557,#19558,#19559)); #3758=EDGE_LOOP('',(#19560,#19561,#19562,#19563)); #3759=EDGE_LOOP('',(#19564,#19565,#19566,#19567)); #3760=EDGE_LOOP('',(#19568,#19569,#19570,#19571)); #3761=EDGE_LOOP('',(#19572,#19573,#19574,#19575)); #3762=EDGE_LOOP('',(#19576,#19577,#19578,#19579)); #3763=EDGE_LOOP('',(#19580,#19581,#19582,#19583)); #3764=EDGE_LOOP('',(#19584,#19585,#19586,#19587)); #3765=EDGE_LOOP('',(#19588,#19589,#19590,#19591)); #3766=EDGE_LOOP('',(#19592,#19593,#19594,#19595)); #3767=EDGE_LOOP('',(#19596,#19597,#19598,#19599)); #3768=EDGE_LOOP('',(#19600,#19601,#19602,#19603,#19604,#19605,#19606,#19607, #19608,#19609,#19610,#19611,#19612,#19613,#19614)); #3769=EDGE_LOOP('',(#19615)); #3770=EDGE_LOOP('',(#19616)); #3771=EDGE_LOOP('',(#19617)); #3772=EDGE_LOOP('',(#19618)); #3773=EDGE_LOOP('',(#19619)); #3774=EDGE_LOOP('',(#19620)); #3775=EDGE_LOOP('',(#19621)); #3776=EDGE_LOOP('',(#19622)); #3777=EDGE_LOOP('',(#19623)); #3778=EDGE_LOOP('',(#19624)); #3779=EDGE_LOOP('',(#19625)); #3780=EDGE_LOOP('',(#19626)); #3781=EDGE_LOOP('',(#19627)); #3782=EDGE_LOOP('',(#19628)); #3783=EDGE_LOOP('',(#19629,#19630,#19631,#19632)); #3784=EDGE_LOOP('',(#19633)); #3785=EDGE_LOOP('',(#19634)); #3786=EDGE_LOOP('',(#19635)); #3787=EDGE_LOOP('',(#19636)); #3788=EDGE_LOOP('',(#19637)); #3789=EDGE_LOOP('',(#19638)); #3790=EDGE_LOOP('',(#19639,#19640,#19641,#19642)); #3791=EDGE_LOOP('',(#19643,#19644,#19645,#19646)); #3792=EDGE_LOOP('',(#19647,#19648,#19649,#19650)); #3793=EDGE_LOOP('',(#19651,#19652,#19653,#19654)); #3794=EDGE_LOOP('',(#19655,#19656,#19657,#19658)); #3795=EDGE_LOOP('',(#19659,#19660,#19661,#19662)); #3796=EDGE_LOOP('',(#19663,#19664,#19665,#19666,#19667,#19668,#19669,#19670, #19671,#19672,#19673,#19674,#19675,#19676,#19677)); #3797=EDGE_LOOP('',(#19678)); #3798=EDGE_LOOP('',(#19679)); #3799=EDGE_LOOP('',(#19680)); #3800=EDGE_LOOP('',(#19681)); #3801=EDGE_LOOP('',(#19682)); #3802=EDGE_LOOP('',(#19683)); #3803=EDGE_LOOP('',(#19684)); #3804=EDGE_LOOP('',(#19685)); #3805=EDGE_LOOP('',(#19686)); #3806=EDGE_LOOP('',(#19687)); #3807=EDGE_LOOP('',(#19688)); #3808=EDGE_LOOP('',(#19689)); #3809=EDGE_LOOP('',(#19690)); #3810=EDGE_LOOP('',(#19691)); #3811=EDGE_LOOP('',(#19692,#19693,#19694,#19695)); #3812=EDGE_LOOP('',(#19696)); #3813=EDGE_LOOP('',(#19697)); #3814=EDGE_LOOP('',(#19698)); #3815=EDGE_LOOP('',(#19699)); #3816=EDGE_LOOP('',(#19700)); #3817=EDGE_LOOP('',(#19701)); #3818=EDGE_LOOP('',(#19702,#19703,#19704,#19705)); #3819=EDGE_LOOP('',(#19706,#19707,#19708,#19709)); #3820=EDGE_LOOP('',(#19710,#19711,#19712,#19713)); #3821=EDGE_LOOP('',(#19714,#19715,#19716,#19717)); #3822=EDGE_LOOP('',(#19718,#19719,#19720,#19721)); #3823=EDGE_LOOP('',(#19722,#19723,#19724,#19725)); #3824=EDGE_LOOP('',(#19726,#19727,#19728,#19729)); #3825=EDGE_LOOP('',(#19730,#19731,#19732,#19733)); #3826=EDGE_LOOP('',(#19734,#19735,#19736,#19737)); #3827=EDGE_LOOP('',(#19738,#19739,#19740,#19741)); #3828=EDGE_LOOP('',(#19742,#19743,#19744,#19745)); #3829=EDGE_LOOP('',(#19746,#19747,#19748,#19749)); #3830=EDGE_LOOP('',(#19750,#19751,#19752,#19753)); #3831=EDGE_LOOP('',(#19754,#19755,#19756,#19757)); #3832=EDGE_LOOP('',(#19758,#19759,#19760,#19761)); #3833=EDGE_LOOP('',(#19762,#19763,#19764,#19765)); #3834=EDGE_LOOP('',(#19766,#19767,#19768,#19769)); #3835=EDGE_LOOP('',(#19770,#19771,#19772,#19773)); #3836=EDGE_LOOP('',(#19774)); #3837=EDGE_LOOP('',(#19775)); #3838=EDGE_LOOP('',(#19776,#19777,#19778,#19779)); #3839=EDGE_LOOP('',(#19780)); #3840=EDGE_LOOP('',(#19781)); #3841=EDGE_LOOP('',(#19782)); #3842=EDGE_LOOP('',(#19783)); #3843=EDGE_LOOP('',(#19784)); #3844=EDGE_LOOP('',(#19785)); #3845=EDGE_LOOP('',(#19786,#19787,#19788,#19789)); #3846=EDGE_LOOP('',(#19790,#19791,#19792,#19793)); #3847=EDGE_LOOP('',(#19794)); #3848=EDGE_LOOP('',(#19795)); #3849=EDGE_LOOP('',(#19796)); #3850=EDGE_LOOP('',(#19797)); #3851=EDGE_LOOP('',(#19798)); #3852=EDGE_LOOP('',(#19799)); #3853=EDGE_LOOP('',(#19800,#19801,#19802,#19803)); #3854=EDGE_LOOP('',(#19804,#19805,#19806,#19807)); #3855=EDGE_LOOP('',(#19808)); #3856=EDGE_LOOP('',(#19809)); #3857=EDGE_LOOP('',(#19810,#19811,#19812,#19813)); #3858=EDGE_LOOP('',(#19814,#19815,#19816,#19817)); #3859=EDGE_LOOP('',(#19818)); #3860=EDGE_LOOP('',(#19819)); #3861=EDGE_LOOP('',(#19820,#19821,#19822,#19823)); #3862=EDGE_LOOP('',(#19824)); #3863=EDGE_LOOP('',(#19825)); #3864=EDGE_LOOP('',(#19826)); #3865=EDGE_LOOP('',(#19827)); #3866=EDGE_LOOP('',(#19828)); #3867=EDGE_LOOP('',(#19829)); #3868=EDGE_LOOP('',(#19830,#19831,#19832,#19833,#19834)); #3869=EDGE_LOOP('',(#19835,#19836,#19837,#19838,#19839,#19840)); #3870=EDGE_LOOP('',(#19841,#19842,#19843,#19844,#19845)); #3871=EDGE_LOOP('',(#19846,#19847,#19848,#19849)); #3872=EDGE_LOOP('',(#19850)); #3873=EDGE_LOOP('',(#19851)); #3874=EDGE_LOOP('',(#19852)); #3875=EDGE_LOOP('',(#19853)); #3876=EDGE_LOOP('',(#19854,#19855,#19856,#19857,#19858)); #3877=EDGE_LOOP('',(#19859,#19860,#19861,#19862,#19863,#19864)); #3878=EDGE_LOOP('',(#19865,#19866,#19867,#19868,#19869)); #3879=EDGE_LOOP('',(#19870,#19871,#19872,#19873)); #3880=EDGE_LOOP('',(#19874,#19875,#19876,#19877)); #3881=EDGE_LOOP('',(#19878)); #3882=EDGE_LOOP('',(#19879)); #3883=EDGE_LOOP('',(#19880)); #3884=EDGE_LOOP('',(#19881)); #3885=EDGE_LOOP('',(#19882)); #3886=EDGE_LOOP('',(#19883)); #3887=EDGE_LOOP('',(#19884,#19885,#19886,#19887,#19888)); #3888=EDGE_LOOP('',(#19889,#19890,#19891,#19892,#19893,#19894)); #3889=EDGE_LOOP('',(#19895,#19896,#19897,#19898,#19899)); #3890=EDGE_LOOP('',(#19900,#19901,#19902,#19903,#19904)); #3891=EDGE_LOOP('',(#19905,#19906,#19907,#19908,#19909)); #3892=EDGE_LOOP('',(#19910,#19911,#19912,#19913)); #3893=EDGE_LOOP('',(#19914)); #3894=EDGE_LOOP('',(#19915)); #3895=EDGE_LOOP('',(#19916)); #3896=EDGE_LOOP('',(#19917)); #3897=EDGE_LOOP('',(#19918)); #3898=EDGE_LOOP('',(#19919)); #3899=EDGE_LOOP('',(#19920,#19921,#19922,#19923,#19924)); #3900=EDGE_LOOP('',(#19925,#19926,#19927,#19928,#19929,#19930)); #3901=EDGE_LOOP('',(#19931,#19932,#19933,#19934,#19935)); #3902=EDGE_LOOP('',(#19936,#19937,#19938,#19939,#19940)); #3903=EDGE_LOOP('',(#19941,#19942,#19943,#19944,#19945)); #3904=EDGE_LOOP('',(#19946)); #3905=EDGE_LOOP('',(#19947)); #3906=EDGE_LOOP('',(#19948,#19949,#19950,#19951,#19952)); #3907=EDGE_LOOP('',(#19953,#19954,#19955,#19956)); #3908=EDGE_LOOP('',(#19957,#19958,#19959,#19960)); #3909=EDGE_LOOP('',(#19961)); #3910=EDGE_LOOP('',(#19962)); #3911=EDGE_LOOP('',(#19963)); #3912=EDGE_LOOP('',(#19964)); #3913=EDGE_LOOP('',(#19965,#19966)); #3914=EDGE_LOOP('',(#19967)); #3915=EDGE_LOOP('',(#19968,#19969,#19970,#19971)); #3916=EDGE_LOOP('',(#19972,#19973,#19974,#19975)); #3917=EDGE_LOOP('',(#19976,#19977,#19978,#19979)); #3918=EDGE_LOOP('',(#19980)); #3919=EDGE_LOOP('',(#19981)); #3920=EDGE_LOOP('',(#19982)); #3921=EDGE_LOOP('',(#19983)); #3922=EDGE_LOOP('',(#19984,#19985,#19986,#19987,#19988,#19989)); #3923=EDGE_LOOP('',(#19990)); #3924=EDGE_LOOP('',(#19991,#19992,#19993,#19994,#19995,#19996)); #3925=EDGE_LOOP('',(#19997)); #3926=EDGE_LOOP('',(#19998,#19999,#20000,#20001,#20002,#20003)); #3927=EDGE_LOOP('',(#20004)); #3928=EDGE_LOOP('',(#20005,#20006,#20007,#20008,#20009,#20010)); #3929=EDGE_LOOP('',(#20011)); #3930=EDGE_LOOP('',(#20012,#20013,#20014,#20015,#20016,#20017)); #3931=EDGE_LOOP('',(#20018)); #3932=EDGE_LOOP('',(#20019,#20020,#20021,#20022,#20023,#20024,#20025)); #3933=EDGE_LOOP('',(#20026,#20027,#20028,#20029,#20030,#20031)); #3934=EDGE_LOOP('',(#20032)); #3935=EDGE_LOOP('',(#20033,#20034,#20035,#20036,#20037,#20038)); #3936=EDGE_LOOP('',(#20039)); #3937=EDGE_LOOP('',(#20040,#20041,#20042,#20043,#20044,#20045)); #3938=EDGE_LOOP('',(#20046)); #3939=EDGE_LOOP('',(#20047,#20048,#20049,#20050,#20051,#20052)); #3940=EDGE_LOOP('',(#20053)); #3941=EDGE_LOOP('',(#20054,#20055,#20056,#20057,#20058,#20059)); #3942=EDGE_LOOP('',(#20060)); #3943=EDGE_LOOP('',(#20061,#20062,#20063,#20064,#20065,#20066,#20067)); #3944=EDGE_LOOP('',(#20068,#20069)); #3945=EDGE_LOOP('',(#20070,#20071)); #3946=EDGE_LOOP('',(#20072)); #3947=EDGE_LOOP('',(#20073,#20074)); #3948=EDGE_LOOP('',(#20075,#20076)); #3949=EDGE_LOOP('',(#20077)); #3950=EDGE_LOOP('',(#20078,#20079)); #3951=EDGE_LOOP('',(#20080,#20081)); #3952=EDGE_LOOP('',(#20082)); #3953=EDGE_LOOP('',(#20083,#20084)); #3954=EDGE_LOOP('',(#20085,#20086)); #3955=EDGE_LOOP('',(#20087)); #3956=EDGE_LOOP('',(#20088,#20089)); #3957=EDGE_LOOP('',(#20090,#20091)); #3958=EDGE_LOOP('',(#20092)); #3959=EDGE_LOOP('',(#20093,#20094,#20095,#20096)); #3960=EDGE_LOOP('',(#20097,#20098)); #3961=EDGE_LOOP('',(#20099,#20100)); #3962=EDGE_LOOP('',(#20101,#20102)); #3963=EDGE_LOOP('',(#20103)); #3964=EDGE_LOOP('',(#20104,#20105)); #3965=EDGE_LOOP('',(#20106,#20107)); #3966=EDGE_LOOP('',(#20108)); #3967=EDGE_LOOP('',(#20109,#20110)); #3968=EDGE_LOOP('',(#20111,#20112)); #3969=EDGE_LOOP('',(#20113)); #3970=EDGE_LOOP('',(#20114,#20115)); #3971=EDGE_LOOP('',(#20116,#20117)); #3972=EDGE_LOOP('',(#20118)); #3973=EDGE_LOOP('',(#20119,#20120)); #3974=EDGE_LOOP('',(#20121,#20122)); #3975=EDGE_LOOP('',(#20123)); #3976=EDGE_LOOP('',(#20124,#20125,#20126,#20127)); #3977=EDGE_LOOP('',(#20128,#20129)); #3978=EDGE_LOOP('',(#20130,#20131,#20132,#20133,#20134,#20135,#20136,#20137, #20138,#20139,#20140,#20141,#20142,#20143)); #3979=EDGE_LOOP('',(#20144)); #3980=EDGE_LOOP('',(#20145)); #3981=EDGE_LOOP('',(#20146)); #3982=EDGE_LOOP('',(#20147)); #3983=EDGE_LOOP('',(#20148)); #3984=EDGE_LOOP('',(#20149)); #3985=EDGE_LOOP('',(#20150)); #3986=EDGE_LOOP('',(#20151)); #3987=EDGE_LOOP('',(#20152)); #3988=EDGE_LOOP('',(#20153)); #3989=EDGE_LOOP('',(#20154)); #3990=EDGE_LOOP('',(#20155)); #3991=EDGE_LOOP('',(#20156)); #3992=EDGE_LOOP('',(#20157)); #3993=EDGE_LOOP('',(#20158)); #3994=EDGE_LOOP('',(#20159)); #3995=EDGE_LOOP('',(#20160)); #3996=EDGE_LOOP('',(#20161)); #3997=EDGE_LOOP('',(#20162)); #3998=EDGE_LOOP('',(#20163)); #3999=EDGE_LOOP('',(#20164)); #4000=EDGE_LOOP('',(#20165)); #4001=EDGE_LOOP('',(#20166)); #4002=EDGE_LOOP('',(#20167,#20168,#20169,#20170,#20171,#20172)); #4003=EDGE_LOOP('',(#20173,#20174,#20175,#20176,#20177,#20178)); #4004=EDGE_LOOP('',(#20179,#20180,#20181,#20182,#20183,#20184)); #4005=EDGE_LOOP('',(#20185,#20186,#20187,#20188,#20189,#20190)); #4006=EDGE_LOOP('',(#20191,#20192,#20193,#20194,#20195)); #4007=EDGE_LOOP('',(#20196,#20197,#20198,#20199)); #4008=EDGE_LOOP('',(#20200,#20201,#20202,#20203)); #4009=EDGE_LOOP('',(#20204,#20205,#20206,#20207,#20208,#20209,#20210,#20211, #20212,#20213)); #4010=EDGE_LOOP('',(#20214)); #4011=EDGE_LOOP('',(#20215)); #4012=EDGE_LOOP('',(#20216,#20217,#20218,#20219)); #4013=EDGE_LOOP('',(#20220,#20221,#20222,#20223)); #4014=EDGE_LOOP('',(#20224,#20225,#20226,#20227)); #4015=EDGE_LOOP('',(#20228,#20229,#20230,#20231)); #4016=EDGE_LOOP('',(#20232,#20233,#20234,#20235)); #4017=EDGE_LOOP('',(#20236,#20237,#20238,#20239)); #4018=EDGE_LOOP('',(#20240,#20241,#20242,#20243)); #4019=EDGE_LOOP('',(#20244,#20245,#20246,#20247)); #4020=EDGE_LOOP('',(#20248,#20249,#20250,#20251)); #4021=EDGE_LOOP('',(#20252,#20253,#20254,#20255)); #4022=EDGE_LOOP('',(#20256,#20257,#20258,#20259,#20260,#20261,#20262,#20263, #20264,#20265)); #4023=EDGE_LOOP('',(#20266)); #4024=EDGE_LOOP('',(#20267)); #4025=EDGE_LOOP('',(#20268,#20269,#20270,#20271,#20272,#20273,#20274,#20275, #20276,#20277,#20278,#20279,#20280,#20281,#20282,#20283,#20284,#20285,#20286, #20287,#20288,#20289,#20290,#20291,#20292,#20293,#20294,#20295,#20296,#20297, #20298,#20299,#20300,#20301,#20302,#20303,#20304,#20305,#20306,#20307,#20308, #20309,#20310,#20311,#20312,#20313,#20314,#20315)); #4026=EDGE_LOOP('',(#20316)); #4027=EDGE_LOOP('',(#20317,#20318,#20319,#20320)); #4028=EDGE_LOOP('',(#20321,#20322,#20323,#20324)); #4029=EDGE_LOOP('',(#20325,#20326,#20327,#20328)); #4030=EDGE_LOOP('',(#20329,#20330,#20331,#20332)); #4031=EDGE_LOOP('',(#20333,#20334,#20335,#20336)); #4032=EDGE_LOOP('',(#20337,#20338,#20339,#20340)); #4033=EDGE_LOOP('',(#20341,#20342,#20343,#20344)); #4034=EDGE_LOOP('',(#20345,#20346,#20347,#20348)); #4035=EDGE_LOOP('',(#20349,#20350,#20351,#20352)); #4036=EDGE_LOOP('',(#20353,#20354,#20355,#20356)); #4037=EDGE_LOOP('',(#20357,#20358,#20359,#20360)); #4038=EDGE_LOOP('',(#20361,#20362,#20363,#20364)); #4039=EDGE_LOOP('',(#20365,#20366,#20367,#20368)); #4040=EDGE_LOOP('',(#20369,#20370,#20371,#20372,#20373,#20374,#20375,#20376, #20377,#20378,#20379,#20380,#20381,#20382,#20383,#20384,#20385,#20386,#20387, #20388,#20389,#20390,#20391,#20392,#20393,#20394,#20395,#20396,#20397,#20398, #20399,#20400,#20401,#20402,#20403,#20404,#20405,#20406,#20407,#20408,#20409, #20410,#20411,#20412,#20413,#20414,#20415,#20416)); #4041=EDGE_LOOP('',(#20417,#20418,#20419,#20420,#20421,#20422,#20423,#20424)); #4042=EDGE_LOOP('',(#20425)); #4043=EDGE_LOOP('',(#20426,#20427,#20428,#20429,#20430,#20431,#20432,#20433, #20434,#20435,#20436,#20437,#20438,#20439,#20440,#20441,#20442,#20443,#20444, #20445,#20446,#20447,#20448,#20449,#20450,#20451,#20452,#20453,#20454,#20455, #20456,#20457,#20458,#20459,#20460,#20461,#20462,#20463,#20464,#20465,#20466, #20467,#20468,#20469,#20470,#20471,#20472,#20473,#20474,#20475,#20476,#20477, #20478,#20479)); #4044=EDGE_LOOP('',(#20480,#20481,#20482,#20483,#20484,#20485,#20486,#20487, #20488)); #4045=EDGE_LOOP('',(#20489,#20490,#20491,#20492,#20493,#20494,#20495,#20496, #20497,#20498,#20499,#20500,#20501,#20502,#20503,#20504,#20505,#20506,#20507, #20508,#20509,#20510,#20511,#20512,#20513,#20514,#20515,#20516,#20517,#20518, #20519,#20520,#20521,#20522,#20523,#20524,#20525,#20526,#20527,#20528,#20529, #20530,#20531,#20532,#20533,#20534,#20535,#20536,#20537,#20538,#20539,#20540, #20541,#20542)); #4046=EDGE_LOOP('',(#20543,#20544,#20545,#20546,#20547,#20548,#20549,#20550)); #4047=EDGE_LOOP('',(#20551,#20552,#20553,#20554,#20555,#20556,#20557,#20558, #20559,#20560,#20561,#20562)); #4048=EDGE_LOOP('',(#20563,#20564,#20565,#20566,#20567,#20568,#20569,#20570, #20571,#20572,#20573,#20574,#20575)); #4049=EDGE_LOOP('',(#20576,#20577,#20578,#20579,#20580,#20581,#20582,#20583, #20584,#20585,#20586,#20587,#20588,#20589)); #4050=EDGE_LOOP('',(#20590,#20591,#20592,#20593,#20594,#20595,#20596,#20597, #20598,#20599)); #4051=EDGE_LOOP('',(#20600,#20601,#20602,#20603,#20604,#20605)); #4052=EDGE_LOOP('',(#20606,#20607,#20608,#20609,#20610,#20611,#20612,#20613)); #4053=EDGE_LOOP('',(#20614,#20615,#20616)); #4054=EDGE_LOOP('',(#20617,#20618,#20619,#20620,#20621,#20622,#20623,#20624, #20625,#20626,#20627,#20628,#20629,#20630,#20631,#20632,#20633,#20634,#20635, #20636,#20637,#20638,#20639,#20640,#20641,#20642,#20643,#20644,#20645,#20646, #20647,#20648,#20649,#20650,#20651,#20652,#20653,#20654,#20655,#20656,#20657, #20658,#20659,#20660,#20661,#20662,#20663,#20664,#20665,#20666,#20667,#20668, #20669,#20670)); #4055=EDGE_LOOP('',(#20671,#20672,#20673,#20674,#20675,#20676,#20677,#20678)); #4056=EDGE_LOOP('',(#20679,#20680,#20681,#20682)); #4057=EDGE_LOOP('',(#20683,#20684,#20685,#20686)); #4058=EDGE_LOOP('',(#20687,#20688,#20689,#20690)); #4059=EDGE_LOOP('',(#20691,#20692,#20693,#20694)); #4060=EDGE_LOOP('',(#20695,#20696,#20697,#20698)); #4061=EDGE_LOOP('',(#20699,#20700,#20701,#20702)); #4062=EDGE_LOOP('',(#20703,#20704,#20705,#20706)); #4063=EDGE_LOOP('',(#20707,#20708,#20709,#20710)); #4064=EDGE_LOOP('',(#20711,#20712,#20713,#20714)); #4065=EDGE_LOOP('',(#20715,#20716,#20717,#20718)); #4066=EDGE_LOOP('',(#20719,#20720,#20721,#20722)); #4067=EDGE_LOOP('',(#20723,#20724,#20725,#20726)); #4068=EDGE_LOOP('',(#20727,#20728,#20729,#20730)); #4069=EDGE_LOOP('',(#20731,#20732,#20733,#20734)); #4070=EDGE_LOOP('',(#20735,#20736,#20737,#20738)); #4071=EDGE_LOOP('',(#20739,#20740,#20741,#20742)); #4072=EDGE_LOOP('',(#20743,#20744,#20745,#20746)); #4073=EDGE_LOOP('',(#20747,#20748,#20749,#20750)); #4074=EDGE_LOOP('',(#20751,#20752,#20753,#20754)); #4075=EDGE_LOOP('',(#20755,#20756,#20757,#20758)); #4076=EDGE_LOOP('',(#20759,#20760,#20761,#20762)); #4077=EDGE_LOOP('',(#20763,#20764,#20765,#20766)); #4078=EDGE_LOOP('',(#20767,#20768,#20769,#20770)); #4079=EDGE_LOOP('',(#20771,#20772,#20773,#20774)); #4080=EDGE_LOOP('',(#20775,#20776,#20777,#20778)); #4081=EDGE_LOOP('',(#20779,#20780,#20781,#20782)); #4082=EDGE_LOOP('',(#20783,#20784,#20785,#20786)); #4083=EDGE_LOOP('',(#20787,#20788,#20789,#20790)); #4084=EDGE_LOOP('',(#20791,#20792,#20793,#20794)); #4085=EDGE_LOOP('',(#20795,#20796,#20797,#20798)); #4086=EDGE_LOOP('',(#20799,#20800,#20801,#20802)); #4087=EDGE_LOOP('',(#20803,#20804,#20805,#20806)); #4088=EDGE_LOOP('',(#20807,#20808,#20809,#20810)); #4089=EDGE_LOOP('',(#20811,#20812,#20813,#20814)); #4090=EDGE_LOOP('',(#20815,#20816,#20817,#20818)); #4091=EDGE_LOOP('',(#20819,#20820,#20821,#20822)); #4092=EDGE_LOOP('',(#20823,#20824,#20825,#20826)); #4093=EDGE_LOOP('',(#20827,#20828,#20829,#20830)); #4094=EDGE_LOOP('',(#20831,#20832,#20833,#20834)); #4095=EDGE_LOOP('',(#20835,#20836,#20837,#20838)); #4096=EDGE_LOOP('',(#20839,#20840,#20841,#20842)); #4097=EDGE_LOOP('',(#20843,#20844,#20845,#20846)); #4098=EDGE_LOOP('',(#20847,#20848,#20849,#20850)); #4099=EDGE_LOOP('',(#20851,#20852,#20853,#20854,#20855,#20856,#20857,#20858)); #4100=EDGE_LOOP('',(#20859,#20860,#20861,#20862,#20863,#20864,#20865,#20866, #20867,#20868,#20869,#20870,#20871,#20872,#20873,#20874,#20875,#20876,#20877, #20878,#20879,#20880,#20881,#20882,#20883,#20884,#20885,#20886,#20887,#20888, #20889,#20890,#20891,#20892,#20893,#20894,#20895,#20896,#20897,#20898,#20899, #20900,#20901,#20902,#20903,#20904,#20905,#20906,#20907,#20908,#20909,#20910, #20911,#20912)); #4101=EDGE_LOOP('',(#20913,#20914,#20915,#20916,#20917,#20918,#20919,#20920, #20921)); #4102=EDGE_LOOP('',(#20922,#20923,#20924,#20925,#20926,#20927,#20928,#20929, #20930,#20931,#20932,#20933,#20934,#20935,#20936,#20937,#20938,#20939,#20940, #20941,#20942,#20943,#20944,#20945,#20946,#20947,#20948,#20949,#20950,#20951, #20952,#20953,#20954,#20955,#20956,#20957,#20958,#20959,#20960,#20961,#20962, #20963,#20964,#20965,#20966,#20967,#20968,#20969,#20970,#20971,#20972,#20973, #20974,#20975)); #4103=EDGE_LOOP('',(#20976,#20977,#20978,#20979,#20980,#20981,#20982,#20983)); #4104=EDGE_LOOP('',(#20984,#20985,#20986,#20987,#20988,#20989,#20990,#20991, #20992,#20993,#20994,#20995)); #4105=EDGE_LOOP('',(#20996,#20997,#20998,#20999,#21000,#21001,#21002,#21003, #21004,#21005,#21006,#21007,#21008)); #4106=EDGE_LOOP('',(#21009,#21010,#21011,#21012,#21013,#21014,#21015,#21016, #21017,#21018,#21019,#21020,#21021,#21022)); #4107=EDGE_LOOP('',(#21023,#21024,#21025,#21026,#21027,#21028)); #4108=EDGE_LOOP('',(#21029,#21030,#21031,#21032,#21033,#21034,#21035,#21036)); #4109=EDGE_LOOP('',(#21037,#21038,#21039,#21040,#21041,#21042,#21043,#21044, #21045,#21046,#21047,#21048,#21049,#21050,#21051,#21052,#21053,#21054,#21055, #21056,#21057,#21058,#21059,#21060,#21061,#21062,#21063,#21064,#21065,#21066, #21067,#21068,#21069,#21070,#21071,#21072,#21073,#21074,#21075,#21076,#21077, #21078,#21079,#21080,#21081,#21082,#21083,#21084,#21085,#21086,#21087,#21088, #21089,#21090)); #4110=EDGE_LOOP('',(#21091,#21092,#21093,#21094,#21095,#21096,#21097,#21098)); #4111=EDGE_LOOP('',(#21099,#21100,#21101,#21102,#21103,#21104,#21105,#21106, #21107,#21108)); #4112=EDGE_LOOP('',(#21109,#21110,#21111)); #4113=EDGE_LOOP('',(#21112,#21113,#21114,#21115)); #4114=EDGE_LOOP('',(#21116,#21117,#21118,#21119)); #4115=EDGE_LOOP('',(#21120,#21121,#21122,#21123)); #4116=EDGE_LOOP('',(#21124,#21125,#21126,#21127)); #4117=EDGE_LOOP('',(#21128,#21129,#21130,#21131)); #4118=EDGE_LOOP('',(#21132,#21133,#21134,#21135)); #4119=EDGE_LOOP('',(#21136,#21137,#21138,#21139)); #4120=EDGE_LOOP('',(#21140,#21141,#21142,#21143)); #4121=EDGE_LOOP('',(#21144,#21145,#21146,#21147)); #4122=EDGE_LOOP('',(#21148,#21149,#21150,#21151)); #4123=EDGE_LOOP('',(#21152,#21153,#21154,#21155)); #4124=EDGE_LOOP('',(#21156,#21157,#21158,#21159)); #4125=EDGE_LOOP('',(#21160,#21161,#21162,#21163)); #4126=EDGE_LOOP('',(#21164,#21165,#21166,#21167)); #4127=EDGE_LOOP('',(#21168,#21169,#21170,#21171)); #4128=EDGE_LOOP('',(#21172,#21173,#21174,#21175)); #4129=EDGE_LOOP('',(#21176,#21177,#21178,#21179)); #4130=EDGE_LOOP('',(#21180,#21181,#21182,#21183)); #4131=EDGE_LOOP('',(#21184,#21185,#21186,#21187)); #4132=EDGE_LOOP('',(#21188,#21189,#21190,#21191)); #4133=EDGE_LOOP('',(#21192,#21193,#21194,#21195)); #4134=EDGE_LOOP('',(#21196,#21197,#21198,#21199)); #4135=EDGE_LOOP('',(#21200,#21201,#21202,#21203)); #4136=EDGE_LOOP('',(#21204,#21205,#21206,#21207)); #4137=EDGE_LOOP('',(#21208,#21209,#21210,#21211)); #4138=EDGE_LOOP('',(#21212,#21213,#21214,#21215)); #4139=EDGE_LOOP('',(#21216,#21217,#21218,#21219)); #4140=EDGE_LOOP('',(#21220,#21221,#21222,#21223)); #4141=EDGE_LOOP('',(#21224,#21225,#21226,#21227)); #4142=EDGE_LOOP('',(#21228,#21229,#21230,#21231)); #4143=EDGE_LOOP('',(#21232,#21233,#21234,#21235)); #4144=EDGE_LOOP('',(#21236,#21237,#21238,#21239)); #4145=EDGE_LOOP('',(#21240,#21241,#21242,#21243)); #4146=EDGE_LOOP('',(#21244,#21245,#21246,#21247)); #4147=EDGE_LOOP('',(#21248,#21249,#21250,#21251)); #4148=EDGE_LOOP('',(#21252,#21253,#21254,#21255)); #4149=EDGE_LOOP('',(#21256,#21257,#21258,#21259)); #4150=EDGE_LOOP('',(#21260,#21261,#21262,#21263)); #4151=EDGE_LOOP('',(#21264,#21265,#21266,#21267)); #4152=EDGE_LOOP('',(#21268,#21269,#21270,#21271)); #4153=EDGE_LOOP('',(#21272,#21273,#21274,#21275)); #4154=EDGE_LOOP('',(#21276,#21277,#21278,#21279)); #4155=EDGE_LOOP('',(#21280,#21281,#21282,#21283)); #4156=EDGE_LOOP('',(#21284,#21285,#21286,#21287)); #4157=EDGE_LOOP('',(#21288,#21289,#21290,#21291)); #4158=EDGE_LOOP('',(#21292,#21293,#21294,#21295)); #4159=EDGE_LOOP('',(#21296,#21297,#21298,#21299)); #4160=EDGE_LOOP('',(#21300,#21301,#21302,#21303)); #4161=EDGE_LOOP('',(#21304,#21305,#21306,#21307)); #4162=EDGE_LOOP('',(#21308,#21309,#21310,#21311)); #4163=EDGE_LOOP('',(#21312,#21313,#21314,#21315)); #4164=EDGE_LOOP('',(#21316,#21317,#21318,#21319)); #4165=EDGE_LOOP('',(#21320,#21321,#21322,#21323)); #4166=EDGE_LOOP('',(#21324,#21325,#21326,#21327)); #4167=EDGE_LOOP('',(#21328,#21329,#21330,#21331)); #4168=EDGE_LOOP('',(#21332,#21333,#21334,#21335)); #4169=EDGE_LOOP('',(#21336,#21337,#21338,#21339)); #4170=EDGE_LOOP('',(#21340,#21341,#21342,#21343)); #4171=EDGE_LOOP('',(#21344,#21345,#21346,#21347)); #4172=EDGE_LOOP('',(#21348,#21349,#21350,#21351)); #4173=EDGE_LOOP('',(#21352,#21353,#21354,#21355)); #4174=EDGE_LOOP('',(#21356,#21357,#21358,#21359)); #4175=EDGE_LOOP('',(#21360,#21361,#21362,#21363)); #4176=EDGE_LOOP('',(#21364,#21365,#21366,#21367)); #4177=EDGE_LOOP('',(#21368,#21369,#21370,#21371)); #4178=EDGE_LOOP('',(#21372,#21373,#21374,#21375)); #4179=EDGE_LOOP('',(#21376,#21377,#21378,#21379)); #4180=EDGE_LOOP('',(#21380,#21381,#21382,#21383)); #4181=EDGE_LOOP('',(#21384,#21385,#21386,#21387)); #4182=EDGE_LOOP('',(#21388,#21389,#21390,#21391)); #4183=EDGE_LOOP('',(#21392,#21393,#21394,#21395)); #4184=EDGE_LOOP('',(#21396,#21397,#21398,#21399)); #4185=EDGE_LOOP('',(#21400,#21401,#21402,#21403)); #4186=EDGE_LOOP('',(#21404,#21405,#21406,#21407)); #4187=EDGE_LOOP('',(#21408,#21409,#21410,#21411)); #4188=EDGE_LOOP('',(#21412,#21413,#21414,#21415)); #4189=EDGE_LOOP('',(#21416,#21417,#21418,#21419)); #4190=EDGE_LOOP('',(#21420,#21421,#21422,#21423)); #4191=EDGE_LOOP('',(#21424,#21425,#21426,#21427)); #4192=EDGE_LOOP('',(#21428,#21429,#21430,#21431)); #4193=EDGE_LOOP('',(#21432,#21433,#21434,#21435)); #4194=EDGE_LOOP('',(#21436,#21437,#21438,#21439)); #4195=EDGE_LOOP('',(#21440,#21441,#21442,#21443)); #4196=EDGE_LOOP('',(#21444,#21445,#21446,#21447)); #4197=EDGE_LOOP('',(#21448,#21449,#21450,#21451)); #4198=EDGE_LOOP('',(#21452,#21453,#21454,#21455)); #4199=EDGE_LOOP('',(#21456,#21457,#21458,#21459)); #4200=EDGE_LOOP('',(#21460,#21461,#21462,#21463)); #4201=EDGE_LOOP('',(#21464,#21465,#21466,#21467)); #4202=EDGE_LOOP('',(#21468,#21469,#21470,#21471)); #4203=EDGE_LOOP('',(#21472,#21473,#21474,#21475)); #4204=EDGE_LOOP('',(#21476,#21477,#21478,#21479)); #4205=EDGE_LOOP('',(#21480,#21481,#21482,#21483)); #4206=EDGE_LOOP('',(#21484,#21485,#21486,#21487)); #4207=EDGE_LOOP('',(#21488,#21489,#21490,#21491)); #4208=EDGE_LOOP('',(#21492,#21493,#21494,#21495)); #4209=EDGE_LOOP('',(#21496,#21497,#21498,#21499)); #4210=EDGE_LOOP('',(#21500,#21501,#21502,#21503)); #4211=EDGE_LOOP('',(#21504,#21505,#21506,#21507)); #4212=EDGE_LOOP('',(#21508,#21509,#21510,#21511)); #4213=EDGE_LOOP('',(#21512,#21513,#21514,#21515)); #4214=EDGE_LOOP('',(#21516,#21517,#21518,#21519)); #4215=EDGE_LOOP('',(#21520,#21521,#21522,#21523)); #4216=EDGE_LOOP('',(#21524,#21525,#21526,#21527)); #4217=EDGE_LOOP('',(#21528,#21529,#21530,#21531)); #4218=EDGE_LOOP('',(#21532,#21533,#21534,#21535)); #4219=EDGE_LOOP('',(#21536,#21537,#21538,#21539)); #4220=EDGE_LOOP('',(#21540,#21541,#21542,#21543)); #4221=EDGE_LOOP('',(#21544,#21545,#21546,#21547)); #4222=EDGE_LOOP('',(#21548,#21549,#21550,#21551)); #4223=EDGE_LOOP('',(#21552,#21553,#21554,#21555)); #4224=EDGE_LOOP('',(#21556,#21557,#21558,#21559)); #4225=EDGE_LOOP('',(#21560,#21561,#21562,#21563)); #4226=EDGE_LOOP('',(#21564,#21565,#21566,#21567)); #4227=EDGE_LOOP('',(#21568,#21569,#21570,#21571)); #4228=EDGE_LOOP('',(#21572,#21573,#21574,#21575)); #4229=EDGE_LOOP('',(#21576,#21577,#21578,#21579)); #4230=EDGE_LOOP('',(#21580,#21581,#21582,#21583)); #4231=EDGE_LOOP('',(#21584,#21585,#21586,#21587)); #4232=EDGE_LOOP('',(#21588,#21589,#21590,#21591)); #4233=EDGE_LOOP('',(#21592,#21593,#21594,#21595)); #4234=EDGE_LOOP('',(#21596,#21597,#21598,#21599)); #4235=EDGE_LOOP('',(#21600,#21601,#21602,#21603)); #4236=EDGE_LOOP('',(#21604,#21605,#21606,#21607)); #4237=EDGE_LOOP('',(#21608,#21609,#21610,#21611)); #4238=EDGE_LOOP('',(#21612,#21613,#21614,#21615)); #4239=EDGE_LOOP('',(#21616,#21617,#21618,#21619)); #4240=EDGE_LOOP('',(#21620,#21621,#21622,#21623)); #4241=EDGE_LOOP('',(#21624,#21625,#21626,#21627)); #4242=EDGE_LOOP('',(#21628,#21629,#21630,#21631)); #4243=EDGE_LOOP('',(#21632,#21633,#21634,#21635)); #4244=EDGE_LOOP('',(#21636,#21637,#21638,#21639)); #4245=EDGE_LOOP('',(#21640,#21641,#21642,#21643)); #4246=EDGE_LOOP('',(#21644,#21645,#21646,#21647)); #4247=EDGE_LOOP('',(#21648,#21649,#21650,#21651)); #4248=EDGE_LOOP('',(#21652,#21653,#21654,#21655)); #4249=EDGE_LOOP('',(#21656,#21657,#21658,#21659)); #4250=EDGE_LOOP('',(#21660,#21661,#21662,#21663)); #4251=EDGE_LOOP('',(#21664,#21665,#21666,#21667)); #4252=EDGE_LOOP('',(#21668,#21669,#21670,#21671)); #4253=EDGE_LOOP('',(#21672,#21673,#21674,#21675)); #4254=EDGE_LOOP('',(#21676,#21677,#21678,#21679)); #4255=EDGE_LOOP('',(#21680,#21681,#21682,#21683)); #4256=EDGE_LOOP('',(#21684,#21685,#21686,#21687)); #4257=EDGE_LOOP('',(#21688,#21689,#21690,#21691)); #4258=EDGE_LOOP('',(#21692,#21693,#21694,#21695)); #4259=EDGE_LOOP('',(#21696,#21697,#21698,#21699)); #4260=EDGE_LOOP('',(#21700,#21701,#21702,#21703)); #4261=EDGE_LOOP('',(#21704,#21705,#21706,#21707)); #4262=EDGE_LOOP('',(#21708,#21709,#21710,#21711)); #4263=EDGE_LOOP('',(#21712,#21713,#21714,#21715)); #4264=EDGE_LOOP('',(#21716,#21717,#21718,#21719)); #4265=EDGE_LOOP('',(#21720,#21721,#21722,#21723)); #4266=EDGE_LOOP('',(#21724,#21725,#21726,#21727)); #4267=EDGE_LOOP('',(#21728,#21729,#21730,#21731)); #4268=EDGE_LOOP('',(#21732,#21733,#21734,#21735)); #4269=EDGE_LOOP('',(#21736,#21737,#21738,#21739)); #4270=EDGE_LOOP('',(#21740,#21741,#21742,#21743)); #4271=EDGE_LOOP('',(#21744,#21745,#21746,#21747)); #4272=EDGE_LOOP('',(#21748,#21749,#21750,#21751)); #4273=EDGE_LOOP('',(#21752,#21753,#21754,#21755)); #4274=EDGE_LOOP('',(#21756,#21757,#21758,#21759)); #4275=EDGE_LOOP('',(#21760,#21761,#21762,#21763)); #4276=EDGE_LOOP('',(#21764,#21765,#21766,#21767)); #4277=EDGE_LOOP('',(#21768,#21769,#21770,#21771)); #4278=EDGE_LOOP('',(#21772,#21773,#21774,#21775)); #4279=EDGE_LOOP('',(#21776,#21777,#21778,#21779)); #4280=EDGE_LOOP('',(#21780,#21781,#21782,#21783)); #4281=EDGE_LOOP('',(#21784,#21785,#21786,#21787)); #4282=EDGE_LOOP('',(#21788,#21789,#21790,#21791)); #4283=EDGE_LOOP('',(#21792,#21793,#21794,#21795)); #4284=EDGE_LOOP('',(#21796,#21797,#21798,#21799)); #4285=EDGE_LOOP('',(#21800,#21801,#21802,#21803)); #4286=EDGE_LOOP('',(#21804,#21805,#21806,#21807)); #4287=EDGE_LOOP('',(#21808,#21809,#21810,#21811)); #4288=EDGE_LOOP('',(#21812,#21813,#21814,#21815)); #4289=EDGE_LOOP('',(#21816,#21817,#21818,#21819)); #4290=EDGE_LOOP('',(#21820,#21821,#21822,#21823)); #4291=EDGE_LOOP('',(#21824,#21825,#21826,#21827)); #4292=EDGE_LOOP('',(#21828,#21829,#21830,#21831)); #4293=EDGE_LOOP('',(#21832,#21833,#21834,#21835)); #4294=EDGE_LOOP('',(#21836,#21837,#21838,#21839)); #4295=EDGE_LOOP('',(#21840,#21841,#21842,#21843)); #4296=EDGE_LOOP('',(#21844,#21845,#21846,#21847)); #4297=EDGE_LOOP('',(#21848,#21849,#21850,#21851)); #4298=EDGE_LOOP('',(#21852,#21853,#21854,#21855)); #4299=EDGE_LOOP('',(#21856,#21857,#21858,#21859)); #4300=EDGE_LOOP('',(#21860,#21861,#21862,#21863)); #4301=EDGE_LOOP('',(#21864,#21865,#21866,#21867)); #4302=EDGE_LOOP('',(#21868,#21869,#21870,#21871)); #4303=EDGE_LOOP('',(#21872,#21873,#21874,#21875)); #4304=EDGE_LOOP('',(#21876,#21877,#21878,#21879)); #4305=EDGE_LOOP('',(#21880,#21881,#21882,#21883)); #4306=EDGE_LOOP('',(#21884,#21885,#21886,#21887)); #4307=EDGE_LOOP('',(#21888,#21889,#21890,#21891)); #4308=EDGE_LOOP('',(#21892,#21893,#21894,#21895)); #4309=EDGE_LOOP('',(#21896,#21897,#21898,#21899)); #4310=EDGE_LOOP('',(#21900,#21901,#21902,#21903)); #4311=EDGE_LOOP('',(#21904,#21905,#21906,#21907)); #4312=EDGE_LOOP('',(#21908,#21909,#21910,#21911)); #4313=EDGE_LOOP('',(#21912,#21913,#21914,#21915)); #4314=EDGE_LOOP('',(#21916,#21917,#21918,#21919)); #4315=EDGE_LOOP('',(#21920,#21921,#21922,#21923)); #4316=EDGE_LOOP('',(#21924,#21925,#21926,#21927)); #4317=EDGE_LOOP('',(#21928,#21929,#21930,#21931)); #4318=EDGE_LOOP('',(#21932,#21933,#21934,#21935)); #4319=EDGE_LOOP('',(#21936,#21937,#21938,#21939)); #4320=EDGE_LOOP('',(#21940,#21941,#21942,#21943)); #4321=EDGE_LOOP('',(#21944,#21945,#21946,#21947)); #4322=EDGE_LOOP('',(#21948,#21949,#21950,#21951)); #4323=EDGE_LOOP('',(#21952,#21953,#21954,#21955)); #4324=EDGE_LOOP('',(#21956,#21957,#21958,#21959)); #4325=EDGE_LOOP('',(#21960,#21961,#21962,#21963)); #4326=EDGE_LOOP('',(#21964,#21965,#21966,#21967)); #4327=EDGE_LOOP('',(#21968,#21969,#21970,#21971)); #4328=EDGE_LOOP('',(#21972,#21973,#21974,#21975)); #4329=EDGE_LOOP('',(#21976,#21977,#21978,#21979)); #4330=EDGE_LOOP('',(#21980,#21981,#21982,#21983)); #4331=EDGE_LOOP('',(#21984,#21985,#21986,#21987)); #4332=EDGE_LOOP('',(#21988,#21989,#21990,#21991)); #4333=EDGE_LOOP('',(#21992,#21993,#21994,#21995)); #4334=EDGE_LOOP('',(#21996,#21997,#21998,#21999)); #4335=EDGE_LOOP('',(#22000,#22001,#22002,#22003)); #4336=EDGE_LOOP('',(#22004,#22005,#22006,#22007)); #4337=EDGE_LOOP('',(#22008,#22009,#22010,#22011)); #4338=EDGE_LOOP('',(#22012,#22013,#22014,#22015)); #4339=EDGE_LOOP('',(#22016,#22017,#22018,#22019)); #4340=EDGE_LOOP('',(#22020,#22021,#22022,#22023)); #4341=EDGE_LOOP('',(#22024,#22025,#22026,#22027)); #4342=EDGE_LOOP('',(#22028,#22029,#22030,#22031)); #4343=EDGE_LOOP('',(#22032,#22033,#22034,#22035)); #4344=EDGE_LOOP('',(#22036,#22037,#22038,#22039)); #4345=EDGE_LOOP('',(#22040,#22041,#22042,#22043)); #4346=EDGE_LOOP('',(#22044,#22045,#22046,#22047)); #4347=EDGE_LOOP('',(#22048,#22049,#22050,#22051)); #4348=EDGE_LOOP('',(#22052,#22053,#22054,#22055)); #4349=EDGE_LOOP('',(#22056,#22057,#22058,#22059)); #4350=EDGE_LOOP('',(#22060,#22061,#22062,#22063)); #4351=EDGE_LOOP('',(#22064,#22065,#22066,#22067)); #4352=EDGE_LOOP('',(#22068,#22069,#22070,#22071)); #4353=EDGE_LOOP('',(#22072,#22073,#22074,#22075)); #4354=EDGE_LOOP('',(#22076,#22077,#22078,#22079)); #4355=EDGE_LOOP('',(#22080,#22081,#22082,#22083)); #4356=EDGE_LOOP('',(#22084,#22085,#22086,#22087)); #4357=EDGE_LOOP('',(#22088,#22089,#22090,#22091)); #4358=EDGE_LOOP('',(#22092,#22093,#22094,#22095)); #4359=EDGE_LOOP('',(#22096,#22097,#22098,#22099)); #4360=EDGE_LOOP('',(#22100,#22101,#22102,#22103)); #4361=EDGE_LOOP('',(#22104,#22105,#22106,#22107)); #4362=EDGE_LOOP('',(#22108,#22109,#22110,#22111)); #4363=EDGE_LOOP('',(#22112,#22113,#22114,#22115)); #4364=EDGE_LOOP('',(#22116,#22117,#22118,#22119)); #4365=EDGE_LOOP('',(#22120,#22121,#22122,#22123)); #4366=EDGE_LOOP('',(#22124,#22125,#22126,#22127,#22128)); #4367=EDGE_LOOP('',(#22129,#22130,#22131,#22132,#22133,#22134)); #4368=EDGE_LOOP('',(#22135,#22136,#22137,#22138,#22139,#22140)); #4369=EDGE_LOOP('',(#22141,#22142,#22143,#22144,#22145)); #4370=EDGE_LOOP('',(#22146)); #4371=EDGE_LOOP('',(#22147,#22148,#22149,#22150)); #4372=EDGE_LOOP('',(#22151,#22152,#22153,#22154)); #4373=EDGE_LOOP('',(#22155,#22156,#22157,#22158,#22159,#22160)); #4374=EDGE_LOOP('',(#22161,#22162,#22163,#22164)); #4375=EDGE_LOOP('',(#22165)); #4376=EDGE_LOOP('',(#22166,#22167,#22168,#22169)); #4377=EDGE_LOOP('',(#22170,#22171,#22172,#22173)); #4378=EDGE_LOOP('',(#22174,#22175,#22176,#22177,#22178,#22179)); #4379=EDGE_LOOP('',(#22180,#22181,#22182,#22183)); #4380=EDGE_LOOP('',(#22184,#22185,#22186,#22187,#22188)); #4381=EDGE_LOOP('',(#22189,#22190,#22191,#22192,#22193)); #4382=EDGE_LOOP('',(#22194,#22195,#22196,#22197)); #4383=EDGE_LOOP('',(#22198,#22199,#22200,#22201)); #4384=EDGE_LOOP('',(#22202,#22203,#22204,#22205)); #4385=EDGE_LOOP('',(#22206,#22207,#22208,#22209)); #4386=EDGE_LOOP('',(#22210,#22211,#22212,#22213)); #4387=EDGE_LOOP('',(#22214,#22215,#22216,#22217)); #4388=EDGE_LOOP('',(#22218,#22219,#22220)); #4389=EDGE_LOOP('',(#22221,#22222,#22223,#22224)); #4390=EDGE_LOOP('',(#22225,#22226,#22227,#22228)); #4391=EDGE_LOOP('',(#22229,#22230,#22231,#22232)); #4392=EDGE_LOOP('',(#22233,#22234,#22235,#22236,#22237,#22238)); #4393=EDGE_LOOP('',(#22239)); #4394=EDGE_LOOP('',(#22240)); #4395=EDGE_LOOP('',(#22241,#22242,#22243,#22244)); #4396=EDGE_LOOP('',(#22245,#22246,#22247,#22248)); #4397=EDGE_LOOP('',(#22249)); #4398=EDGE_LOOP('',(#22250)); #4399=EDGE_LOOP('',(#22251,#22252,#22253,#22254)); #4400=EDGE_LOOP('',(#22255,#22256,#22257,#22258)); #4401=EDGE_LOOP('',(#22259)); #4402=EDGE_LOOP('',(#22260,#22261,#22262,#22263)); #4403=EDGE_LOOP('',(#22264,#22265,#22266,#22267)); #4404=EDGE_LOOP('',(#22268,#22269,#22270,#22271,#22272)); #4405=EDGE_LOOP('',(#22273,#22274,#22275,#22276)); #4406=EDGE_LOOP('',(#22277,#22278,#22279,#22280,#22281)); #4407=EDGE_LOOP('',(#22282,#22283,#22284,#22285,#22286)); #4408=EDGE_LOOP('',(#22287,#22288,#22289,#22290)); #4409=EDGE_LOOP('',(#22291,#22292,#22293,#22294)); #4410=EDGE_LOOP('',(#22295,#22296,#22297,#22298,#22299)); #4411=EDGE_LOOP('',(#22300,#22301,#22302,#22303)); #4412=EDGE_LOOP('',(#22304,#22305,#22306,#22307)); #4413=EDGE_LOOP('',(#22308)); #4414=EDGE_LOOP('',(#22309)); #4415=EDGE_LOOP('',(#22310)); #4416=EDGE_LOOP('',(#22311)); #4417=EDGE_LOOP('',(#22312,#22313,#22314,#22315)); #4418=EDGE_LOOP('',(#22316,#22317,#22318,#22319)); #4419=EDGE_LOOP('',(#22320)); #4420=EDGE_LOOP('',(#22321)); #4421=EDGE_LOOP('',(#22322)); #4422=EDGE_LOOP('',(#22323)); #4423=EDGE_LOOP('',(#22324,#22325,#22326,#22327)); #4424=EDGE_LOOP('',(#22328,#22329,#22330,#22331,#22332,#22333)); #4425=EDGE_LOOP('',(#22334)); #4426=EDGE_LOOP('',(#22335)); #4427=EDGE_LOOP('',(#22336)); #4428=EDGE_LOOP('',(#22337)); #4429=EDGE_LOOP('',(#22338,#22339,#22340,#22341)); #4430=EDGE_LOOP('',(#22342,#22343,#22344,#22345,#22346)); #4431=EDGE_LOOP('',(#22347,#22348,#22349,#22350,#22351,#22352)); #4432=EDGE_LOOP('',(#22353)); #4433=EDGE_LOOP('',(#22354)); #4434=EDGE_LOOP('',(#22355,#22356,#22357,#22358,#22359)); #4435=EDGE_LOOP('',(#22360)); #4436=EDGE_LOOP('',(#22361,#22362,#22363,#22364,#22365)); #4437=EDGE_LOOP('',(#22366)); #4438=EDGE_LOOP('',(#22367,#22368,#22369,#22370,#22371,#22372)); #4439=EDGE_LOOP('',(#22373,#22374,#22375,#22376,#22377)); #4440=EDGE_LOOP('',(#22378,#22379,#22380,#22381)); #4441=EDGE_LOOP('',(#22382,#22383,#22384,#22385)); #4442=EDGE_LOOP('',(#22386,#22387,#22388,#22389)); #4443=EDGE_LOOP('',(#22390,#22391,#22392,#22393)); #4444=EDGE_LOOP('',(#22394,#22395,#22396,#22397,#22398)); #4445=EDGE_LOOP('',(#22399,#22400,#22401,#22402)); #4446=EDGE_LOOP('',(#22403,#22404,#22405,#22406,#22407,#22408,#22409,#22410, #22411)); #4447=EDGE_LOOP('',(#22412,#22413,#22414,#22415,#22416)); #4448=EDGE_LOOP('',(#22417,#22418,#22419,#22420,#22421,#22422)); #4449=EDGE_LOOP('',(#22423)); #4450=EDGE_LOOP('',(#22424)); #4451=EDGE_LOOP('',(#22425,#22426,#22427,#22428,#22429)); #4452=EDGE_LOOP('',(#22430)); #4453=EDGE_LOOP('',(#22431,#22432,#22433,#22434,#22435)); #4454=EDGE_LOOP('',(#22436)); #4455=EDGE_LOOP('',(#22437,#22438,#22439,#22440,#22441,#22442)); #4456=EDGE_LOOP('',(#22443,#22444,#22445,#22446,#22447)); #4457=EDGE_LOOP('',(#22448,#22449,#22450,#22451)); #4458=EDGE_LOOP('',(#22452,#22453,#22454,#22455)); #4459=EDGE_LOOP('',(#22456,#22457,#22458,#22459)); #4460=EDGE_LOOP('',(#22460,#22461,#22462,#22463)); #4461=EDGE_LOOP('',(#22464,#22465,#22466,#22467,#22468)); #4462=EDGE_LOOP('',(#22469,#22470,#22471,#22472)); #4463=EDGE_LOOP('',(#22473,#22474,#22475,#22476,#22477,#22478,#22479,#22480, #22481)); #4464=EDGE_LOOP('',(#22482,#22483,#22484,#22485)); #4465=EDGE_LOOP('',(#22486,#22487,#22488,#22489)); #4466=EDGE_LOOP('',(#22490,#22491,#22492,#22493,#22494,#22495,#22496,#22497, #22498,#22499,#22500,#22501,#22502,#22503)); #4467=EDGE_LOOP('',(#22504,#22505,#22506,#22507)); #4468=EDGE_LOOP('',(#22508,#22509,#22510,#22511)); #4469=EDGE_LOOP('',(#22512,#22513,#22514,#22515)); #4470=EDGE_LOOP('',(#22516,#22517,#22518,#22519)); #4471=EDGE_LOOP('',(#22520,#22521,#22522,#22523)); #4472=EDGE_LOOP('',(#22524,#22525,#22526,#22527)); #4473=EDGE_LOOP('',(#22528,#22529,#22530,#22531)); #4474=EDGE_LOOP('',(#22532)); #4475=EDGE_LOOP('',(#22533)); #4476=EDGE_LOOP('',(#22534,#22535,#22536,#22537)); #4477=EDGE_LOOP('',(#22538,#22539,#22540,#22541)); #4478=EDGE_LOOP('',(#22542,#22543,#22544,#22545)); #4479=EDGE_LOOP('',(#22546,#22547,#22548,#22549)); #4480=EDGE_LOOP('',(#22550,#22551,#22552,#22553)); #4481=EDGE_LOOP('',(#22554,#22555,#22556,#22557)); #4482=EDGE_LOOP('',(#22558,#22559,#22560,#22561)); #4483=EDGE_LOOP('',(#22562)); #4484=EDGE_LOOP('',(#22563)); #4485=EDGE_LOOP('',(#22564,#22565,#22566,#22567,#22568,#22569,#22570,#22571, #22572,#22573,#22574,#22575,#22576,#22577)); #4486=EDGE_LOOP('',(#22578)); #4487=EDGE_LOOP('',(#22579)); #4488=EDGE_LOOP('',(#22580,#22581,#22582,#22583)); #4489=EDGE_LOOP('',(#22584,#22585,#22586,#22587)); #4490=EDGE_LOOP('',(#22588)); #4491=EDGE_LOOP('',(#22589)); #4492=EDGE_LOOP('',(#22590,#22591,#22592,#22593)); #4493=EDGE_LOOP('',(#22594,#22595,#22596,#22597)); #4494=EDGE_LOOP('',(#22598)); #4495=EDGE_LOOP('',(#22599)); #4496=EDGE_LOOP('',(#22600,#22601,#22602,#22603)); #4497=EDGE_LOOP('',(#22604,#22605,#22606,#22607)); #4498=EDGE_LOOP('',(#22608)); #4499=EDGE_LOOP('',(#22609)); #4500=EDGE_LOOP('',(#22610,#22611,#22612,#22613)); #4501=EDGE_LOOP('',(#22614,#22615,#22616,#22617)); #4502=EDGE_LOOP('',(#22618,#22619,#22620,#22621)); #4503=EDGE_LOOP('',(#22622,#22623,#22624,#22625)); #4504=EDGE_LOOP('',(#22626,#22627,#22628,#22629)); #4505=EDGE_LOOP('',(#22630,#22631,#22632,#22633,#22634,#22635,#22636,#22637, #22638,#22639)); #4506=EDGE_LOOP('',(#22640)); #4507=EDGE_LOOP('',(#22641)); #4508=EDGE_LOOP('',(#22642)); #4509=EDGE_LOOP('',(#22643)); #4510=EDGE_LOOP('',(#22644,#22645,#22646,#22647)); #4511=EDGE_LOOP('',(#22648,#22649,#22650,#22651)); #4512=EDGE_LOOP('',(#22652,#22653,#22654,#22655)); #4513=EDGE_LOOP('',(#22656,#22657,#22658,#22659)); #4514=EDGE_LOOP('',(#22660,#22661,#22662,#22663)); #4515=EDGE_LOOP('',(#22664,#22665,#22666,#22667)); #4516=EDGE_LOOP('',(#22668,#22669,#22670,#22671)); #4517=EDGE_LOOP('',(#22672,#22673,#22674,#22675,#22676,#22677)); #4518=EDGE_LOOP('',(#22678,#22679,#22680,#22681,#22682,#22683)); #4519=EDGE_LOOP('',(#22684,#22685,#22686,#22687)); #4520=EDGE_LOOP('',(#22688,#22689,#22690,#22691)); #4521=EDGE_LOOP('',(#22692,#22693,#22694,#22695)); #4522=EDGE_LOOP('',(#22696,#22697,#22698,#22699)); #4523=EDGE_LOOP('',(#22700,#22701,#22702,#22703,#22704,#22705,#22706,#22707, #22708,#22709,#22710)); #4524=EDGE_LOOP('',(#22711,#22712,#22713,#22714,#22715,#22716,#22717,#22718, #22719,#22720,#22721,#22722,#22723)); #4525=EDGE_LOOP('',(#22724)); #4526=EDGE_LOOP('',(#22725)); #4527=EDGE_LOOP('',(#22726)); #4528=EDGE_LOOP('',(#22727)); #4529=EDGE_LOOP('',(#22728)); #4530=EDGE_LOOP('',(#22729)); #4531=EDGE_LOOP('',(#22730,#22731,#22732,#22733)); #4532=EDGE_LOOP('',(#22734,#22735,#22736,#22737)); #4533=EDGE_LOOP('',(#22738)); #4534=EDGE_LOOP('',(#22739)); #4535=EDGE_LOOP('',(#22740,#22741,#22742,#22743)); #4536=EDGE_LOOP('',(#22744,#22745,#22746,#22747)); #4537=EDGE_LOOP('',(#22748)); #4538=EDGE_LOOP('',(#22749)); #4539=EDGE_LOOP('',(#22750,#22751,#22752,#22753)); #4540=EDGE_LOOP('',(#22754,#22755,#22756,#22757)); #4541=EDGE_LOOP('',(#22758)); #4542=EDGE_LOOP('',(#22759)); #4543=EDGE_LOOP('',(#22760,#22761,#22762,#22763)); #4544=EDGE_LOOP('',(#22764,#22765,#22766,#22767)); #4545=EDGE_LOOP('',(#22768,#22769,#22770,#22771)); #4546=EDGE_LOOP('',(#22772,#22773,#22774,#22775)); #4547=EDGE_LOOP('',(#22776,#22777,#22778,#22779)); #4548=EDGE_LOOP('',(#22780,#22781,#22782,#22783,#22784,#22785,#22786,#22787, #22788,#22789)); #4549=EDGE_LOOP('',(#22790)); #4550=EDGE_LOOP('',(#22791)); #4551=EDGE_LOOP('',(#22792)); #4552=EDGE_LOOP('',(#22793)); #4553=EDGE_LOOP('',(#22794,#22795,#22796,#22797)); #4554=EDGE_LOOP('',(#22798,#22799,#22800,#22801)); #4555=EDGE_LOOP('',(#22802,#22803,#22804,#22805)); #4556=EDGE_LOOP('',(#22806,#22807,#22808,#22809)); #4557=EDGE_LOOP('',(#22810,#22811,#22812,#22813)); #4558=EDGE_LOOP('',(#22814,#22815,#22816,#22817)); #4559=EDGE_LOOP('',(#22818,#22819,#22820,#22821)); #4560=EDGE_LOOP('',(#22822,#22823,#22824,#22825,#22826,#22827)); #4561=EDGE_LOOP('',(#22828,#22829,#22830,#22831,#22832,#22833)); #4562=EDGE_LOOP('',(#22834,#22835,#22836,#22837)); #4563=EDGE_LOOP('',(#22838,#22839,#22840,#22841)); #4564=EDGE_LOOP('',(#22842,#22843,#22844,#22845)); #4565=EDGE_LOOP('',(#22846,#22847,#22848,#22849)); #4566=EDGE_LOOP('',(#22850,#22851,#22852,#22853,#22854,#22855,#22856,#22857, #22858,#22859,#22860)); #4567=EDGE_LOOP('',(#22861,#22862,#22863,#22864,#22865,#22866,#22867,#22868, #22869,#22870,#22871,#22872,#22873)); #4568=EDGE_LOOP('',(#22874)); #4569=EDGE_LOOP('',(#22875)); #4570=EDGE_LOOP('',(#22876)); #4571=EDGE_LOOP('',(#22877)); #4572=EDGE_LOOP('',(#22878,#22879,#22880)); #4573=EDGE_LOOP('',(#22881,#22882,#22883,#22884)); #4574=EDGE_LOOP('',(#22885,#22886,#22887)); #4575=EDGE_LOOP('',(#22888,#22889,#22890,#22891)); #4576=EDGE_LOOP('',(#22892,#22893,#22894,#22895,#22896,#22897)); #4577=EDGE_LOOP('',(#22898,#22899,#22900,#22901,#22902,#22903)); #4578=EDGE_LOOP('',(#22904,#22905,#22906,#22907)); #4579=EDGE_LOOP('',(#22908)); #4580=EDGE_LOOP('',(#22909)); #4581=EDGE_LOOP('',(#22910,#22911,#22912,#22913)); #4582=EDGE_LOOP('',(#22914,#22915,#22916,#22917)); #4583=EDGE_LOOP('',(#22918,#22919,#22920,#22921,#22922,#22923,#22924,#22925, #22926,#22927,#22928,#22929)); #4584=EDGE_LOOP('',(#22930)); #4585=EDGE_LOOP('',(#22931)); #4586=EDGE_LOOP('',(#22932)); #4587=EDGE_LOOP('',(#22933)); #4588=EDGE_LOOP('',(#22934)); #4589=EDGE_LOOP('',(#22935)); #4590=EDGE_LOOP('',(#22936)); #4591=EDGE_LOOP('',(#22937)); #4592=EDGE_LOOP('',(#22938,#22939,#22940,#22941)); #4593=EDGE_LOOP('',(#22942)); #4594=EDGE_LOOP('',(#22943)); #4595=EDGE_LOOP('',(#22944,#22945,#22946,#22947)); #4596=EDGE_LOOP('',(#22948,#22949,#22950,#22951)); #4597=EDGE_LOOP('',(#22952)); #4598=EDGE_LOOP('',(#22953)); #4599=EDGE_LOOP('',(#22954,#22955,#22956,#22957)); #4600=EDGE_LOOP('',(#22958,#22959,#22960,#22961)); #4601=EDGE_LOOP('',(#22962)); #4602=EDGE_LOOP('',(#22963)); #4603=EDGE_LOOP('',(#22964,#22965,#22966,#22967)); #4604=EDGE_LOOP('',(#22968,#22969,#22970,#22971)); #4605=EDGE_LOOP('',(#22972)); #4606=EDGE_LOOP('',(#22973)); #4607=EDGE_LOOP('',(#22974,#22975,#22976,#22977)); #4608=EDGE_LOOP('',(#22978,#22979,#22980,#22981)); #4609=EDGE_LOOP('',(#22982,#22983,#22984,#22985,#22986,#22987)); #4610=EDGE_LOOP('',(#22988,#22989,#22990,#22991)); #4611=EDGE_LOOP('',(#22992,#22993,#22994,#22995,#22996,#22997)); #4612=EDGE_LOOP('',(#22998,#22999,#23000,#23001)); #4613=EDGE_LOOP('',(#23002,#23003,#23004,#23005)); #4614=EDGE_LOOP('',(#23006,#23007,#23008,#23009)); #4615=EDGE_LOOP('',(#23010,#23011,#23012,#23013)); #4616=EDGE_LOOP('',(#23014,#23015,#23016,#23017)); #4617=EDGE_LOOP('',(#23018,#23019,#23020,#23021)); #4618=EDGE_LOOP('',(#23022,#23023,#23024,#23025)); #4619=EDGE_LOOP('',(#23026,#23027,#23028,#23029)); #4620=EDGE_LOOP('',(#23030,#23031,#23032,#23033,#23034,#23035,#23036,#23037)); #4621=EDGE_LOOP('',(#23038)); #4622=EDGE_LOOP('',(#23039)); #4623=EDGE_LOOP('',(#23040,#23041,#23042,#23043,#23044,#23045,#23046,#23047, #23048,#23049,#23050,#23051)); #4624=EDGE_LOOP('',(#23052)); #4625=EDGE_LOOP('',(#23053)); #4626=EDGE_LOOP('',(#23054)); #4627=EDGE_LOOP('',(#23055)); #4628=EDGE_LOOP('',(#23056)); #4629=EDGE_LOOP('',(#23057)); #4630=EDGE_LOOP('',(#23058)); #4631=EDGE_LOOP('',(#23059)); #4632=EDGE_LOOP('',(#23060,#23061,#23062,#23063)); #4633=EDGE_LOOP('',(#23064,#23065,#23066,#23067,#23068,#23069,#23070,#23071)); #4634=EDGE_LOOP('',(#23072)); #4635=EDGE_LOOP('',(#23073)); #4636=EDGE_LOOP('',(#23074,#23075,#23076,#23077,#23078)); #4637=EDGE_LOOP('',(#23079,#23080,#23081,#23082,#23083)); #4638=EDGE_LOOP('',(#23084,#23085,#23086,#23087,#23088)); #4639=EDGE_LOOP('',(#23089,#23090,#23091,#23092,#23093)); #4640=EDGE_LOOP('',(#23094,#23095,#23096,#23097,#23098)); #4641=EDGE_LOOP('',(#23099,#23100,#23101,#23102,#23103)); #4642=EDGE_LOOP('',(#23104,#23105,#23106,#23107,#23108)); #4643=EDGE_LOOP('',(#23109,#23110,#23111,#23112,#23113)); #4644=EDGE_LOOP('',(#23114,#23115,#23116)); #4645=EDGE_LOOP('',(#23117)); #4646=EDGE_LOOP('',(#23118)); #4647=EDGE_LOOP('',(#23119,#23120,#23121,#23122)); #4648=EDGE_LOOP('',(#23123,#23124,#23125)); #4649=EDGE_LOOP('',(#23126)); #4650=EDGE_LOOP('',(#23127)); #4651=EDGE_LOOP('',(#23128,#23129,#23130,#23131)); #4652=EDGE_LOOP('',(#23132,#23133,#23134)); #4653=EDGE_LOOP('',(#23135)); #4654=EDGE_LOOP('',(#23136)); #4655=EDGE_LOOP('',(#23137,#23138,#23139,#23140)); #4656=EDGE_LOOP('',(#23141,#23142,#23143)); #4657=EDGE_LOOP('',(#23144)); #4658=EDGE_LOOP('',(#23145)); #4659=EDGE_LOOP('',(#23146,#23147,#23148,#23149)); #4660=EDGE_LOOP('',(#23150)); #4661=EDGE_LOOP('',(#23151)); #4662=EDGE_LOOP('',(#23152,#23153,#23154,#23155)); #4663=EDGE_LOOP('',(#23156,#23157,#23158,#23159)); #4664=EDGE_LOOP('',(#23160)); #4665=EDGE_LOOP('',(#23161)); #4666=EDGE_LOOP('',(#23162,#23163,#23164,#23165)); #4667=EDGE_LOOP('',(#23166,#23167,#23168,#23169)); #4668=EDGE_LOOP('',(#23170)); #4669=EDGE_LOOP('',(#23171)); #4670=EDGE_LOOP('',(#23172,#23173,#23174,#23175)); #4671=EDGE_LOOP('',(#23176,#23177,#23178,#23179)); #4672=EDGE_LOOP('',(#23180)); #4673=EDGE_LOOP('',(#23181)); #4674=EDGE_LOOP('',(#23182,#23183,#23184,#23185)); #4675=EDGE_LOOP('',(#23186,#23187,#23188,#23189)); #4676=EDGE_LOOP('',(#23190,#23191,#23192,#23193,#23194)); #4677=EDGE_LOOP('',(#23195,#23196,#23197,#23198,#23199,#23200)); #4678=EDGE_LOOP('',(#23201)); #4679=EDGE_LOOP('',(#23202)); #4680=EDGE_LOOP('',(#23203,#23204,#23205,#23206,#23207)); #4681=EDGE_LOOP('',(#23208)); #4682=EDGE_LOOP('',(#23209,#23210,#23211,#23212,#23213)); #4683=EDGE_LOOP('',(#23214)); #4684=EDGE_LOOP('',(#23215,#23216,#23217,#23218,#23219,#23220)); #4685=EDGE_LOOP('',(#23221,#23222,#23223,#23224,#23225)); #4686=EDGE_LOOP('',(#23226,#23227,#23228,#23229)); #4687=EDGE_LOOP('',(#23230,#23231,#23232,#23233)); #4688=EDGE_LOOP('',(#23234,#23235,#23236,#23237)); #4689=EDGE_LOOP('',(#23238,#23239,#23240,#23241)); #4690=EDGE_LOOP('',(#23242,#23243,#23244,#23245,#23246)); #4691=EDGE_LOOP('',(#23247,#23248,#23249,#23250)); #4692=EDGE_LOOP('',(#23251,#23252,#23253,#23254,#23255,#23256,#23257,#23258, #23259)); #4693=EDGE_LOOP('',(#23260,#23261,#23262,#23263)); #4694=EDGE_LOOP('',(#23264,#23265,#23266,#23267)); #4695=EDGE_LOOP('',(#23268,#23269,#23270,#23271)); #4696=EDGE_LOOP('',(#23272,#23273,#23274,#23275)); #4697=EDGE_LOOP('',(#23276,#23277,#23278,#23279)); #4698=EDGE_LOOP('',(#23280,#23281,#23282,#23283)); #4699=EDGE_LOOP('',(#23284,#23285,#23286,#23287)); #4700=EDGE_LOOP('',(#23288,#23289,#23290,#23291)); #4701=EDGE_LOOP('',(#23292,#23293,#23294,#23295)); #4702=EDGE_LOOP('',(#23296,#23297,#23298,#23299)); #4703=EDGE_LOOP('',(#23300,#23301,#23302,#23303)); #4704=EDGE_LOOP('',(#23304,#23305,#23306,#23307)); #4705=EDGE_LOOP('',(#23308,#23309,#23310,#23311)); #4706=EDGE_LOOP('',(#23312,#23313,#23314,#23315)); #4707=EDGE_LOOP('',(#23316,#23317,#23318,#23319)); #4708=EDGE_LOOP('',(#23320,#23321,#23322,#23323)); #4709=EDGE_LOOP('',(#23324,#23325,#23326,#23327)); #4710=EDGE_LOOP('',(#23328,#23329,#23330,#23331)); #4711=EDGE_LOOP('',(#23332,#23333,#23334,#23335)); #4712=EDGE_LOOP('',(#23336,#23337,#23338,#23339)); #4713=EDGE_LOOP('',(#23340,#23341,#23342,#23343,#23344,#23345,#23346,#23347)); #4714=EDGE_LOOP('',(#23348,#23349,#23350,#23351)); #4715=EDGE_LOOP('',(#23352,#23353,#23354,#23355)); #4716=EDGE_LOOP('',(#23356,#23357,#23358,#23359)); #4717=EDGE_LOOP('',(#23360,#23361,#23362,#23363)); #4718=EDGE_LOOP('',(#23364,#23365,#23366,#23367)); #4719=EDGE_LOOP('',(#23368,#23369,#23370,#23371)); #4720=EDGE_LOOP('',(#23372,#23373,#23374,#23375)); #4721=EDGE_LOOP('',(#23376,#23377,#23378,#23379)); #4722=EDGE_LOOP('',(#23380,#23381,#23382,#23383)); #4723=EDGE_LOOP('',(#23384,#23385,#23386,#23387,#23388,#23389,#23390,#23391)); #4724=EDGE_LOOP('',(#23392,#23393,#23394,#23395)); #4725=EDGE_LOOP('',(#23396,#23397,#23398,#23399)); #4726=EDGE_LOOP('',(#23400,#23401,#23402,#23403)); #4727=EDGE_LOOP('',(#23404,#23405,#23406,#23407)); #4728=EDGE_LOOP('',(#23408,#23409,#23410,#23411)); #4729=EDGE_LOOP('',(#23412,#23413,#23414,#23415)); #4730=EDGE_LOOP('',(#23416,#23417,#23418,#23419)); #4731=EDGE_LOOP('',(#23420,#23421,#23422,#23423)); #4732=EDGE_LOOP('',(#23424,#23425,#23426,#23427)); #4733=EDGE_LOOP('',(#23428,#23429,#23430,#23431,#23432,#23433,#23434,#23435)); #4734=EDGE_LOOP('',(#23436,#23437,#23438,#23439)); #4735=EDGE_LOOP('',(#23440,#23441,#23442,#23443)); #4736=EDGE_LOOP('',(#23444,#23445,#23446,#23447)); #4737=EDGE_LOOP('',(#23448,#23449,#23450,#23451)); #4738=EDGE_LOOP('',(#23452,#23453,#23454,#23455)); #4739=EDGE_LOOP('',(#23456,#23457,#23458,#23459)); #4740=EDGE_LOOP('',(#23460,#23461,#23462,#23463)); #4741=EDGE_LOOP('',(#23464,#23465,#23466,#23467)); #4742=EDGE_LOOP('',(#23468,#23469,#23470,#23471)); #4743=EDGE_LOOP('',(#23472,#23473,#23474,#23475,#23476,#23477,#23478,#23479)); #4744=EDGE_LOOP('',(#23480,#23481,#23482,#23483)); #4745=EDGE_LOOP('',(#23484,#23485,#23486,#23487)); #4746=EDGE_LOOP('',(#23488,#23489,#23490,#23491)); #4747=EDGE_LOOP('',(#23492,#23493,#23494,#23495)); #4748=EDGE_LOOP('',(#23496,#23497,#23498,#23499)); #4749=EDGE_LOOP('',(#23500,#23501,#23502,#23503)); #4750=EDGE_LOOP('',(#23504,#23505,#23506,#23507)); #4751=EDGE_LOOP('',(#23508,#23509,#23510,#23511)); #4752=EDGE_LOOP('',(#23512,#23513,#23514,#23515)); #4753=EDGE_LOOP('',(#23516,#23517,#23518,#23519,#23520,#23521,#23522,#23523)); #4754=EDGE_LOOP('',(#23524,#23525,#23526,#23527)); #4755=EDGE_LOOP('',(#23528,#23529,#23530,#23531)); #4756=EDGE_LOOP('',(#23532,#23533,#23534,#23535)); #4757=EDGE_LOOP('',(#23536,#23537,#23538,#23539)); #4758=EDGE_LOOP('',(#23540,#23541,#23542,#23543)); #4759=EDGE_LOOP('',(#23544,#23545,#23546,#23547)); #4760=EDGE_LOOP('',(#23548,#23549,#23550,#23551)); #4761=EDGE_LOOP('',(#23552,#23553,#23554,#23555)); #4762=EDGE_LOOP('',(#23556,#23557,#23558,#23559)); #4763=EDGE_LOOP('',(#23560,#23561,#23562,#23563,#23564,#23565,#23566,#23567)); #4764=EDGE_LOOP('',(#23568,#23569,#23570,#23571)); #4765=EDGE_LOOP('',(#23572,#23573,#23574,#23575,#23576,#23577,#23578,#23579, #23580,#23581,#23582,#23583)); #4766=EDGE_LOOP('',(#23584,#23585,#23586,#23587,#23588,#23589,#23590,#23591)); #4767=EDGE_LOOP('',(#23592,#23593,#23594,#23595,#23596,#23597,#23598,#23599)); #4768=EDGE_LOOP('',(#23600,#23601,#23602,#23603,#23604,#23605,#23606,#23607)); #4769=EDGE_LOOP('',(#23608,#23609,#23610,#23611)); #4770=EDGE_LOOP('',(#23612,#23613,#23614,#23615)); #4771=EDGE_LOOP('',(#23616,#23617,#23618,#23619)); #4772=EDGE_LOOP('',(#23620,#23621,#23622,#23623)); #4773=EDGE_LOOP('',(#23624,#23625,#23626,#23627)); #4774=EDGE_LOOP('',(#23628,#23629,#23630,#23631)); #4775=EDGE_LOOP('',(#23632,#23633,#23634,#23635)); #4776=EDGE_LOOP('',(#23636,#23637,#23638,#23639)); #4777=EDGE_LOOP('',(#23640,#23641,#23642,#23643)); #4778=EDGE_LOOP('',(#23644,#23645,#23646,#23647)); #4779=EDGE_LOOP('',(#23648,#23649,#23650,#23651)); #4780=EDGE_LOOP('',(#23652,#23653,#23654,#23655)); #4781=EDGE_LOOP('',(#23656,#23657,#23658,#23659,#23660,#23661,#23662,#23663, #23664,#23665,#23666,#23667)); #4782=EDGE_LOOP('',(#23668,#23669,#23670,#23671,#23672,#23673,#23674,#23675)); #4783=EDGE_LOOP('',(#23676,#23677,#23678,#23679,#23680,#23681,#23682,#23683)); #4784=EDGE_LOOP('',(#23684,#23685,#23686,#23687,#23688,#23689,#23690,#23691)); #4785=EDGE_LOOP('',(#23692,#23693,#23694,#23695)); #4786=EDGE_LOOP('',(#23696,#23697,#23698,#23699)); #4787=EDGE_LOOP('',(#23700,#23701,#23702,#23703)); #4788=EDGE_LOOP('',(#23704,#23705,#23706,#23707)); #4789=EDGE_LOOP('',(#23708,#23709,#23710,#23711)); #4790=EDGE_LOOP('',(#23712,#23713,#23714,#23715)); #4791=EDGE_LOOP('',(#23716,#23717,#23718,#23719,#23720)); #4792=EDGE_LOOP('',(#23721,#23722,#23723,#23724)); #4793=EDGE_LOOP('',(#23725,#23726,#23727,#23728)); #4794=EDGE_LOOP('',(#23729,#23730,#23731,#23732,#23733,#23734,#23735,#23736)); #4795=EDGE_LOOP('',(#23737)); #4796=EDGE_LOOP('',(#23738,#23739,#23740,#23741,#23742,#23743,#23744,#23745, #23746,#23747,#23748,#23749)); #4797=EDGE_LOOP('',(#23750)); #4798=EDGE_LOOP('',(#23751)); #4799=EDGE_LOOP('',(#23752,#23753,#23754,#23755)); #4800=EDGE_LOOP('',(#23756,#23757,#23758,#23759)); #4801=EDGE_LOOP('',(#23760)); #4802=EDGE_LOOP('',(#23761)); #4803=EDGE_LOOP('',(#23762)); #4804=EDGE_LOOP('',(#23763)); #4805=EDGE_LOOP('',(#23764,#23765,#23766,#23767,#23768)); #4806=EDGE_LOOP('',(#23769,#23770,#23771,#23772,#23773,#23774)); #4807=EDGE_LOOP('',(#23775)); #4808=EDGE_LOOP('',(#23776)); #4809=EDGE_LOOP('',(#23777,#23778,#23779,#23780,#23781)); #4810=EDGE_LOOP('',(#23782)); #4811=EDGE_LOOP('',(#23783,#23784,#23785,#23786,#23787)); #4812=EDGE_LOOP('',(#23788)); #4813=EDGE_LOOP('',(#23789,#23790,#23791,#23792,#23793,#23794)); #4814=EDGE_LOOP('',(#23795,#23796,#23797,#23798,#23799)); #4815=EDGE_LOOP('',(#23800,#23801,#23802,#23803)); #4816=EDGE_LOOP('',(#23804,#23805,#23806,#23807)); #4817=EDGE_LOOP('',(#23808,#23809,#23810,#23811)); #4818=EDGE_LOOP('',(#23812,#23813,#23814,#23815)); #4819=EDGE_LOOP('',(#23816,#23817,#23818,#23819,#23820)); #4820=EDGE_LOOP('',(#23821,#23822,#23823,#23824)); #4821=EDGE_LOOP('',(#23825,#23826,#23827,#23828,#23829,#23830,#23831,#23832, #23833)); #4822=EDGE_LOOP('',(#23834,#23835,#23836,#23837)); #4823=EDGE_LOOP('',(#23838,#23839,#23840,#23841)); #4824=EDGE_LOOP('',(#23842,#23843,#23844,#23845)); #4825=EDGE_LOOP('',(#23846,#23847,#23848,#23849)); #4826=EDGE_LOOP('',(#23850,#23851,#23852,#23853)); #4827=EDGE_LOOP('',(#23854,#23855,#23856,#23857)); #4828=EDGE_LOOP('',(#23858,#23859,#23860,#23861,#23862,#23863)); #4829=EDGE_LOOP('',(#23864,#23865,#23866,#23867,#23868)); #4830=EDGE_LOOP('',(#23869,#23870,#23871,#23872,#23873,#23874)); #4831=EDGE_LOOP('',(#23875,#23876,#23877,#23878,#23879,#23880)); #4832=EDGE_LOOP('',(#23881,#23882,#23883,#23884,#23885)); #4833=EDGE_LOOP('',(#23886,#23887,#23888,#23889)); #4834=EDGE_LOOP('',(#23890,#23891,#23892,#23893)); #4835=EDGE_LOOP('',(#23894)); #4836=EDGE_LOOP('',(#23895,#23896,#23897,#23898,#23899)); #4837=EDGE_LOOP('',(#23900,#23901,#23902,#23903,#23904)); #4838=EDGE_LOOP('',(#23905)); #4839=EDGE_LOOP('',(#23906)); #4840=EDGE_LOOP('',(#23907,#23908,#23909,#23910,#23911)); #4841=EDGE_LOOP('',(#23912,#23913,#23914,#23915,#23916,#23917)); #4842=EDGE_LOOP('',(#23918,#23919,#23920,#23921,#23922,#23923)); #4843=EDGE_LOOP('',(#23924,#23925,#23926,#23927,#23928)); #4844=EDGE_LOOP('',(#23929,#23930,#23931,#23932)); #4845=EDGE_LOOP('',(#23933)); #4846=EDGE_LOOP('',(#23934)); #4847=EDGE_LOOP('',(#23935)); #4848=EDGE_LOOP('',(#23936,#23937,#23938,#23939,#23940,#23941)); #4849=EDGE_LOOP('',(#23942,#23943,#23944,#23945)); #4850=EDGE_LOOP('',(#23946,#23947,#23948,#23949)); #4851=EDGE_LOOP('',(#23950,#23951,#23952,#23953)); #4852=EDGE_LOOP('',(#23954,#23955,#23956,#23957)); #4853=EDGE_LOOP('',(#23958,#23959,#23960,#23961)); #4854=EDGE_LOOP('',(#23962,#23963,#23964,#23965)); #4855=EDGE_LOOP('',(#23966,#23967,#23968,#23969,#23970,#23971)); #4856=EDGE_LOOP('',(#23972,#23973)); #4857=EDGE_LOOP('',(#23974,#23975,#23976,#23977,#23978,#23979,#23980,#23981, #23982,#23983,#23984,#23985)); #4858=EDGE_LOOP('',(#23986,#23987,#23988,#23989)); #4859=EDGE_LOOP('',(#23990,#23991,#23992,#23993)); #4860=EDGE_LOOP('',(#23994,#23995,#23996,#23997,#23998,#23999)); #4861=EDGE_LOOP('',(#24000,#24001,#24002)); #4862=EDGE_LOOP('',(#24003,#24004,#24005,#24006)); #4863=EDGE_LOOP('',(#24007)); #4864=EDGE_LOOP('',(#24008,#24009,#24010,#24011)); #4865=EDGE_LOOP('',(#24012)); #4866=EDGE_LOOP('',(#24013)); #4867=EDGE_LOOP('',(#24014,#24015,#24016)); #4868=EDGE_LOOP('',(#24017,#24018,#24019,#24020)); #4869=EDGE_LOOP('',(#24021,#24022,#24023,#24024)); #4870=EDGE_LOOP('',(#24025,#24026,#24027,#24028)); #4871=EDGE_LOOP('',(#24029)); #4872=EDGE_LOOP('',(#24030,#24031,#24032,#24033)); #4873=EDGE_LOOP('',(#24034,#24035,#24036,#24037)); #4874=EDGE_LOOP('',(#24038,#24039,#24040,#24041)); #4875=EDGE_LOOP('',(#24042,#24043,#24044,#24045)); #4876=EDGE_LOOP('',(#24046,#24047,#24048,#24049,#24050,#24051,#24052,#24053, #24054,#24055,#24056,#24057,#24058)); #4877=EDGE_LOOP('',(#24059)); #4878=EDGE_LOOP('',(#24060)); #4879=EDGE_LOOP('',(#24061)); #4880=EDGE_LOOP('',(#24062)); #4881=EDGE_LOOP('',(#24063,#24064,#24065,#24066)); #4882=EDGE_LOOP('',(#24067,#24068,#24069,#24070)); #4883=EDGE_LOOP('',(#24071,#24072,#24073,#24074)); #4884=EDGE_LOOP('',(#24075,#24076,#24077,#24078)); #4885=EDGE_LOOP('',(#24079,#24080,#24081,#24082)); #4886=EDGE_LOOP('',(#24083,#24084,#24085,#24086)); #4887=EDGE_LOOP('',(#24087,#24088,#24089,#24090)); #4888=EDGE_LOOP('',(#24091,#24092,#24093,#24094)); #4889=EDGE_LOOP('',(#24095,#24096,#24097,#24098)); #4890=EDGE_LOOP('',(#24099,#24100,#24101,#24102)); #4891=EDGE_LOOP('',(#24103,#24104,#24105,#24106)); #4892=EDGE_LOOP('',(#24107,#24108,#24109,#24110)); #4893=EDGE_LOOP('',(#24111,#24112,#24113,#24114)); #4894=EDGE_LOOP('',(#24115,#24116,#24117,#24118,#24119,#24120,#24121,#24122, #24123,#24124,#24125,#24126,#24127)); #4895=EDGE_LOOP('',(#24128)); #4896=EDGE_LOOP('',(#24129)); #4897=EDGE_LOOP('',(#24130)); #4898=EDGE_LOOP('',(#24131)); #4899=EDGE_LOOP('',(#24132,#24133,#24134,#24135)); #4900=EDGE_LOOP('',(#24136,#24137,#24138,#24139)); #4901=EDGE_LOOP('',(#24140,#24141,#24142,#24143)); #4902=EDGE_LOOP('',(#24144,#24145,#24146,#24147)); #4903=EDGE_LOOP('',(#24148,#24149,#24150,#24151,#24152,#24153,#24154,#24155, #24156,#24157,#24158,#24159,#24160)); #4904=EDGE_LOOP('',(#24161)); #4905=EDGE_LOOP('',(#24162)); #4906=EDGE_LOOP('',(#24163)); #4907=EDGE_LOOP('',(#24164)); #4908=EDGE_LOOP('',(#24165,#24166,#24167,#24168)); #4909=EDGE_LOOP('',(#24169,#24170,#24171,#24172)); #4910=EDGE_LOOP('',(#24173,#24174,#24175,#24176)); #4911=EDGE_LOOP('',(#24177,#24178,#24179,#24180)); #4912=EDGE_LOOP('',(#24181,#24182,#24183,#24184)); #4913=EDGE_LOOP('',(#24185,#24186,#24187,#24188)); #4914=EDGE_LOOP('',(#24189,#24190,#24191,#24192)); #4915=EDGE_LOOP('',(#24193,#24194,#24195,#24196)); #4916=EDGE_LOOP('',(#24197,#24198,#24199,#24200)); #4917=EDGE_LOOP('',(#24201,#24202,#24203,#24204)); #4918=EDGE_LOOP('',(#24205,#24206,#24207,#24208)); #4919=EDGE_LOOP('',(#24209,#24210,#24211,#24212)); #4920=EDGE_LOOP('',(#24213,#24214,#24215,#24216)); #4921=EDGE_LOOP('',(#24217,#24218,#24219,#24220,#24221,#24222,#24223,#24224, #24225,#24226,#24227,#24228,#24229)); #4922=EDGE_LOOP('',(#24230)); #4923=EDGE_LOOP('',(#24231)); #4924=EDGE_LOOP('',(#24232)); #4925=EDGE_LOOP('',(#24233)); #4926=EDGE_LOOP('',(#24234,#24235,#24236,#24237,#24238)); #4927=EDGE_LOOP('',(#24239,#24240,#24241,#24242,#24243,#24244)); #4928=EDGE_LOOP('',(#24245)); #4929=EDGE_LOOP('',(#24246)); #4930=EDGE_LOOP('',(#24247,#24248,#24249,#24250,#24251)); #4931=EDGE_LOOP('',(#24252)); #4932=EDGE_LOOP('',(#24253,#24254,#24255,#24256,#24257)); #4933=EDGE_LOOP('',(#24258)); #4934=EDGE_LOOP('',(#24259,#24260,#24261,#24262,#24263,#24264)); #4935=EDGE_LOOP('',(#24265,#24266,#24267,#24268,#24269)); #4936=EDGE_LOOP('',(#24270,#24271,#24272,#24273)); #4937=EDGE_LOOP('',(#24274,#24275,#24276,#24277)); #4938=EDGE_LOOP('',(#24278,#24279,#24280,#24281)); #4939=EDGE_LOOP('',(#24282,#24283,#24284,#24285)); #4940=EDGE_LOOP('',(#24286,#24287,#24288,#24289,#24290)); #4941=EDGE_LOOP('',(#24291,#24292,#24293,#24294)); #4942=EDGE_LOOP('',(#24295,#24296,#24297,#24298,#24299,#24300,#24301,#24302, #24303)); #4943=EDGE_LOOP('',(#24304,#24305,#24306,#24307)); #4944=EDGE_LOOP('',(#24308,#24309,#24310,#24311,#24312,#24313)); #4945=EDGE_LOOP('',(#24314,#24315,#24316,#24317,#24318,#24319)); #4946=EDGE_LOOP('',(#24320,#24321,#24322,#24323)); #4947=EDGE_LOOP('',(#24324,#24325,#24326,#24327)); #4948=EDGE_LOOP('',(#24328,#24329,#24330,#24331,#24332,#24333)); #4949=EDGE_LOOP('',(#24334,#24335,#24336,#24337)); #4950=EDGE_LOOP('',(#24338,#24339,#24340,#24341,#24342,#24343,#24344,#24345, #24346,#24347)); #4951=EDGE_LOOP('',(#24348,#24349,#24350,#24351)); #4952=EDGE_LOOP('',(#24352,#24353,#24354,#24355,#24356,#24357,#24358,#24359, #24360,#24361)); #4953=EDGE_LOOP('',(#24362,#24363,#24364,#24365)); #4954=EDGE_LOOP('',(#24366,#24367,#24368,#24369)); #4955=EDGE_LOOP('',(#24370,#24371,#24372,#24373,#24374,#24375,#24376,#24377)); #4956=EDGE_LOOP('',(#24378,#24379,#24380,#24381)); #4957=EDGE_LOOP('',(#24382,#24383,#24384,#24385,#24386,#24387,#24388,#24389, #24390,#24391,#24392,#24393)); #4958=EDGE_LOOP('',(#24394,#24395)); #4959=EDGE_LOOP('',(#24396,#24397,#24398,#24399,#24400,#24401)); #4960=EDGE_LOOP('',(#24402,#24403,#24404,#24405)); #4961=EDGE_LOOP('',(#24406,#24407,#24408,#24409,#24410)); #4962=EDGE_LOOP('',(#24411,#24412,#24413,#24414)); #4963=EDGE_LOOP('',(#24415,#24416,#24417,#24418,#24419,#24420)); #4964=EDGE_LOOP('',(#24421,#24422,#24423,#24424,#24425)); #4965=EDGE_LOOP('',(#24426,#24427,#24428,#24429)); #4966=EDGE_LOOP('',(#24430,#24431,#24432,#24433,#24434)); #4967=EDGE_LOOP('',(#24435,#24436,#24437,#24438,#24439,#24440)); #4968=EDGE_LOOP('',(#24441,#24442,#24443,#24444,#24445)); #4969=EDGE_LOOP('',(#24446,#24447,#24448,#24449,#24450)); #4970=EDGE_LOOP('',(#24451,#24452,#24453,#24454,#24455)); #4971=EDGE_LOOP('',(#24456,#24457,#24458,#24459,#24460,#24461,#24462,#24463, #24464,#24465,#24466,#24467,#24468,#24469,#24470,#24471)); #4972=EDGE_LOOP('',(#24472,#24473,#24474,#24475,#24476,#24477,#24478,#24479, #24480,#24481,#24482,#24483,#24484,#24485,#24486,#24487)); #4973=EDGE_LOOP('',(#24488,#24489,#24490,#24491,#24492,#24493,#24494)); #4974=EDGE_LOOP('',(#24495,#24496,#24497,#24498)); #4975=EDGE_LOOP('',(#24499,#24500,#24501,#24502)); #4976=EDGE_LOOP('',(#24503,#24504,#24505,#24506)); #4977=EDGE_LOOP('',(#24507,#24508,#24509,#24510)); #4978=EDGE_LOOP('',(#24511,#24512,#24513,#24514,#24515)); #4979=EDGE_LOOP('',(#24516,#24517,#24518,#24519,#24520)); #4980=EDGE_LOOP('',(#24521,#24522,#24523)); #4981=EDGE_LOOP('',(#24524,#24525,#24526,#24527,#24528,#24529,#24530,#24531, #24532,#24533,#24534,#24535,#24536,#24537,#24538,#24539,#24540,#24541,#24542, #24543,#24544,#24545,#24546,#24547,#24548,#24549,#24550)); #4982=EDGE_LOOP('',(#24551,#24552,#24553,#24554,#24555,#24556,#24557,#24558, #24559,#24560)); #4983=EDGE_LOOP('',(#24561,#24562,#24563,#24564,#24565)); #4984=EDGE_LOOP('',(#24566,#24567,#24568,#24569,#24570)); #4985=EDGE_LOOP('',(#24571,#24572,#24573)); #4986=EDGE_LOOP('',(#24574,#24575,#24576,#24577,#24578)); #4987=EDGE_LOOP('',(#24579,#24580,#24581)); #4988=EDGE_LOOP('',(#24582,#24583,#24584,#24585,#24586)); #4989=EDGE_LOOP('',(#24587,#24588,#24589,#24590,#24591)); #4990=EDGE_LOOP('',(#24592,#24593,#24594)); #4991=EDGE_LOOP('',(#24595,#24596,#24597,#24598,#24599,#24600,#24601,#24602, #24603,#24604)); #4992=EDGE_LOOP('',(#24605,#24606,#24607,#24608,#24609)); #4993=EDGE_LOOP('',(#24610,#24611,#24612,#24613)); #4994=EDGE_LOOP('',(#24614,#24615,#24616,#24617)); #4995=EDGE_LOOP('',(#24618,#24619,#24620,#24621)); #4996=EDGE_LOOP('',(#24622,#24623,#24624,#24625)); #4997=EDGE_LOOP('',(#24626,#24627,#24628,#24629)); #4998=EDGE_LOOP('',(#24630,#24631,#24632,#24633)); #4999=EDGE_LOOP('',(#24634,#24635,#24636,#24637)); #5000=EDGE_LOOP('',(#24638,#24639,#24640,#24641)); #5001=EDGE_LOOP('',(#24642,#24643,#24644,#24645)); #5002=EDGE_LOOP('',(#24646,#24647,#24648,#24649)); #5003=EDGE_LOOP('',(#24650)); #5004=EDGE_LOOP('',(#24651,#24652,#24653,#24654)); #5005=EDGE_LOOP('',(#24655,#24656,#24657,#24658)); #5006=EDGE_LOOP('',(#24659,#24660,#24661,#24662)); #5007=EDGE_LOOP('',(#24663,#24664,#24665,#24666,#24667,#24668)); #5008=EDGE_LOOP('',(#24669,#24670,#24671,#24672,#24673,#24674,#24675,#24676, #24677,#24678,#24679,#24680,#24681,#24682,#24683,#24684,#24685,#24686,#24687, #24688,#24689,#24690,#24691,#24692,#24693,#24694,#24695)); #5009=EDGE_LOOP('',(#24696,#24697,#24698,#24699)); #5010=EDGE_LOOP('',(#24700,#24701,#24702,#24703)); #5011=EDGE_LOOP('',(#24704,#24705,#24706,#24707)); #5012=EDGE_LOOP('',(#24708,#24709,#24710,#24711)); #5013=EDGE_LOOP('',(#24712,#24713,#24714,#24715,#24716,#24717,#24718,#24719, #24720,#24721,#24722,#24723,#24724)); #5014=EDGE_LOOP('',(#24725)); #5015=EDGE_LOOP('',(#24726)); #5016=EDGE_LOOP('',(#24727)); #5017=EDGE_LOOP('',(#24728)); #5018=EDGE_LOOP('',(#24729,#24730,#24731,#24732)); #5019=EDGE_LOOP('',(#24733,#24734,#24735,#24736)); #5020=EDGE_LOOP('',(#24737,#24738,#24739,#24740)); #5021=EDGE_LOOP('',(#24741,#24742,#24743,#24744)); #5022=EDGE_LOOP('',(#24745,#24746,#24747,#24748)); #5023=EDGE_LOOP('',(#24749,#24750,#24751,#24752)); #5024=EDGE_LOOP('',(#24753,#24754,#24755,#24756)); #5025=EDGE_LOOP('',(#24757,#24758,#24759,#24760)); #5026=EDGE_LOOP('',(#24761,#24762,#24763,#24764)); #5027=EDGE_LOOP('',(#24765,#24766,#24767,#24768)); #5028=EDGE_LOOP('',(#24769,#24770,#24771,#24772)); #5029=EDGE_LOOP('',(#24773,#24774,#24775,#24776)); #5030=EDGE_LOOP('',(#24777,#24778,#24779,#24780)); #5031=EDGE_LOOP('',(#24781,#24782,#24783,#24784,#24785,#24786,#24787,#24788, #24789,#24790,#24791,#24792,#24793)); #5032=EDGE_LOOP('',(#24794)); #5033=EDGE_LOOP('',(#24795)); #5034=EDGE_LOOP('',(#24796)); #5035=EDGE_LOOP('',(#24797)); #5036=EDGE_LOOP('',(#24798,#24799,#24800,#24801)); #5037=EDGE_LOOP('',(#24802,#24803,#24804,#24805)); #5038=EDGE_LOOP('',(#24806,#24807,#24808,#24809)); #5039=EDGE_LOOP('',(#24810,#24811,#24812,#24813)); #5040=EDGE_LOOP('',(#24814,#24815,#24816,#24817)); #5041=EDGE_LOOP('',(#24818,#24819,#24820,#24821)); #5042=EDGE_LOOP('',(#24822,#24823,#24824,#24825)); #5043=EDGE_LOOP('',(#24826,#24827,#24828,#24829)); #5044=EDGE_LOOP('',(#24830,#24831,#24832,#24833)); #5045=EDGE_LOOP('',(#24834,#24835,#24836,#24837)); #5046=EDGE_LOOP('',(#24838,#24839,#24840,#24841)); #5047=EDGE_LOOP('',(#24842,#24843,#24844,#24845)); #5048=EDGE_LOOP('',(#24846,#24847,#24848,#24849)); #5049=EDGE_LOOP('',(#24850,#24851,#24852,#24853)); #5050=EDGE_LOOP('',(#24854,#24855,#24856,#24857)); #5051=EDGE_LOOP('',(#24858,#24859,#24860,#24861)); #5052=EDGE_LOOP('',(#24862,#24863,#24864,#24865)); #5053=EDGE_LOOP('',(#24866,#24867,#24868,#24869)); #5054=EDGE_LOOP('',(#24870,#24871,#24872,#24873)); #5055=EDGE_LOOP('',(#24874,#24875,#24876,#24877)); #5056=EDGE_LOOP('',(#24878,#24879,#24880,#24881)); #5057=EDGE_LOOP('',(#24882,#24883,#24884,#24885)); #5058=EDGE_LOOP('',(#24886,#24887,#24888,#24889)); #5059=EDGE_LOOP('',(#24890,#24891,#24892,#24893)); #5060=EDGE_LOOP('',(#24894,#24895,#24896,#24897)); #5061=EDGE_LOOP('',(#24898,#24899,#24900,#24901)); #5062=EDGE_LOOP('',(#24902,#24903,#24904,#24905)); #5063=EDGE_LOOP('',(#24906,#24907,#24908,#24909)); #5064=EDGE_LOOP('',(#24910,#24911,#24912,#24913)); #5065=EDGE_LOOP('',(#24914,#24915,#24916,#24917)); #5066=EDGE_LOOP('',(#24918,#24919,#24920,#24921)); #5067=EDGE_LOOP('',(#24922,#24923,#24924,#24925)); #5068=EDGE_LOOP('',(#24926,#24927,#24928,#24929)); #5069=EDGE_LOOP('',(#24930,#24931,#24932,#24933)); #5070=EDGE_LOOP('',(#24934,#24935,#24936,#24937)); #5071=EDGE_LOOP('',(#24938,#24939,#24940,#24941)); #5072=EDGE_LOOP('',(#24942,#24943,#24944,#24945)); #5073=EDGE_LOOP('',(#24946,#24947,#24948,#24949)); #5074=EDGE_LOOP('',(#24950,#24951,#24952,#24953,#24954,#24955,#24956,#24957, #24958,#24959,#24960,#24961,#24962,#24963,#24964,#24965,#24966,#24967,#24968, #24969,#24970,#24971,#24972,#24973,#24974,#24975,#24976,#24977,#24978,#24979, #24980,#24981,#24982,#24983,#24984,#24985,#24986,#24987,#24988,#24989,#24990, #24991,#24992,#24993,#24994,#24995,#24996,#24997,#24998,#24999,#25000,#25001, #25002,#25003,#25004,#25005,#25006,#25007,#25008,#25009,#25010,#25011,#25012, #25013,#25014,#25015,#25016)); #5075=EDGE_LOOP('',(#25017,#25018,#25019,#25020)); #5076=EDGE_LOOP('',(#25021,#25022,#25023,#25024)); #5077=EDGE_LOOP('',(#25025,#25026,#25027,#25028)); #5078=EDGE_LOOP('',(#25029,#25030,#25031,#25032)); #5079=EDGE_LOOP('',(#25033,#25034,#25035,#25036)); #5080=EDGE_LOOP('',(#25037,#25038,#25039,#25040)); #5081=EDGE_LOOP('',(#25041,#25042,#25043,#25044)); #5082=EDGE_LOOP('',(#25045,#25046,#25047,#25048)); #5083=EDGE_LOOP('',(#25049,#25050,#25051,#25052)); #5084=EDGE_LOOP('',(#25053,#25054,#25055,#25056)); #5085=EDGE_LOOP('',(#25057,#25058,#25059,#25060)); #5086=EDGE_LOOP('',(#25061,#25062,#25063,#25064)); #5087=EDGE_LOOP('',(#25065,#25066,#25067,#25068)); #5088=EDGE_LOOP('',(#25069,#25070,#25071,#25072)); #5089=EDGE_LOOP('',(#25073,#25074,#25075,#25076)); #5090=EDGE_LOOP('',(#25077,#25078,#25079,#25080)); #5091=EDGE_LOOP('',(#25081,#25082,#25083,#25084)); #5092=EDGE_LOOP('',(#25085,#25086,#25087,#25088)); #5093=EDGE_LOOP('',(#25089,#25090,#25091,#25092)); #5094=EDGE_LOOP('',(#25093,#25094,#25095,#25096)); #5095=EDGE_LOOP('',(#25097,#25098,#25099,#25100)); #5096=EDGE_LOOP('',(#25101,#25102,#25103,#25104)); #5097=EDGE_LOOP('',(#25105,#25106,#25107,#25108)); #5098=EDGE_LOOP('',(#25109,#25110,#25111,#25112)); #5099=EDGE_LOOP('',(#25113,#25114,#25115,#25116)); #5100=EDGE_LOOP('',(#25117,#25118,#25119,#25120)); #5101=EDGE_LOOP('',(#25121,#25122,#25123,#25124)); #5102=EDGE_LOOP('',(#25125,#25126,#25127,#25128)); #5103=EDGE_LOOP('',(#25129,#25130,#25131,#25132)); #5104=EDGE_LOOP('',(#25133,#25134,#25135,#25136)); #5105=EDGE_LOOP('',(#25137,#25138,#25139,#25140)); #5106=EDGE_LOOP('',(#25141,#25142,#25143,#25144)); #5107=EDGE_LOOP('',(#25145,#25146,#25147,#25148)); #5108=EDGE_LOOP('',(#25149,#25150,#25151,#25152)); #5109=EDGE_LOOP('',(#25153,#25154,#25155,#25156)); #5110=EDGE_LOOP('',(#25157,#25158,#25159,#25160)); #5111=EDGE_LOOP('',(#25161,#25162,#25163,#25164)); #5112=EDGE_LOOP('',(#25165,#25166,#25167,#25168)); #5113=EDGE_LOOP('',(#25169,#25170,#25171,#25172)); #5114=EDGE_LOOP('',(#25173,#25174,#25175,#25176)); #5115=EDGE_LOOP('',(#25177,#25178,#25179,#25180)); #5116=EDGE_LOOP('',(#25181,#25182,#25183,#25184)); #5117=EDGE_LOOP('',(#25185,#25186,#25187,#25188)); #5118=EDGE_LOOP('',(#25189,#25190,#25191,#25192)); #5119=EDGE_LOOP('',(#25193,#25194,#25195,#25196)); #5120=EDGE_LOOP('',(#25197,#25198,#25199,#25200)); #5121=EDGE_LOOP('',(#25201,#25202,#25203,#25204)); #5122=EDGE_LOOP('',(#25205,#25206,#25207,#25208)); #5123=EDGE_LOOP('',(#25209,#25210,#25211,#25212,#25213,#25214,#25215,#25216, #25217,#25218,#25219)); #5124=EDGE_LOOP('',(#25220,#25221,#25222)); #5125=EDGE_LOOP('',(#25223,#25224,#25225,#25226)); #5126=EDGE_LOOP('',(#25227,#25228,#25229,#25230)); #5127=EDGE_LOOP('',(#25231,#25232,#25233,#25234)); #5128=EDGE_LOOP('',(#25235,#25236,#25237,#25238)); #5129=EDGE_LOOP('',(#25239,#25240,#25241,#25242)); #5130=EDGE_LOOP('',(#25243,#25244,#25245,#25246)); #5131=EDGE_LOOP('',(#25247,#25248,#25249,#25250)); #5132=EDGE_LOOP('',(#25251,#25252,#25253,#25254)); #5133=EDGE_LOOP('',(#25255,#25256,#25257,#25258)); #5134=EDGE_LOOP('',(#25259,#25260,#25261,#25262)); #5135=EDGE_LOOP('',(#25263,#25264,#25265,#25266)); #5136=EDGE_LOOP('',(#25267,#25268,#25269,#25270)); #5137=EDGE_LOOP('',(#25271,#25272,#25273,#25274)); #5138=EDGE_LOOP('',(#25275,#25276,#25277,#25278)); #5139=EDGE_LOOP('',(#25279,#25280,#25281,#25282)); #5140=EDGE_LOOP('',(#25283,#25284,#25285,#25286,#25287,#25288,#25289,#25290, #25291,#25292,#25293,#25294,#25295,#25296,#25297)); #5141=EDGE_LOOP('',(#25298,#25299,#25300,#25301)); #5142=EDGE_LOOP('',(#25302,#25303,#25304,#25305)); #5143=EDGE_LOOP('',(#25306,#25307,#25308,#25309)); #5144=EDGE_LOOP('',(#25310,#25311,#25312,#25313)); #5145=EDGE_LOOP('',(#25314,#25315,#25316,#25317)); #5146=EDGE_LOOP('',(#25318,#25319,#25320,#25321)); #5147=EDGE_LOOP('',(#25322,#25323,#25324,#25325)); #5148=EDGE_LOOP('',(#25326,#25327,#25328,#25329)); #5149=EDGE_LOOP('',(#25330,#25331,#25332,#25333)); #5150=EDGE_LOOP('',(#25334,#25335,#25336,#25337)); #5151=EDGE_LOOP('',(#25338,#25339,#25340,#25341)); #5152=EDGE_LOOP('',(#25342,#25343,#25344,#25345)); #5153=EDGE_LOOP('',(#25346,#25347,#25348,#25349)); #5154=EDGE_LOOP('',(#25350,#25351,#25352,#25353)); #5155=EDGE_LOOP('',(#25354,#25355,#25356,#25357)); #5156=EDGE_LOOP('',(#25358,#25359,#25360,#25361)); #5157=EDGE_LOOP('',(#25362,#25363,#25364,#25365)); #5158=EDGE_LOOP('',(#25366,#25367,#25368,#25369)); #5159=EDGE_LOOP('',(#25370,#25371,#25372,#25373)); #5160=EDGE_LOOP('',(#25374,#25375,#25376,#25377)); #5161=EDGE_LOOP('',(#25378,#25379,#25380,#25381)); #5162=EDGE_LOOP('',(#25382,#25383,#25384,#25385)); #5163=EDGE_LOOP('',(#25386,#25387,#25388,#25389)); #5164=EDGE_LOOP('',(#25390,#25391,#25392,#25393)); #5165=EDGE_LOOP('',(#25394,#25395,#25396,#25397)); #5166=EDGE_LOOP('',(#25398,#25399,#25400,#25401)); #5167=EDGE_LOOP('',(#25402,#25403,#25404,#25405)); #5168=EDGE_LOOP('',(#25406,#25407,#25408,#25409)); #5169=EDGE_LOOP('',(#25410,#25411,#25412,#25413)); #5170=EDGE_LOOP('',(#25414,#25415,#25416,#25417)); #5171=EDGE_LOOP('',(#25418,#25419,#25420,#25421)); #5172=EDGE_LOOP('',(#25422,#25423,#25424,#25425)); #5173=EDGE_LOOP('',(#25426,#25427,#25428,#25429)); #5174=EDGE_LOOP('',(#25430,#25431,#25432,#25433)); #5175=EDGE_LOOP('',(#25434,#25435,#25436,#25437)); #5176=EDGE_LOOP('',(#25438,#25439,#25440,#25441)); #5177=EDGE_LOOP('',(#25442,#25443,#25444,#25445)); #5178=EDGE_LOOP('',(#25446,#25447,#25448,#25449)); #5179=EDGE_LOOP('',(#25450,#25451,#25452,#25453)); #5180=EDGE_LOOP('',(#25454,#25455,#25456,#25457)); #5181=EDGE_LOOP('',(#25458,#25459,#25460,#25461)); #5182=EDGE_LOOP('',(#25462,#25463,#25464,#25465)); #5183=EDGE_LOOP('',(#25466,#25467,#25468,#25469)); #5184=EDGE_LOOP('',(#25470,#25471,#25472,#25473)); #5185=EDGE_LOOP('',(#25474,#25475,#25476,#25477)); #5186=EDGE_LOOP('',(#25478,#25479,#25480,#25481)); #5187=EDGE_LOOP('',(#25482,#25483,#25484,#25485)); #5188=EDGE_LOOP('',(#25486,#25487,#25488,#25489)); #5189=EDGE_LOOP('',(#25490,#25491,#25492,#25493)); #5190=EDGE_LOOP('',(#25494,#25495,#25496,#25497)); #5191=EDGE_LOOP('',(#25498,#25499,#25500,#25501)); #5192=EDGE_LOOP('',(#25502,#25503,#25504,#25505)); #5193=EDGE_LOOP('',(#25506,#25507,#25508,#25509)); #5194=EDGE_LOOP('',(#25510,#25511,#25512,#25513)); #5195=EDGE_LOOP('',(#25514,#25515,#25516,#25517)); #5196=EDGE_LOOP('',(#25518,#25519,#25520,#25521)); #5197=EDGE_LOOP('',(#25522,#25523,#25524,#25525)); #5198=EDGE_LOOP('',(#25526,#25527,#25528,#25529)); #5199=EDGE_LOOP('',(#25530,#25531,#25532,#25533)); #5200=EDGE_LOOP('',(#25534,#25535,#25536,#25537)); #5201=EDGE_LOOP('',(#25538,#25539,#25540,#25541)); #5202=EDGE_LOOP('',(#25542,#25543,#25544,#25545)); #5203=EDGE_LOOP('',(#25546,#25547,#25548,#25549)); #5204=EDGE_LOOP('',(#25550,#25551,#25552,#25553)); #5205=EDGE_LOOP('',(#25554,#25555,#25556,#25557)); #5206=EDGE_LOOP('',(#25558,#25559,#25560,#25561)); #5207=EDGE_LOOP('',(#25562,#25563,#25564,#25565)); #5208=EDGE_LOOP('',(#25566,#25567,#25568,#25569)); #5209=EDGE_LOOP('',(#25570,#25571,#25572,#25573)); #5210=EDGE_LOOP('',(#25574,#25575,#25576,#25577)); #5211=EDGE_LOOP('',(#25578,#25579,#25580,#25581,#25582,#25583,#25584,#25585, #25586,#25587,#25588,#25589,#25590,#25591,#25592,#25593,#25594,#25595,#25596, #25597,#25598,#25599,#25600,#25601,#25602,#25603,#25604,#25605,#25606,#25607, #25608,#25609,#25610,#25611,#25612,#25613,#25614,#25615,#25616,#25617,#25618, #25619,#25620,#25621,#25622,#25623,#25624,#25625,#25626,#25627,#25628,#25629, #25630,#25631)); #5212=EDGE_LOOP('',(#25632,#25633,#25634,#25635,#25636,#25637,#25638,#25639, #25640,#25641,#25642,#25643,#25644,#25645,#25646,#25647)); #5213=EDGE_LOOP('',(#25648,#25649,#25650,#25651)); #5214=EDGE_LOOP('',(#25652,#25653,#25654,#25655)); #5215=EDGE_LOOP('',(#25656,#25657,#25658,#25659)); #5216=EDGE_LOOP('',(#25660,#25661,#25662,#25663)); #5217=EDGE_LOOP('',(#25664,#25665,#25666,#25667)); #5218=EDGE_LOOP('',(#25668,#25669,#25670,#25671)); #5219=EDGE_LOOP('',(#25672,#25673,#25674,#25675)); #5220=EDGE_LOOP('',(#25676,#25677,#25678,#25679)); #5221=EDGE_LOOP('',(#25680,#25681,#25682,#25683)); #5222=EDGE_LOOP('',(#25684,#25685,#25686,#25687)); #5223=EDGE_LOOP('',(#25688,#25689,#25690,#25691)); #5224=EDGE_LOOP('',(#25692,#25693,#25694,#25695)); #5225=EDGE_LOOP('',(#25696,#25697,#25698,#25699)); #5226=EDGE_LOOP('',(#25700,#25701,#25702,#25703)); #5227=EDGE_LOOP('',(#25704,#25705,#25706,#25707)); #5228=EDGE_LOOP('',(#25708,#25709,#25710,#25711)); #5229=EDGE_LOOP('',(#25712,#25713,#25714,#25715)); #5230=EDGE_LOOP('',(#25716,#25717,#25718,#25719)); #5231=EDGE_LOOP('',(#25720,#25721,#25722,#25723)); #5232=EDGE_LOOP('',(#25724,#25725,#25726,#25727)); #5233=EDGE_LOOP('',(#25728,#25729,#25730,#25731)); #5234=EDGE_LOOP('',(#25732,#25733,#25734,#25735)); #5235=EDGE_LOOP('',(#25736,#25737,#25738,#25739)); #5236=EDGE_LOOP('',(#25740,#25741,#25742,#25743)); #5237=EDGE_LOOP('',(#25744,#25745,#25746,#25747)); #5238=EDGE_LOOP('',(#25748,#25749,#25750,#25751)); #5239=EDGE_LOOP('',(#25752,#25753,#25754,#25755)); #5240=EDGE_LOOP('',(#25756,#25757,#25758,#25759)); #5241=EDGE_LOOP('',(#25760,#25761,#25762,#25763)); #5242=EDGE_LOOP('',(#25764,#25765,#25766,#25767)); #5243=EDGE_LOOP('',(#25768,#25769,#25770,#25771)); #5244=EDGE_LOOP('',(#25772,#25773,#25774,#25775)); #5245=EDGE_LOOP('',(#25776,#25777,#25778,#25779)); #5246=EDGE_LOOP('',(#25780,#25781,#25782,#25783)); #5247=EDGE_LOOP('',(#25784,#25785,#25786,#25787)); #5248=EDGE_LOOP('',(#25788,#25789,#25790,#25791)); #5249=EDGE_LOOP('',(#25792,#25793,#25794,#25795)); #5250=EDGE_LOOP('',(#25796,#25797,#25798,#25799)); #5251=EDGE_LOOP('',(#25800,#25801,#25802,#25803)); #5252=EDGE_LOOP('',(#25804,#25805,#25806,#25807)); #5253=EDGE_LOOP('',(#25808,#25809,#25810,#25811)); #5254=EDGE_LOOP('',(#25812,#25813,#25814,#25815)); #5255=EDGE_LOOP('',(#25816,#25817,#25818,#25819)); #5256=EDGE_LOOP('',(#25820,#25821,#25822,#25823)); #5257=EDGE_LOOP('',(#25824,#25825,#25826,#25827)); #5258=EDGE_LOOP('',(#25828,#25829,#25830,#25831)); #5259=EDGE_LOOP('',(#25832,#25833,#25834,#25835)); #5260=EDGE_LOOP('',(#25836,#25837,#25838,#25839)); #5261=EDGE_LOOP('',(#25840,#25841,#25842,#25843)); #5262=EDGE_LOOP('',(#25844,#25845,#25846,#25847)); #5263=EDGE_LOOP('',(#25848,#25849,#25850,#25851,#25852,#25853,#25854,#25855, #25856,#25857,#25858,#25859,#25860,#25861,#25862,#25863,#25864,#25865,#25866, #25867,#25868,#25869,#25870,#25871,#25872,#25873,#25874,#25875,#25876,#25877, #25878,#25879,#25880,#25881,#25882,#25883,#25884,#25885,#25886,#25887,#25888, #25889,#25890,#25891,#25892,#25893,#25894,#25895,#25896,#25897)); #5264=EDGE_LOOP('',(#25898,#25899,#25900,#25901)); #5265=EDGE_LOOP('',(#25902,#25903,#25904,#25905)); #5266=EDGE_LOOP('',(#25906,#25907,#25908,#25909)); #5267=EDGE_LOOP('',(#25910,#25911,#25912,#25913)); #5268=EDGE_LOOP('',(#25914,#25915,#25916,#25917)); #5269=EDGE_LOOP('',(#25918,#25919,#25920,#25921)); #5270=EDGE_LOOP('',(#25922,#25923,#25924,#25925)); #5271=EDGE_LOOP('',(#25926,#25927,#25928,#25929)); #5272=EDGE_LOOP('',(#25930,#25931,#25932,#25933)); #5273=EDGE_LOOP('',(#25934,#25935,#25936,#25937)); #5274=EDGE_LOOP('',(#25938,#25939,#25940,#25941)); #5275=EDGE_LOOP('',(#25942,#25943,#25944,#25945)); #5276=EDGE_LOOP('',(#25946,#25947,#25948,#25949)); #5277=EDGE_LOOP('',(#25950,#25951,#25952,#25953)); #5278=EDGE_LOOP('',(#25954,#25955,#25956,#25957)); #5279=EDGE_LOOP('',(#25958,#25959,#25960,#25961)); #5280=EDGE_LOOP('',(#25962,#25963,#25964,#25965)); #5281=EDGE_LOOP('',(#25966,#25967,#25968,#25969)); #5282=EDGE_LOOP('',(#25970,#25971,#25972,#25973)); #5283=EDGE_LOOP('',(#25974,#25975,#25976,#25977)); #5284=EDGE_LOOP('',(#25978,#25979,#25980,#25981)); #5285=EDGE_LOOP('',(#25982,#25983,#25984,#25985)); #5286=EDGE_LOOP('',(#25986,#25987,#25988,#25989)); #5287=EDGE_LOOP('',(#25990,#25991,#25992,#25993)); #5288=EDGE_LOOP('',(#25994,#25995,#25996,#25997)); #5289=EDGE_LOOP('',(#25998,#25999,#26000,#26001)); #5290=EDGE_LOOP('',(#26002,#26003,#26004,#26005)); #5291=EDGE_LOOP('',(#26006,#26007,#26008,#26009)); #5292=EDGE_LOOP('',(#26010,#26011,#26012,#26013)); #5293=EDGE_LOOP('',(#26014,#26015,#26016,#26017)); #5294=EDGE_LOOP('',(#26018,#26019,#26020,#26021)); #5295=EDGE_LOOP('',(#26022,#26023,#26024,#26025)); #5296=EDGE_LOOP('',(#26026,#26027,#26028,#26029)); #5297=EDGE_LOOP('',(#26030,#26031,#26032,#26033)); #5298=EDGE_LOOP('',(#26034,#26035,#26036,#26037)); #5299=EDGE_LOOP('',(#26038,#26039,#26040,#26041)); #5300=EDGE_LOOP('',(#26042,#26043,#26044,#26045)); #5301=EDGE_LOOP('',(#26046,#26047,#26048,#26049)); #5302=EDGE_LOOP('',(#26050,#26051,#26052,#26053)); #5303=EDGE_LOOP('',(#26054,#26055,#26056,#26057)); #5304=EDGE_LOOP('',(#26058,#26059,#26060,#26061)); #5305=EDGE_LOOP('',(#26062,#26063,#26064,#26065)); #5306=EDGE_LOOP('',(#26066,#26067,#26068,#26069)); #5307=EDGE_LOOP('',(#26070,#26071,#26072,#26073)); #5308=EDGE_LOOP('',(#26074,#26075,#26076,#26077)); #5309=EDGE_LOOP('',(#26078,#26079,#26080,#26081)); #5310=EDGE_LOOP('',(#26082,#26083,#26084,#26085)); #5311=EDGE_LOOP('',(#26086,#26087,#26088,#26089)); #5312=EDGE_LOOP('',(#26090,#26091,#26092,#26093)); #5313=EDGE_LOOP('',(#26094,#26095,#26096,#26097)); #5314=EDGE_LOOP('',(#26098,#26099,#26100,#26101)); #5315=EDGE_LOOP('',(#26102,#26103,#26104,#26105)); #5316=EDGE_LOOP('',(#26106,#26107,#26108,#26109)); #5317=EDGE_LOOP('',(#26110,#26111,#26112,#26113)); #5318=EDGE_LOOP('',(#26114,#26115,#26116,#26117)); #5319=EDGE_LOOP('',(#26118,#26119,#26120,#26121)); #5320=EDGE_LOOP('',(#26122,#26123,#26124,#26125)); #5321=EDGE_LOOP('',(#26126,#26127,#26128,#26129)); #5322=EDGE_LOOP('',(#26130,#26131,#26132,#26133)); #5323=EDGE_LOOP('',(#26134,#26135,#26136,#26137)); #5324=EDGE_LOOP('',(#26138,#26139,#26140,#26141)); #5325=EDGE_LOOP('',(#26142,#26143,#26144,#26145)); #5326=EDGE_LOOP('',(#26146,#26147,#26148,#26149)); #5327=EDGE_LOOP('',(#26150,#26151,#26152,#26153)); #5328=EDGE_LOOP('',(#26154,#26155,#26156,#26157)); #5329=EDGE_LOOP('',(#26158,#26159,#26160,#26161)); #5330=EDGE_LOOP('',(#26162,#26163,#26164,#26165)); #5331=EDGE_LOOP('',(#26166,#26167,#26168,#26169)); #5332=EDGE_LOOP('',(#26170,#26171,#26172,#26173)); #5333=EDGE_LOOP('',(#26174,#26175,#26176,#26177)); #5334=EDGE_LOOP('',(#26178,#26179,#26180,#26181)); #5335=EDGE_LOOP('',(#26182,#26183,#26184,#26185)); #5336=EDGE_LOOP('',(#26186,#26187,#26188,#26189)); #5337=EDGE_LOOP('',(#26190,#26191,#26192,#26193)); #5338=EDGE_LOOP('',(#26194,#26195,#26196,#26197)); #5339=EDGE_LOOP('',(#26198,#26199,#26200,#26201)); #5340=EDGE_LOOP('',(#26202,#26203,#26204,#26205)); #5341=EDGE_LOOP('',(#26206,#26207,#26208,#26209)); #5342=EDGE_LOOP('',(#26210,#26211,#26212,#26213)); #5343=EDGE_LOOP('',(#26214,#26215,#26216,#26217)); #5344=EDGE_LOOP('',(#26218,#26219,#26220,#26221,#26222,#26223,#26224,#26225, #26226,#26227,#26228,#26229,#26230,#26231,#26232,#26233,#26234,#26235,#26236, #26237,#26238,#26239,#26240,#26241,#26242,#26243,#26244,#26245,#26246,#26247, #26248,#26249,#26250,#26251,#26252,#26253,#26254,#26255,#26256,#26257,#26258, #26259,#26260,#26261,#26262,#26263,#26264,#26265)); #5345=EDGE_LOOP('',(#26266,#26267,#26268,#26269,#26270,#26271,#26272,#26273, #26274,#26275,#26276,#26277,#26278,#26279,#26280,#26281)); #5346=EDGE_LOOP('',(#26282,#26283,#26284,#26285,#26286,#26287,#26288,#26289, #26290,#26291,#26292,#26293,#26294,#26295,#26296,#26297)); #5347=EDGE_LOOP('',(#26298,#26299,#26300,#26301)); #5348=EDGE_LOOP('',(#26302,#26303,#26304,#26305)); #5349=EDGE_LOOP('',(#26306,#26307,#26308,#26309)); #5350=EDGE_LOOP('',(#26310,#26311,#26312,#26313)); #5351=EDGE_LOOP('',(#26314,#26315,#26316,#26317)); #5352=EDGE_LOOP('',(#26318,#26319,#26320,#26321)); #5353=EDGE_LOOP('',(#26322,#26323,#26324,#26325)); #5354=EDGE_LOOP('',(#26326,#26327,#26328,#26329)); #5355=EDGE_LOOP('',(#26330,#26331,#26332,#26333)); #5356=EDGE_LOOP('',(#26334,#26335,#26336,#26337)); #5357=EDGE_LOOP('',(#26338,#26339,#26340,#26341)); #5358=EDGE_LOOP('',(#26342,#26343,#26344,#26345)); #5359=EDGE_LOOP('',(#26346,#26347,#26348,#26349)); #5360=EDGE_LOOP('',(#26350,#26351,#26352,#26353)); #5361=EDGE_LOOP('',(#26354,#26355,#26356,#26357)); #5362=EDGE_LOOP('',(#26358,#26359,#26360,#26361)); #5363=EDGE_LOOP('',(#26362,#26363,#26364,#26365)); #5364=EDGE_LOOP('',(#26366,#26367,#26368,#26369)); #5365=EDGE_LOOP('',(#26370,#26371,#26372,#26373)); #5366=EDGE_LOOP('',(#26374,#26375,#26376,#26377)); #5367=EDGE_LOOP('',(#26378,#26379,#26380,#26381)); #5368=EDGE_LOOP('',(#26382,#26383,#26384,#26385)); #5369=EDGE_LOOP('',(#26386,#26387,#26388,#26389)); #5370=EDGE_LOOP('',(#26390,#26391,#26392,#26393)); #5371=EDGE_LOOP('',(#26394,#26395,#26396,#26397)); #5372=EDGE_LOOP('',(#26398,#26399,#26400,#26401)); #5373=EDGE_LOOP('',(#26402,#26403,#26404,#26405)); #5374=EDGE_LOOP('',(#26406,#26407,#26408,#26409)); #5375=EDGE_LOOP('',(#26410,#26411,#26412,#26413)); #5376=EDGE_LOOP('',(#26414,#26415,#26416,#26417)); #5377=EDGE_LOOP('',(#26418,#26419,#26420,#26421)); #5378=EDGE_LOOP('',(#26422,#26423,#26424,#26425)); #5379=EDGE_LOOP('',(#26426,#26427,#26428,#26429)); #5380=EDGE_LOOP('',(#26430,#26431,#26432,#26433)); #5381=EDGE_LOOP('',(#26434,#26435,#26436,#26437)); #5382=EDGE_LOOP('',(#26438,#26439,#26440,#26441)); #5383=EDGE_LOOP('',(#26442,#26443,#26444,#26445)); #5384=EDGE_LOOP('',(#26446,#26447,#26448,#26449)); #5385=EDGE_LOOP('',(#26450,#26451,#26452,#26453)); #5386=EDGE_LOOP('',(#26454,#26455,#26456,#26457)); #5387=EDGE_LOOP('',(#26458,#26459,#26460,#26461)); #5388=EDGE_LOOP('',(#26462,#26463,#26464,#26465)); #5389=EDGE_LOOP('',(#26466,#26467,#26468,#26469)); #5390=EDGE_LOOP('',(#26470,#26471,#26472,#26473)); #5391=EDGE_LOOP('',(#26474,#26475,#26476,#26477)); #5392=EDGE_LOOP('',(#26478,#26479,#26480,#26481)); #5393=EDGE_LOOP('',(#26482,#26483,#26484,#26485)); #5394=EDGE_LOOP('',(#26486,#26487,#26488,#26489)); #5395=EDGE_LOOP('',(#26490,#26491,#26492,#26493)); #5396=EDGE_LOOP('',(#26494,#26495,#26496,#26497)); #5397=EDGE_LOOP('',(#26498,#26499,#26500,#26501)); #5398=EDGE_LOOP('',(#26502,#26503,#26504,#26505)); #5399=EDGE_LOOP('',(#26506,#26507,#26508,#26509)); #5400=EDGE_LOOP('',(#26510,#26511,#26512,#26513)); #5401=EDGE_LOOP('',(#26514,#26515,#26516,#26517)); #5402=EDGE_LOOP('',(#26518,#26519,#26520,#26521)); #5403=EDGE_LOOP('',(#26522,#26523,#26524,#26525)); #5404=EDGE_LOOP('',(#26526,#26527,#26528,#26529)); #5405=EDGE_LOOP('',(#26530,#26531,#26532,#26533)); #5406=EDGE_LOOP('',(#26534,#26535,#26536,#26537)); #5407=EDGE_LOOP('',(#26538,#26539,#26540,#26541)); #5408=EDGE_LOOP('',(#26542,#26543,#26544,#26545)); #5409=EDGE_LOOP('',(#26546,#26547,#26548,#26549)); #5410=EDGE_LOOP('',(#26550,#26551,#26552,#26553)); #5411=EDGE_LOOP('',(#26554,#26555,#26556,#26557)); #5412=EDGE_LOOP('',(#26558,#26559,#26560,#26561)); #5413=EDGE_LOOP('',(#26562,#26563,#26564,#26565)); #5414=EDGE_LOOP('',(#26566,#26567,#26568,#26569)); #5415=EDGE_LOOP('',(#26570,#26571,#26572,#26573,#26574,#26575,#26576,#26577, #26578,#26579,#26580,#26581,#26582,#26583,#26584,#26585,#26586,#26587,#26588, #26589,#26590,#26591,#26592,#26593,#26594,#26595,#26596,#26597,#26598,#26599, #26600,#26601,#26602,#26603,#26604,#26605,#26606,#26607,#26608,#26609)); #5416=EDGE_LOOP('',(#26610,#26611,#26612,#26613,#26614,#26615,#26616,#26617, #26618,#26619,#26620,#26621,#26622,#26623,#26624,#26625,#26626,#26627,#26628, #26629,#26630,#26631,#26632,#26633,#26634,#26635,#26636,#26637)); #5417=EDGE_LOOP('',(#26638,#26639,#26640,#26641,#26642,#26643,#26644,#26645, #26646,#26647,#26648,#26649,#26650,#26651,#26652,#26653,#26654,#26655,#26656, #26657,#26658,#26659,#26660,#26661,#26662,#26663,#26664,#26665)); #5418=EDGE_LOOP('',(#26666,#26667,#26668,#26669,#26670,#26671,#26672,#26673, #26674,#26675,#26676,#26677)); #5419=EDGE_LOOP('',(#26678,#26679,#26680,#26681,#26682,#26683,#26684,#26685, #26686,#26687,#26688,#26689,#26690,#26691,#26692,#26693,#26694,#26695,#26696, #26697,#26698,#26699,#26700,#26701,#26702,#26703,#26704,#26705,#26706,#26707, #26708,#26709,#26710,#26711,#26712,#26713,#26714,#26715,#26716,#26717)); #5420=EDGE_LOOP('',(#26718,#26719,#26720,#26721,#26722,#26723,#26724,#26725, #26726,#26727,#26728,#26729,#26730,#26731,#26732,#26733,#26734,#26735,#26736, #26737,#26738,#26739,#26740,#26741,#26742,#26743,#26744,#26745,#26746,#26747, #26748,#26749,#26750,#26751,#26752,#26753,#26754,#26755,#26756,#26757,#26758, #26759,#26760,#26761,#26762,#26763,#26764,#26765)); #5421=EDGE_LOOP('',(#26766,#26767,#26768,#26769,#26770,#26771,#26772,#26773, #26774,#26775,#26776,#26777,#26778,#26779,#26780,#26781,#26782,#26783,#26784, #26785,#26786,#26787,#26788,#26789,#26790,#26791,#26792,#26793,#26794,#26795, #26796,#26797,#26798,#26799,#26800,#26801,#26802,#26803,#26804,#26805,#26806, #26807,#26808,#26809,#26810,#26811,#26812,#26813,#26814,#26815)); #5422=EDGE_LOOP('',(#26816,#26817,#26818,#26819,#26820,#26821,#26822,#26823, #26824,#26825,#26826,#26827,#26828,#26829,#26830,#26831,#26832,#26833,#26834, #26835,#26836,#26837,#26838,#26839,#26840,#26841,#26842,#26843,#26844,#26845, #26846,#26847,#26848,#26849,#26850,#26851,#26852,#26853,#26854,#26855,#26856, #26857,#26858,#26859,#26860,#26861,#26862,#26863,#26864,#26865,#26866,#26867, #26868,#26869)); #5423=EDGE_LOOP('',(#26870,#26871,#26872,#26873,#26874,#26875,#26876,#26877, #26878,#26879,#26880,#26881,#26882,#26883,#26884)); #5424=EDGE_LOOP('',(#26885,#26886,#26887,#26888,#26889,#26890,#26891,#26892, #26893,#26894,#26895)); #5425=EDGE_LOOP('',(#26896,#26897,#26898,#26899,#26900,#26901,#26902,#26903, #26904,#26905,#26906,#26907,#26908,#26909,#26910,#26911,#26912,#26913,#26914, #26915,#26916,#26917,#26918,#26919,#26920,#26921,#26922,#26923,#26924,#26925, #26926,#26927,#26928,#26929,#26930,#26931,#26932,#26933,#26934,#26935,#26936, #26937,#26938,#26939,#26940,#26941,#26942,#26943,#26944,#26945,#26946,#26947, #26948,#26949,#26950,#26951,#26952,#26953,#26954,#26955,#26956,#26957,#26958, #26959,#26960,#26961,#26962)); #5426=EDGE_LOOP('',(#26963,#26964,#26965,#26966)); #5427=EDGE_LOOP('',(#26967,#26968,#26969,#26970,#26971,#26972,#26973,#26974, #26975,#26976,#26977,#26978,#26979,#26980,#26981,#26982)); #5428=EDGE_LOOP('',(#26983,#26984,#26985,#26986,#26987,#26988,#26989,#26990, #26991,#26992,#26993,#26994,#26995,#26996,#26997,#26998)); #5429=EDGE_LOOP('',(#26999,#27000,#27001,#27002,#27003,#27004,#27005,#27006, #27007,#27008,#27009,#27010,#27011,#27012,#27013,#27014)); #5430=EDGE_LOOP('',(#27015,#27016,#27017,#27018)); #5431=EDGE_LOOP('',(#27019,#27020,#27021)); #5432=EDGE_LOOP('',(#27022,#27023,#27024)); #5433=EDGE_LOOP('',(#27025,#27026,#27027,#27028)); #5434=EDGE_LOOP('',(#27029,#27030,#27031,#27032)); #5435=EDGE_LOOP('',(#27033,#27034,#27035,#27036)); #5436=EDGE_LOOP('',(#27037,#27038,#27039,#27040)); #5437=EDGE_LOOP('',(#27041,#27042,#27043,#27044)); #5438=EDGE_LOOP('',(#27045,#27046,#27047,#27048)); #5439=EDGE_LOOP('',(#27049,#27050,#27051)); #5440=EDGE_LOOP('',(#27052,#27053,#27054)); #5441=EDGE_LOOP('',(#27055,#27056,#27057,#27058)); #5442=EDGE_LOOP('',(#27059,#27060,#27061)); #5443=EDGE_LOOP('',(#27062,#27063,#27064,#27065,#27066)); #5444=EDGE_LOOP('',(#27067,#27068,#27069,#27070)); #5445=EDGE_LOOP('',(#27071,#27072,#27073,#27074)); #5446=EDGE_LOOP('',(#27075,#27076,#27077,#27078)); #5447=EDGE_LOOP('',(#27079,#27080,#27081,#27082)); #5448=EDGE_LOOP('',(#27083,#27084,#27085,#27086)); #5449=EDGE_LOOP('',(#27087,#27088,#27089,#27090)); #5450=EDGE_LOOP('',(#27091)); #5451=EDGE_LOOP('',(#27092)); #5452=EDGE_LOOP('',(#27093,#27094,#27095,#27096)); #5453=EDGE_LOOP('',(#27097,#27098,#27099,#27100)); #5454=EDGE_LOOP('',(#27101,#27102,#27103,#27104,#27105,#27106)); #5455=EDGE_LOOP('',(#27107,#27108,#27109,#27110,#27111,#27112)); #5456=EDGE_LOOP('',(#27113,#27114,#27115,#27116)); #5457=EDGE_LOOP('',(#27117,#27118,#27119,#27120,#27121)); #5458=EDGE_LOOP('',(#27122,#27123,#27124,#27125,#27126)); #5459=EDGE_LOOP('',(#27127,#27128,#27129,#27130)); #5460=EDGE_LOOP('',(#27131,#27132,#27133,#27134)); #5461=EDGE_LOOP('',(#27135,#27136,#27137,#27138)); #5462=EDGE_LOOP('',(#27139,#27140,#27141,#27142)); #5463=EDGE_LOOP('',(#27143,#27144,#27145,#27146)); #5464=EDGE_LOOP('',(#27147,#27148,#27149,#27150)); #5465=EDGE_LOOP('',(#27151,#27152,#27153,#27154,#27155)); #5466=EDGE_LOOP('',(#27156,#27157,#27158,#27159)); #5467=EDGE_LOOP('',(#27160,#27161,#27162,#27163)); #5468=EDGE_LOOP('',(#27164,#27165,#27166,#27167,#27168,#27169,#27170)); #5469=EDGE_LOOP('',(#27171,#27172,#27173,#27174)); #5470=EDGE_LOOP('',(#27175,#27176,#27177,#27178,#27179,#27180,#27181,#27182)); #5471=EDGE_LOOP('',(#27183,#27184,#27185,#27186)); #5472=EDGE_LOOP('',(#27187,#27188,#27189,#27190,#27191,#27192)); #5473=EDGE_LOOP('',(#27193,#27194,#27195,#27196,#27197)); #5474=EDGE_LOOP('',(#27198,#27199,#27200,#27201,#27202)); #5475=EDGE_LOOP('',(#27203,#27204,#27205,#27206)); #5476=EDGE_LOOP('',(#27207,#27208,#27209,#27210)); #5477=EDGE_LOOP('',(#27211,#27212,#27213,#27214)); #5478=EDGE_LOOP('',(#27215,#27216,#27217,#27218)); #5479=EDGE_LOOP('',(#27219,#27220,#27221,#27222)); #5480=EDGE_LOOP('',(#27223,#27224,#27225,#27226,#27227,#27228)); #5481=EDGE_LOOP('',(#27229,#27230,#27231,#27232,#27233,#27234,#27235)); #5482=EDGE_LOOP('',(#27236,#27237,#27238,#27239,#27240,#27241)); #5483=EDGE_LOOP('',(#27242,#27243,#27244,#27245)); #5484=EDGE_LOOP('',(#27246,#27247,#27248,#27249)); #5485=EDGE_LOOP('',(#27250,#27251,#27252,#27253)); #5486=EDGE_LOOP('',(#27254)); #5487=EDGE_LOOP('',(#27255,#27256,#27257,#27258,#27259,#27260,#27261,#27262)); #5488=EDGE_LOOP('',(#27263,#27264,#27265,#27266,#27267,#27268,#27269,#27270)); #5489=EDGE_LOOP('',(#27271,#27272,#27273,#27274,#27275,#27276,#27277,#27278)); #5490=EDGE_LOOP('',(#27279)); #5491=EDGE_LOOP('',(#27280,#27281,#27282,#27283,#27284,#27285)); #5492=EDGE_LOOP('',(#27286)); #5493=EDGE_LOOP('',(#27287)); #5494=EDGE_LOOP('',(#27288,#27289,#27290,#27291)); #5495=EDGE_LOOP('',(#27292,#27293,#27294,#27295)); #5496=EDGE_LOOP('',(#27296,#27297,#27298)); #5497=EDGE_LOOP('',(#27299,#27300,#27301)); #5498=EDGE_LOOP('',(#27302,#27303,#27304,#27305)); #5499=EDGE_LOOP('',(#27306,#27307,#27308,#27309)); #5500=EDGE_LOOP('',(#27310,#27311,#27312,#27313)); #5501=EDGE_LOOP('',(#27314,#27315,#27316,#27317)); #5502=EDGE_LOOP('',(#27318,#27319,#27320,#27321)); #5503=EDGE_LOOP('',(#27322,#27323,#27324,#27325)); #5504=EDGE_LOOP('',(#27326,#27327,#27328)); #5505=EDGE_LOOP('',(#27329,#27330,#27331)); #5506=EDGE_LOOP('',(#27332,#27333,#27334,#27335)); #5507=EDGE_LOOP('',(#27336,#27337,#27338)); #5508=EDGE_LOOP('',(#27339,#27340,#27341,#27342,#27343)); #5509=EDGE_LOOP('',(#27344,#27345,#27346,#27347)); #5510=EDGE_LOOP('',(#27348,#27349,#27350,#27351)); #5511=EDGE_LOOP('',(#27352,#27353,#27354,#27355)); #5512=EDGE_LOOP('',(#27356,#27357,#27358,#27359)); #5513=EDGE_LOOP('',(#27360,#27361,#27362,#27363)); #5514=EDGE_LOOP('',(#27364,#27365,#27366,#27367)); #5515=EDGE_LOOP('',(#27368)); #5516=EDGE_LOOP('',(#27369)); #5517=EDGE_LOOP('',(#27370,#27371,#27372,#27373)); #5518=EDGE_LOOP('',(#27374,#27375,#27376,#27377)); #5519=EDGE_LOOP('',(#27378,#27379,#27380,#27381)); #5520=EDGE_LOOP('',(#27382,#27383,#27384,#27385)); #5521=EDGE_LOOP('',(#27386,#27387,#27388,#27389)); #5522=EDGE_LOOP('',(#27390,#27391,#27392,#27393)); #5523=EDGE_LOOP('',(#27394,#27395,#27396,#27397,#27398,#27399)); #5524=EDGE_LOOP('',(#27400,#27401,#27402,#27403,#27404,#27405)); #5525=EDGE_LOOP('',(#27406,#27407,#27408,#27409)); #5526=EDGE_LOOP('',(#27410,#27411,#27412)); #5527=EDGE_LOOP('',(#27413,#27414,#27415,#27416,#27417)); #5528=EDGE_LOOP('',(#27418,#27419,#27420,#27421,#27422)); #5529=EDGE_LOOP('',(#27423,#27424,#27425,#27426)); #5530=EDGE_LOOP('',(#27427,#27428,#27429,#27430)); #5531=EDGE_LOOP('',(#27431,#27432,#27433,#27434)); #5532=EDGE_LOOP('',(#27435,#27436,#27437,#27438)); #5533=EDGE_LOOP('',(#27439,#27440,#27441,#27442)); #5534=EDGE_LOOP('',(#27443,#27444,#27445,#27446)); #5535=EDGE_LOOP('',(#27447,#27448,#27449,#27450,#27451)); #5536=EDGE_LOOP('',(#27452,#27453,#27454,#27455)); #5537=EDGE_LOOP('',(#27456,#27457,#27458,#27459)); #5538=EDGE_LOOP('',(#27460,#27461,#27462,#27463,#27464,#27465,#27466)); #5539=EDGE_LOOP('',(#27467,#27468,#27469,#27470)); #5540=EDGE_LOOP('',(#27471,#27472,#27473,#27474,#27475,#27476,#27477,#27478)); #5541=EDGE_LOOP('',(#27479,#27480,#27481,#27482)); #5542=EDGE_LOOP('',(#27483,#27484,#27485,#27486,#27487,#27488)); #5543=EDGE_LOOP('',(#27489,#27490,#27491,#27492,#27493)); #5544=EDGE_LOOP('',(#27494,#27495,#27496,#27497,#27498)); #5545=EDGE_LOOP('',(#27499,#27500,#27501,#27502)); #5546=EDGE_LOOP('',(#27503,#27504,#27505,#27506)); #5547=EDGE_LOOP('',(#27507,#27508,#27509,#27510)); #5548=EDGE_LOOP('',(#27511,#27512,#27513,#27514)); #5549=EDGE_LOOP('',(#27515,#27516,#27517,#27518)); #5550=EDGE_LOOP('',(#27519,#27520,#27521,#27522,#27523,#27524)); #5551=EDGE_LOOP('',(#27525,#27526,#27527,#27528,#27529,#27530,#27531)); #5552=EDGE_LOOP('',(#27532,#27533,#27534,#27535,#27536,#27537)); #5553=EDGE_LOOP('',(#27538,#27539,#27540,#27541,#27542,#27543,#27544,#27545, #27546,#27547,#27548,#27549,#27550,#27551,#27552,#27553)); #5554=EDGE_LOOP('',(#27554,#27555,#27556,#27557,#27558)); #5555=EDGE_LOOP('',(#27559,#27560,#27561,#27562,#27563,#27564)); #5556=EDGE_LOOP('',(#27565)); #5557=EDGE_LOOP('',(#27566)); #5558=EDGE_LOOP('',(#27567,#27568,#27569,#27570,#27571)); #5559=EDGE_LOOP('',(#27572)); #5560=EDGE_LOOP('',(#27573,#27574,#27575,#27576,#27577)); #5561=EDGE_LOOP('',(#27578)); #5562=EDGE_LOOP('',(#27579,#27580,#27581,#27582,#27583,#27584)); #5563=EDGE_LOOP('',(#27585,#27586,#27587,#27588,#27589)); #5564=EDGE_LOOP('',(#27590,#27591,#27592,#27593)); #5565=EDGE_LOOP('',(#27594,#27595,#27596,#27597)); #5566=EDGE_LOOP('',(#27598,#27599,#27600,#27601)); #5567=EDGE_LOOP('',(#27602,#27603,#27604,#27605)); #5568=EDGE_LOOP('',(#27606,#27607,#27608,#27609,#27610)); #5569=EDGE_LOOP('',(#27611,#27612,#27613,#27614)); #5570=EDGE_LOOP('',(#27615,#27616,#27617,#27618,#27619,#27620,#27621,#27622, #27623)); #5571=LINE('',#40913,#8649); #5572=LINE('',#40919,#8650); #5573=LINE('',#40925,#8651); #5574=LINE('',#40931,#8652); #5575=LINE('',#40937,#8653); #5576=LINE('',#40943,#8654); #5577=LINE('',#40949,#8655); #5578=LINE('',#40955,#8656); #5579=LINE('',#40961,#8657); #5580=LINE('',#40967,#8658); #5581=LINE('',#40973,#8659); #5582=LINE('',#40979,#8660); #5583=LINE('',#40985,#8661); #5584=LINE('',#40991,#8662); #5585=LINE('',#40996,#8663); #5586=LINE('',#40998,#8664); #5587=LINE('',#41000,#8665); #5588=LINE('',#41001,#8666); #5589=LINE('',#41007,#8667); #5590=LINE('',#41010,#8668); #5591=LINE('',#41012,#8669); #5592=LINE('',#41013,#8670); #5593=LINE('',#41021,#8671); #5594=LINE('',#41027,#8672); #5595=LINE('',#41033,#8673); #5596=LINE('',#41039,#8674); #5597=LINE('',#41045,#8675); #5598=LINE('',#41051,#8676); #5599=LINE('',#41058,#8677); #5600=LINE('',#41061,#8678); #5601=LINE('',#41064,#8679); #5602=LINE('',#41066,#8680); #5603=LINE('',#41067,#8681); #5604=LINE('',#41073,#8682); #5605=LINE('',#41075,#8683); #5606=LINE('',#41076,#8684); #5607=LINE('',#41082,#8685); #5608=LINE('',#41085,#8686); #5609=LINE('',#41088,#8687); #5610=LINE('',#41090,#8688); #5611=LINE('',#41091,#8689); #5612=LINE('',#41097,#8690); #5613=LINE('',#41099,#8691); #5614=LINE('',#41100,#8692); #5615=LINE('',#41106,#8693); #5616=LINE('',#41109,#8694); #5617=LINE('',#41112,#8695); #5618=LINE('',#41114,#8696); #5619=LINE('',#41115,#8697); #5620=LINE('',#41121,#8698); #5621=LINE('',#41123,#8699); #5622=LINE('',#41124,#8700); #5623=LINE('',#41130,#8701); #5624=LINE('',#41133,#8702); #5625=LINE('',#41136,#8703); #5626=LINE('',#41138,#8704); #5627=LINE('',#41139,#8705); #5628=LINE('',#41142,#8706); #5629=LINE('',#41146,#8707); #5630=LINE('',#41150,#8708); #5631=LINE('',#41154,#8709); #5632=LINE('',#41156,#8710); #5633=LINE('',#41160,#8711); #5634=LINE('',#41164,#8712); #5635=LINE('',#41168,#8713); #5636=LINE('',#41171,#8714); #5637=LINE('',#41174,#8715); #5638=LINE('',#41175,#8716); #5639=LINE('',#41180,#8717); #5640=LINE('',#41184,#8718); #5641=LINE('',#41186,#8719); #5642=LINE('',#41190,#8720); #5643=LINE('',#41194,#8721); #5644=LINE('',#41197,#8722); #5645=LINE('',#41199,#8723); #5646=LINE('',#41201,#8724); #5647=LINE('',#41203,#8725); #5648=LINE('',#41205,#8726); #5649=LINE('',#41207,#8727); #5650=LINE('',#41209,#8728); #5651=LINE('',#41211,#8729); #5652=LINE('',#41213,#8730); #5653=LINE('',#41215,#8731); #5654=LINE('',#41222,#8732); #5655=LINE('',#41228,#8733); #5656=LINE('',#41234,#8734); #5657=LINE('',#41240,#8735); #5658=LINE('',#41246,#8736); #5659=LINE('',#41254,#8737); #5660=LINE('',#41261,#8738); #5661=LINE('',#41265,#8739); #5662=LINE('',#41272,#8740); #5663=LINE('',#41276,#8741); #5664=LINE('',#41282,#8742); #5665=LINE('',#41286,#8743); #5666=LINE('',#41293,#8744); #5667=LINE('',#41315,#8745); #5668=LINE('',#41323,#8746); #5669=LINE('',#41343,#8747); #5670=LINE('',#41351,#8748); #5671=LINE('',#41356,#8749); #5672=LINE('',#41370,#8750); #5673=LINE('',#41381,#8751); #5674=LINE('',#41387,#8752); #5675=LINE('',#41393,#8753); #5676=LINE('',#41407,#8754); #5677=LINE('',#41418,#8755); #5678=LINE('',#41423,#8756); #5679=LINE('',#41437,#8757); #5680=LINE('',#41444,#8758); #5681=LINE('',#41449,#8759); #5682=LINE('',#41463,#8760); #5683=LINE('',#41469,#8761); #5684=LINE('',#41474,#8762); #5685=LINE('',#41709,#8763); #5686=LINE('',#41711,#8764); #5687=LINE('',#41713,#8765); #5688=LINE('',#41720,#8766); #5689=LINE('',#41723,#8767); #5690=LINE('',#41726,#8768); #5691=LINE('',#41728,#8769); #5692=LINE('',#41729,#8770); #5693=LINE('',#41732,#8771); #5694=LINE('',#41734,#8772); #5695=LINE('',#41738,#8773); #5696=LINE('',#41740,#8774); #5697=LINE('',#41744,#8775); #5698=LINE('',#41754,#8776); #5699=LINE('',#41755,#8777); #5700=LINE('',#41758,#8778); #5701=LINE('',#41759,#8779); #5702=LINE('',#41763,#8780); #5703=LINE('',#41767,#8781); #5704=LINE('',#41770,#8782); #5705=LINE('',#41771,#8783); #5706=LINE('',#41774,#8784); #5707=LINE('',#41775,#8785); #5708=LINE('',#41779,#8786); #5709=LINE('',#41782,#8787); #5710=LINE('',#41783,#8788); #5711=LINE('',#41785,#8789); #5712=LINE('',#41793,#8790); #5713=LINE('',#41797,#8791); #5714=LINE('',#41801,#8792); #5715=LINE('',#41805,#8793); #5716=LINE('',#41809,#8794); #5717=LINE('',#41813,#8795); #5718=LINE('',#41817,#8796); #5719=LINE('',#41821,#8797); #5720=LINE('',#41825,#8798); #5721=LINE('',#41829,#8799); #5722=LINE('',#41833,#8800); #5723=LINE('',#41837,#8801); #5724=LINE('',#41841,#8802); #5725=LINE('',#41845,#8803); #5726=LINE('',#41849,#8804); #5727=LINE('',#41853,#8805); #5728=LINE('',#41857,#8806); #5729=LINE('',#41861,#8807); #5730=LINE('',#41865,#8808); #5731=LINE('',#41869,#8809); #5732=LINE('',#41873,#8810); #5733=LINE('',#41877,#8811); #5734=LINE('',#41881,#8812); #5735=LINE('',#41885,#8813); #5736=LINE('',#41891,#8814); #5737=LINE('',#41893,#8815); #5738=LINE('',#41894,#8816); #5739=LINE('',#41899,#8817); #5740=LINE('',#41900,#8818); #5741=LINE('',#41905,#8819); #5742=LINE('',#41906,#8820); #5743=LINE('',#41911,#8821); #5744=LINE('',#41912,#8822); #5745=LINE('',#41917,#8823); #5746=LINE('',#41918,#8824); #5747=LINE('',#41923,#8825); #5748=LINE('',#41924,#8826); #5749=LINE('',#41929,#8827); #5750=LINE('',#41930,#8828); #5751=LINE('',#41935,#8829); #5752=LINE('',#41936,#8830); #5753=LINE('',#41941,#8831); #5754=LINE('',#41942,#8832); #5755=LINE('',#41945,#8833); #5756=LINE('',#41948,#8834); #5757=LINE('',#41951,#8835); #5758=LINE('',#41954,#8836); #5759=LINE('',#41958,#8837); #5760=LINE('',#41961,#8838); #5761=LINE('',#41963,#8839); #5762=LINE('',#41968,#8840); #5763=LINE('',#41970,#8841); #5764=LINE('',#41973,#8842); #5765=LINE('',#41975,#8843); #5766=LINE('',#41978,#8844); #5767=LINE('',#41980,#8845); #5768=LINE('',#41983,#8846); #5769=LINE('',#41985,#8847); #5770=LINE('',#41988,#8848); #5771=LINE('',#41990,#8849); #5772=LINE('',#41993,#8850); #5773=LINE('',#41995,#8851); #5774=LINE('',#41998,#8852); #5775=LINE('',#42000,#8853); #5776=LINE('',#42003,#8854); #5777=LINE('',#42005,#8855); #5778=LINE('',#42008,#8856); #5779=LINE('',#42010,#8857); #5780=LINE('',#42013,#8858); #5781=LINE('',#42015,#8859); #5782=LINE('',#42018,#8860); #5783=LINE('',#42020,#8861); #5784=LINE('',#42023,#8862); #5785=LINE('',#42028,#8863); #5786=LINE('',#42032,#8864); #5787=LINE('',#42036,#8865); #5788=LINE('',#42039,#8866); #5789=LINE('',#42045,#8867); #5790=LINE('',#42047,#8868); #5791=LINE('',#42049,#8869); #5792=LINE('',#42051,#8870); #5793=LINE('',#42053,#8871); #5794=LINE('',#42055,#8872); #5795=LINE('',#42057,#8873); #5796=LINE('',#42059,#8874); #5797=LINE('',#42061,#8875); #5798=LINE('',#42063,#8876); #5799=LINE('',#42065,#8877); #5800=LINE('',#42067,#8878); #5801=LINE('',#42069,#8879); #5802=LINE('',#42071,#8880); #5803=LINE('',#42073,#8881); #5804=LINE('',#42075,#8882); #5805=LINE('',#42077,#8883); #5806=LINE('',#42079,#8884); #5807=LINE('',#42081,#8885); #5808=LINE('',#42083,#8886); #5809=LINE('',#42085,#8887); #5810=LINE('',#42087,#8888); #5811=LINE('',#42089,#8889); #5812=LINE('',#42091,#8890); #5813=LINE('',#42093,#8891); #5814=LINE('',#42095,#8892); #5815=LINE('',#42097,#8893); #5816=LINE('',#42099,#8894); #5817=LINE('',#42101,#8895); #5818=LINE('',#42103,#8896); #5819=LINE('',#42105,#8897); #5820=LINE('',#42107,#8898); #5821=LINE('',#42109,#8899); #5822=LINE('',#42111,#8900); #5823=LINE('',#42113,#8901); #5824=LINE('',#42115,#8902); #5825=LINE('',#42117,#8903); #5826=LINE('',#42119,#8904); #5827=LINE('',#42121,#8905); #5828=LINE('',#42123,#8906); #5829=LINE('',#42125,#8907); #5830=LINE('',#42127,#8908); #5831=LINE('',#42129,#8909); #5832=LINE('',#42131,#8910); #5833=LINE('',#42133,#8911); #5834=LINE('',#42135,#8912); #5835=LINE('',#42137,#8913); #5836=LINE('',#42139,#8914); #5837=LINE('',#42141,#8915); #5838=LINE('',#42143,#8916); #5839=LINE('',#42145,#8917); #5840=LINE('',#42147,#8918); #5841=LINE('',#42149,#8919); #5842=LINE('',#42150,#8920); #5843=LINE('',#42154,#8921); #5844=LINE('',#42156,#8922); #5845=LINE('',#42158,#8923); #5846=LINE('',#42160,#8924); #5847=LINE('',#42162,#8925); #5848=LINE('',#42164,#8926); #5849=LINE('',#42166,#8927); #5850=LINE('',#42168,#8928); #5851=LINE('',#42169,#8929); #5852=LINE('',#42173,#8930); #5853=LINE('',#42175,#8931); #5854=LINE('',#42177,#8932); #5855=LINE('',#42179,#8933); #5856=LINE('',#42181,#8934); #5857=LINE('',#42183,#8935); #5858=LINE('',#42185,#8936); #5859=LINE('',#42187,#8937); #5860=LINE('',#42189,#8938); #5861=LINE('',#42191,#8939); #5862=LINE('',#42193,#8940); #5863=LINE('',#42195,#8941); #5864=LINE('',#42197,#8942); #5865=LINE('',#42199,#8943); #5866=LINE('',#42201,#8944); #5867=LINE('',#42203,#8945); #5868=LINE('',#42205,#8946); #5869=LINE('',#42207,#8947); #5870=LINE('',#42209,#8948); #5871=LINE('',#42211,#8949); #5872=LINE('',#42213,#8950); #5873=LINE('',#42215,#8951); #5874=LINE('',#42217,#8952); #5875=LINE('',#42219,#8953); #5876=LINE('',#42221,#8954); #5877=LINE('',#42223,#8955); #5878=LINE('',#42225,#8956); #5879=LINE('',#42227,#8957); #5880=LINE('',#42229,#8958); #5881=LINE('',#42231,#8959); #5882=LINE('',#42233,#8960); #5883=LINE('',#42235,#8961); #5884=LINE('',#42237,#8962); #5885=LINE('',#42239,#8963); #5886=LINE('',#42241,#8964); #5887=LINE('',#42243,#8965); #5888=LINE('',#42245,#8966); #5889=LINE('',#42247,#8967); #5890=LINE('',#42249,#8968); #5891=LINE('',#42251,#8969); #5892=LINE('',#42253,#8970); #5893=LINE('',#42255,#8971); #5894=LINE('',#42257,#8972); #5895=LINE('',#42259,#8973); #5896=LINE('',#42261,#8974); #5897=LINE('',#42263,#8975); #5898=LINE('',#42265,#8976); #5899=LINE('',#42267,#8977); #5900=LINE('',#42269,#8978); #5901=LINE('',#42271,#8979); #5902=LINE('',#42273,#8980); #5903=LINE('',#42275,#8981); #5904=LINE('',#42277,#8982); #5905=LINE('',#42278,#8983); #5906=LINE('',#42282,#8984); #5907=LINE('',#42284,#8985); #5908=LINE('',#42286,#8986); #5909=LINE('',#42288,#8987); #5910=LINE('',#42290,#8988); #5911=LINE('',#42292,#8989); #5912=LINE('',#42294,#8990); #5913=LINE('',#42295,#8991); #5914=LINE('',#42299,#8992); #5915=LINE('',#42301,#8993); #5916=LINE('',#42303,#8994); #5917=LINE('',#42305,#8995); #5918=LINE('',#42307,#8996); #5919=LINE('',#42309,#8997); #5920=LINE('',#42311,#8998); #5921=LINE('',#42313,#8999); #5922=LINE('',#42315,#9000); #5923=LINE('',#42317,#9001); #5924=LINE('',#42319,#9002); #5925=LINE('',#42320,#9003); #5926=LINE('',#42324,#9004); #5927=LINE('',#42326,#9005); #5928=LINE('',#42328,#9006); #5929=LINE('',#42330,#9007); #5930=LINE('',#42332,#9008); #5931=LINE('',#42334,#9009); #5932=LINE('',#42336,#9010); #5933=LINE('',#42338,#9011); #5934=LINE('',#42340,#9012); #5935=LINE('',#42342,#9013); #5936=LINE('',#42344,#9014); #5937=LINE('',#42346,#9015); #5938=LINE('',#42347,#9016); #5939=LINE('',#42351,#9017); #5940=LINE('',#42353,#9018); #5941=LINE('',#42355,#9019); #5942=LINE('',#42357,#9020); #5943=LINE('',#42359,#9021); #5944=LINE('',#42361,#9022); #5945=LINE('',#42363,#9023); #5946=LINE('',#42365,#9024); #5947=LINE('',#42367,#9025); #5948=LINE('',#42369,#9026); #5949=LINE('',#42371,#9027); #5950=LINE('',#42373,#9028); #5951=LINE('',#42375,#9029); #5952=LINE('',#42376,#9030); #5953=LINE('',#42379,#9031); #5954=LINE('',#42381,#9032); #5955=LINE('',#42383,#9033); #5956=LINE('',#42385,#9034); #5957=LINE('',#42387,#9035); #5958=LINE('',#42389,#9036); #5959=LINE('',#42391,#9037); #5960=LINE('',#42393,#9038); #5961=LINE('',#42395,#9039); #5962=LINE('',#42396,#9040); #5963=LINE('',#42400,#9041); #5964=LINE('',#42402,#9042); #5965=LINE('',#42404,#9043); #5966=LINE('',#42406,#9044); #5967=LINE('',#42408,#9045); #5968=LINE('',#42409,#9046); #5969=LINE('',#42413,#9047); #5970=LINE('',#42415,#9048); #5971=LINE('',#42417,#9049); #5972=LINE('',#42419,#9050); #5973=LINE('',#42421,#9051); #5974=LINE('',#42423,#9052); #5975=LINE('',#42425,#9053); #5976=LINE('',#42426,#9054); #5977=LINE('',#42429,#9055); #5978=LINE('',#42431,#9056); #5979=LINE('',#42432,#9057); #5980=LINE('',#42436,#9058); #5981=LINE('',#42438,#9059); #5982=LINE('',#42440,#9060); #5983=LINE('',#42442,#9061); #5984=LINE('',#42444,#9062); #5985=LINE('',#42446,#9063); #5986=LINE('',#42448,#9064); #5987=LINE('',#42450,#9065); #5988=LINE('',#42452,#9066); #5989=LINE('',#42454,#9067); #5990=LINE('',#42456,#9068); #5991=LINE('',#42458,#9069); #5992=LINE('',#42460,#9070); #5993=LINE('',#42462,#9071); #5994=LINE('',#42464,#9072); #5995=LINE('',#42466,#9073); #5996=LINE('',#42468,#9074); #5997=LINE('',#42470,#9075); #5998=LINE('',#42472,#9076); #5999=LINE('',#42474,#9077); #6000=LINE('',#42476,#9078); #6001=LINE('',#42478,#9079); #6002=LINE('',#42480,#9080); #6003=LINE('',#42482,#9081); #6004=LINE('',#42484,#9082); #6005=LINE('',#42486,#9083); #6006=LINE('',#42488,#9084); #6007=LINE('',#42490,#9085); #6008=LINE('',#42492,#9086); #6009=LINE('',#42494,#9087); #6010=LINE('',#42496,#9088); #6011=LINE('',#42498,#9089); #6012=LINE('',#42500,#9090); #6013=LINE('',#42502,#9091); #6014=LINE('',#42504,#9092); #6015=LINE('',#42506,#9093); #6016=LINE('',#42508,#9094); #6017=LINE('',#42510,#9095); #6018=LINE('',#42512,#9096); #6019=LINE('',#42514,#9097); #6020=LINE('',#42516,#9098); #6021=LINE('',#42518,#9099); #6022=LINE('',#42520,#9100); #6023=LINE('',#42522,#9101); #6024=LINE('',#42524,#9102); #6025=LINE('',#42526,#9103); #6026=LINE('',#42528,#9104); #6027=LINE('',#42530,#9105); #6028=LINE('',#42532,#9106); #6029=LINE('',#42534,#9107); #6030=LINE('',#42536,#9108); #6031=LINE('',#42538,#9109); #6032=LINE('',#42540,#9110); #6033=LINE('',#42541,#9111); #6034=LINE('',#42545,#9112); #6035=LINE('',#42547,#9113); #6036=LINE('',#42549,#9114); #6037=LINE('',#42551,#9115); #6038=LINE('',#42553,#9116); #6039=LINE('',#42555,#9117); #6040=LINE('',#42557,#9118); #6041=LINE('',#42558,#9119); #6042=LINE('',#42560,#9120); #6043=LINE('',#42562,#9121); #6044=LINE('',#42565,#9122); #6045=LINE('',#42567,#9123); #6046=LINE('',#42570,#9124); #6047=LINE('',#42572,#9125); #6048=LINE('',#42575,#9126); #6049=LINE('',#42577,#9127); #6050=LINE('',#42580,#9128); #6051=LINE('',#42582,#9129); #6052=LINE('',#42585,#9130); #6053=LINE('',#42587,#9131); #6054=LINE('',#42590,#9132); #6055=LINE('',#42592,#9133); #6056=LINE('',#42595,#9134); #6057=LINE('',#42597,#9135); #6058=LINE('',#42600,#9136); #6059=LINE('',#42602,#9137); #6060=LINE('',#42605,#9138); #6061=LINE('',#42607,#9139); #6062=LINE('',#42610,#9140); #6063=LINE('',#42612,#9141); #6064=LINE('',#42615,#9142); #6065=LINE('',#42619,#9143); #6066=LINE('',#42622,#9144); #6067=LINE('',#42625,#9145); #6068=LINE('',#42626,#9146); #6069=LINE('',#42630,#9147); #6070=LINE('',#42633,#9148); #6071=LINE('',#42634,#9149); #6072=LINE('',#42638,#9150); #6073=LINE('',#42641,#9151); #6074=LINE('',#42642,#9152); #6075=LINE('',#42646,#9153); #6076=LINE('',#42648,#9154); #6077=LINE('',#42652,#9155); #6078=LINE('',#42654,#9156); #6079=LINE('',#42656,#9157); #6080=LINE('',#42658,#9158); #6081=LINE('',#42660,#9159); #6082=LINE('',#42662,#9160); #6083=LINE('',#42664,#9161); #6084=LINE('',#42666,#9162); #6085=LINE('',#42668,#9163); #6086=LINE('',#42670,#9164); #6087=LINE('',#42672,#9165); #6088=LINE('',#42674,#9166); #6089=LINE('',#42676,#9167); #6090=LINE('',#42678,#9168); #6091=LINE('',#42680,#9169); #6092=LINE('',#42682,#9170); #6093=LINE('',#42684,#9171); #6094=LINE('',#42686,#9172); #6095=LINE('',#42688,#9173); #6096=LINE('',#42690,#9174); #6097=LINE('',#42692,#9175); #6098=LINE('',#42694,#9176); #6099=LINE('',#42696,#9177); #6100=LINE('',#42698,#9178); #6101=LINE('',#42700,#9179); #6102=LINE('',#42702,#9180); #6103=LINE('',#42704,#9181); #6104=LINE('',#42706,#9182); #6105=LINE('',#42708,#9183); #6106=LINE('',#42710,#9184); #6107=LINE('',#42712,#9185); #6108=LINE('',#42714,#9186); #6109=LINE('',#42716,#9187); #6110=LINE('',#42718,#9188); #6111=LINE('',#42720,#9189); #6112=LINE('',#42722,#9190); #6113=LINE('',#42724,#9191); #6114=LINE('',#42726,#9192); #6115=LINE('',#42728,#9193); #6116=LINE('',#42730,#9194); #6117=LINE('',#42732,#9195); #6118=LINE('',#42734,#9196); #6119=LINE('',#42736,#9197); #6120=LINE('',#42738,#9198); #6121=LINE('',#42740,#9199); #6122=LINE('',#42742,#9200); #6123=LINE('',#42744,#9201); #6124=LINE('',#42746,#9202); #6125=LINE('',#42748,#9203); #6126=LINE('',#42750,#9204); #6127=LINE('',#42752,#9205); #6128=LINE('',#42754,#9206); #6129=LINE('',#42756,#9207); #6130=LINE('',#42757,#9208); #6131=LINE('',#42760,#9209); #6132=LINE('',#42762,#9210); #6133=LINE('',#42764,#9211); #6134=LINE('',#42766,#9212); #6135=LINE('',#42768,#9213); #6136=LINE('',#42770,#9214); #6137=LINE('',#42772,#9215); #6138=LINE('',#42774,#9216); #6139=LINE('',#42775,#9217); #6140=LINE('',#42778,#9218); #6141=LINE('',#42780,#9219); #6142=LINE('',#42782,#9220); #6143=LINE('',#42784,#9221); #6144=LINE('',#42786,#9222); #6145=LINE('',#42788,#9223); #6146=LINE('',#42790,#9224); #6147=LINE('',#42792,#9225); #6148=LINE('',#42794,#9226); #6149=LINE('',#42796,#9227); #6150=LINE('',#42798,#9228); #6151=LINE('',#42800,#9229); #6152=LINE('',#42802,#9230); #6153=LINE('',#42804,#9231); #6154=LINE('',#42806,#9232); #6155=LINE('',#42808,#9233); #6156=LINE('',#42810,#9234); #6157=LINE('',#42812,#9235); #6158=LINE('',#42814,#9236); #6159=LINE('',#42816,#9237); #6160=LINE('',#42818,#9238); #6161=LINE('',#42820,#9239); #6162=LINE('',#42822,#9240); #6163=LINE('',#42824,#9241); #6164=LINE('',#42826,#9242); #6165=LINE('',#42828,#9243); #6166=LINE('',#42830,#9244); #6167=LINE('',#42832,#9245); #6168=LINE('',#42834,#9246); #6169=LINE('',#42836,#9247); #6170=LINE('',#42838,#9248); #6171=LINE('',#42840,#9249); #6172=LINE('',#42842,#9250); #6173=LINE('',#42844,#9251); #6174=LINE('',#42846,#9252); #6175=LINE('',#42848,#9253); #6176=LINE('',#42850,#9254); #6177=LINE('',#42852,#9255); #6178=LINE('',#42854,#9256); #6179=LINE('',#42856,#9257); #6180=LINE('',#42858,#9258); #6181=LINE('',#42860,#9259); #6182=LINE('',#42862,#9260); #6183=LINE('',#42864,#9261); #6184=LINE('',#42866,#9262); #6185=LINE('',#42868,#9263); #6186=LINE('',#42870,#9264); #6187=LINE('',#42872,#9265); #6188=LINE('',#42874,#9266); #6189=LINE('',#42876,#9267); #6190=LINE('',#42878,#9268); #6191=LINE('',#42880,#9269); #6192=LINE('',#42882,#9270); #6193=LINE('',#42883,#9271); #6194=LINE('',#42886,#9272); #6195=LINE('',#42888,#9273); #6196=LINE('',#42890,#9274); #6197=LINE('',#42892,#9275); #6198=LINE('',#42894,#9276); #6199=LINE('',#42896,#9277); #6200=LINE('',#42898,#9278); #6201=LINE('',#42899,#9279); #6202=LINE('',#42902,#9280); #6203=LINE('',#42904,#9281); #6204=LINE('',#42906,#9282); #6205=LINE('',#42908,#9283); #6206=LINE('',#42910,#9284); #6207=LINE('',#42912,#9285); #6208=LINE('',#42914,#9286); #6209=LINE('',#42916,#9287); #6210=LINE('',#42918,#9288); #6211=LINE('',#42920,#9289); #6212=LINE('',#42922,#9290); #6213=LINE('',#42923,#9291); #6214=LINE('',#42926,#9292); #6215=LINE('',#42928,#9293); #6216=LINE('',#42930,#9294); #6217=LINE('',#42932,#9295); #6218=LINE('',#42934,#9296); #6219=LINE('',#42936,#9297); #6220=LINE('',#42938,#9298); #6221=LINE('',#42940,#9299); #6222=LINE('',#42942,#9300); #6223=LINE('',#42944,#9301); #6224=LINE('',#42946,#9302); #6225=LINE('',#42948,#9303); #6226=LINE('',#42949,#9304); #6227=LINE('',#42952,#9305); #6228=LINE('',#42954,#9306); #6229=LINE('',#42956,#9307); #6230=LINE('',#42958,#9308); #6231=LINE('',#42960,#9309); #6232=LINE('',#42962,#9310); #6233=LINE('',#42964,#9311); #6234=LINE('',#42966,#9312); #6235=LINE('',#42968,#9313); #6236=LINE('',#42970,#9314); #6237=LINE('',#42972,#9315); #6238=LINE('',#42974,#9316); #6239=LINE('',#42976,#9317); #6240=LINE('',#42977,#9318); #6241=LINE('',#42980,#9319); #6242=LINE('',#42982,#9320); #6243=LINE('',#42984,#9321); #6244=LINE('',#42986,#9322); #6245=LINE('',#42988,#9323); #6246=LINE('',#42989,#9324); #6247=LINE('',#42992,#9325); #6248=LINE('',#42994,#9326); #6249=LINE('',#42996,#9327); #6250=LINE('',#42998,#9328); #6251=LINE('',#43000,#9329); #6252=LINE('',#43002,#9330); #6253=LINE('',#43004,#9331); #6254=LINE('',#43005,#9332); #6255=LINE('',#43008,#9333); #6256=LINE('',#43010,#9334); #6257=LINE('',#43012,#9335); #6258=LINE('',#43014,#9336); #6259=LINE('',#43016,#9337); #6260=LINE('',#43018,#9338); #6261=LINE('',#43020,#9339); #6262=LINE('',#43022,#9340); #6263=LINE('',#43024,#9341); #6264=LINE('',#43026,#9342); #6265=LINE('',#43028,#9343); #6266=LINE('',#43030,#9344); #6267=LINE('',#43032,#9345); #6268=LINE('',#43034,#9346); #6269=LINE('',#43036,#9347); #6270=LINE('',#43038,#9348); #6271=LINE('',#43040,#9349); #6272=LINE('',#43042,#9350); #6273=LINE('',#43044,#9351); #6274=LINE('',#43046,#9352); #6275=LINE('',#43048,#9353); #6276=LINE('',#43050,#9354); #6277=LINE('',#43052,#9355); #6278=LINE('',#43054,#9356); #6279=LINE('',#43056,#9357); #6280=LINE('',#43058,#9358); #6281=LINE('',#43060,#9359); #6282=LINE('',#43062,#9360); #6283=LINE('',#43064,#9361); #6284=LINE('',#43066,#9362); #6285=LINE('',#43068,#9363); #6286=LINE('',#43070,#9364); #6287=LINE('',#43072,#9365); #6288=LINE('',#43074,#9366); #6289=LINE('',#43076,#9367); #6290=LINE('',#43078,#9368); #6291=LINE('',#43080,#9369); #6292=LINE('',#43082,#9370); #6293=LINE('',#43084,#9371); #6294=LINE('',#43086,#9372); #6295=LINE('',#43088,#9373); #6296=LINE('',#43090,#9374); #6297=LINE('',#43092,#9375); #6298=LINE('',#43094,#9376); #6299=LINE('',#43096,#9377); #6300=LINE('',#43098,#9378); #6301=LINE('',#43100,#9379); #6302=LINE('',#43102,#9380); #6303=LINE('',#43104,#9381); #6304=LINE('',#43106,#9382); #6305=LINE('',#43108,#9383); #6306=LINE('',#43110,#9384); #6307=LINE('',#43112,#9385); #6308=LINE('',#43113,#9386); #6309=LINE('',#43116,#9387); #6310=LINE('',#43118,#9388); #6311=LINE('',#43120,#9389); #6312=LINE('',#43122,#9390); #6313=LINE('',#43124,#9391); #6314=LINE('',#43126,#9392); #6315=LINE('',#43128,#9393); #6316=LINE('',#43129,#9394); #6317=LINE('',#43133,#9395); #6318=LINE('',#43135,#9396); #6319=LINE('',#43137,#9397); #6320=LINE('',#43139,#9398); #6321=LINE('',#43141,#9399); #6322=LINE('',#43143,#9400); #6323=LINE('',#43145,#9401); #6324=LINE('',#43147,#9402); #6325=LINE('',#43149,#9403); #6326=LINE('',#43150,#9404); #6327=LINE('',#43154,#9405); #6328=LINE('',#43156,#9406); #6329=LINE('',#43157,#9407); #6330=LINE('',#43159,#9408); #6331=LINE('',#43160,#9409); #6332=LINE('',#43162,#9410); #6333=LINE('',#43164,#9411); #6334=LINE('',#43166,#9412); #6335=LINE('',#43168,#9413); #6336=LINE('',#43170,#9414); #6337=LINE('',#43172,#9415); #6338=LINE('',#43174,#9416); #6339=LINE('',#43176,#9417); #6340=LINE('',#43178,#9418); #6341=LINE('',#43180,#9419); #6342=LINE('',#43182,#9420); #6343=LINE('',#43184,#9421); #6344=LINE('',#43186,#9422); #6345=LINE('',#43188,#9423); #6346=LINE('',#43190,#9424); #6347=LINE('',#43192,#9425); #6348=LINE('',#43194,#9426); #6349=LINE('',#43196,#9427); #6350=LINE('',#43198,#9428); #6351=LINE('',#43200,#9429); #6352=LINE('',#43202,#9430); #6353=LINE('',#43204,#9431); #6354=LINE('',#43206,#9432); #6355=LINE('',#43208,#9433); #6356=LINE('',#43210,#9434); #6357=LINE('',#43212,#9435); #6358=LINE('',#43214,#9436); #6359=LINE('',#43216,#9437); #6360=LINE('',#43218,#9438); #6361=LINE('',#43220,#9439); #6362=LINE('',#43222,#9440); #6363=LINE('',#43224,#9441); #6364=LINE('',#43226,#9442); #6365=LINE('',#43228,#9443); #6366=LINE('',#43230,#9444); #6367=LINE('',#43232,#9445); #6368=LINE('',#43234,#9446); #6369=LINE('',#43236,#9447); #6370=LINE('',#43238,#9448); #6371=LINE('',#43240,#9449); #6372=LINE('',#43242,#9450); #6373=LINE('',#43244,#9451); #6374=LINE('',#43246,#9452); #6375=LINE('',#43248,#9453); #6376=LINE('',#43250,#9454); #6377=LINE('',#43252,#9455); #6378=LINE('',#43254,#9456); #6379=LINE('',#43256,#9457); #6380=LINE('',#43258,#9458); #6381=LINE('',#43260,#9459); #6382=LINE('',#43262,#9460); #6383=LINE('',#43264,#9461); #6384=LINE('',#43267,#9462); #6385=LINE('',#43268,#9463); #6386=LINE('',#43270,#9464); #6387=LINE('',#43272,#9465); #6388=LINE('',#43274,#9466); #6389=LINE('',#43276,#9467); #6390=LINE('',#43278,#9468); #6391=LINE('',#43280,#9469); #6392=LINE('',#43282,#9470); #6393=LINE('',#43285,#9471); #6394=LINE('',#43286,#9472); #6395=LINE('',#43288,#9473); #6396=LINE('',#43290,#9474); #6397=LINE('',#43292,#9475); #6398=LINE('',#43294,#9476); #6399=LINE('',#43296,#9477); #6400=LINE('',#43298,#9478); #6401=LINE('',#43300,#9479); #6402=LINE('',#43302,#9480); #6403=LINE('',#43304,#9481); #6404=LINE('',#43306,#9482); #6405=LINE('',#43308,#9483); #6406=LINE('',#43310,#9484); #6407=LINE('',#43312,#9485); #6408=LINE('',#43314,#9486); #6409=LINE('',#43316,#9487); #6410=LINE('',#43318,#9488); #6411=LINE('',#43320,#9489); #6412=LINE('',#43322,#9490); #6413=LINE('',#43324,#9491); #6414=LINE('',#43326,#9492); #6415=LINE('',#43328,#9493); #6416=LINE('',#43330,#9494); #6417=LINE('',#43332,#9495); #6418=LINE('',#43334,#9496); #6419=LINE('',#43336,#9497); #6420=LINE('',#43338,#9498); #6421=LINE('',#43340,#9499); #6422=LINE('',#43342,#9500); #6423=LINE('',#43344,#9501); #6424=LINE('',#43346,#9502); #6425=LINE('',#43348,#9503); #6426=LINE('',#43350,#9504); #6427=LINE('',#43352,#9505); #6428=LINE('',#43354,#9506); #6429=LINE('',#43356,#9507); #6430=LINE('',#43358,#9508); #6431=LINE('',#43360,#9509); #6432=LINE('',#43362,#9510); #6433=LINE('',#43364,#9511); #6434=LINE('',#43366,#9512); #6435=LINE('',#43368,#9513); #6436=LINE('',#43370,#9514); #6437=LINE('',#43372,#9515); #6438=LINE('',#43374,#9516); #6439=LINE('',#43376,#9517); #6440=LINE('',#43378,#9518); #6441=LINE('',#43380,#9519); #6442=LINE('',#43382,#9520); #6443=LINE('',#43384,#9521); #6444=LINE('',#43386,#9522); #6445=LINE('',#43388,#9523); #6446=LINE('',#43390,#9524); #6447=LINE('',#43393,#9525); #6448=LINE('',#43394,#9526); #6449=LINE('',#43396,#9527); #6450=LINE('',#43398,#9528); #6451=LINE('',#43400,#9529); #6452=LINE('',#43402,#9530); #6453=LINE('',#43404,#9531); #6454=LINE('',#43406,#9532); #6455=LINE('',#43409,#9533); #6456=LINE('',#43410,#9534); #6457=LINE('',#43412,#9535); #6458=LINE('',#43414,#9536); #6459=LINE('',#43416,#9537); #6460=LINE('',#43418,#9538); #6461=LINE('',#43420,#9539); #6462=LINE('',#43422,#9540); #6463=LINE('',#43424,#9541); #6464=LINE('',#43426,#9542); #6465=LINE('',#43428,#9543); #6466=LINE('',#43430,#9544); #6467=LINE('',#43433,#9545); #6468=LINE('',#43434,#9546); #6469=LINE('',#43436,#9547); #6470=LINE('',#43438,#9548); #6471=LINE('',#43440,#9549); #6472=LINE('',#43442,#9550); #6473=LINE('',#43444,#9551); #6474=LINE('',#43446,#9552); #6475=LINE('',#43448,#9553); #6476=LINE('',#43450,#9554); #6477=LINE('',#43452,#9555); #6478=LINE('',#43454,#9556); #6479=LINE('',#43456,#9557); #6480=LINE('',#43459,#9558); #6481=LINE('',#43460,#9559); #6482=LINE('',#43462,#9560); #6483=LINE('',#43464,#9561); #6484=LINE('',#43466,#9562); #6485=LINE('',#43468,#9563); #6486=LINE('',#43470,#9564); #6487=LINE('',#43472,#9565); #6488=LINE('',#43474,#9566); #6489=LINE('',#43476,#9567); #6490=LINE('',#43478,#9568); #6491=LINE('',#43480,#9569); #6492=LINE('',#43482,#9570); #6493=LINE('',#43484,#9571); #6494=LINE('',#43487,#9572); #6495=LINE('',#43488,#9573); #6496=LINE('',#43490,#9574); #6497=LINE('',#43492,#9575); #6498=LINE('',#43494,#9576); #6499=LINE('',#43496,#9577); #6500=LINE('',#43498,#9578); #6501=LINE('',#43500,#9579); #6502=LINE('',#43502,#9580); #6503=LINE('',#43504,#9581); #6504=LINE('',#43507,#9582); #6505=LINE('',#43508,#9583); #6506=LINE('',#43510,#9584); #6507=LINE('',#43512,#9585); #6508=LINE('',#43514,#9586); #6509=LINE('',#43516,#9587); #6510=LINE('',#43519,#9588); #6511=LINE('',#43520,#9589); #6512=LINE('',#43522,#9590); #6513=LINE('',#43524,#9591); #6514=LINE('',#43526,#9592); #6515=LINE('',#43528,#9593); #6516=LINE('',#43530,#9594); #6517=LINE('',#43532,#9595); #6518=LINE('',#43535,#9596); #6519=LINE('',#43536,#9597); #6520=LINE('',#43538,#9598); #6521=LINE('',#43541,#9599); #6522=LINE('',#43542,#9600); #6523=LINE('',#43544,#9601); #6524=LINE('',#43546,#9602); #6525=LINE('',#43548,#9603); #6526=LINE('',#43550,#9604); #6527=LINE('',#43552,#9605); #6528=LINE('',#43554,#9606); #6529=LINE('',#43556,#9607); #6530=LINE('',#43558,#9608); #6531=LINE('',#43560,#9609); #6532=LINE('',#43562,#9610); #6533=LINE('',#43564,#9611); #6534=LINE('',#43566,#9612); #6535=LINE('',#43568,#9613); #6536=LINE('',#43570,#9614); #6537=LINE('',#43572,#9615); #6538=LINE('',#43574,#9616); #6539=LINE('',#43576,#9617); #6540=LINE('',#43578,#9618); #6541=LINE('',#43580,#9619); #6542=LINE('',#43582,#9620); #6543=LINE('',#43584,#9621); #6544=LINE('',#43586,#9622); #6545=LINE('',#43588,#9623); #6546=LINE('',#43590,#9624); #6547=LINE('',#43592,#9625); #6548=LINE('',#43594,#9626); #6549=LINE('',#43596,#9627); #6550=LINE('',#43598,#9628); #6551=LINE('',#43600,#9629); #6552=LINE('',#43602,#9630); #6553=LINE('',#43604,#9631); #6554=LINE('',#43606,#9632); #6555=LINE('',#43608,#9633); #6556=LINE('',#43610,#9634); #6557=LINE('',#43612,#9635); #6558=LINE('',#43614,#9636); #6559=LINE('',#43616,#9637); #6560=LINE('',#43618,#9638); #6561=LINE('',#43620,#9639); #6562=LINE('',#43622,#9640); #6563=LINE('',#43624,#9641); #6564=LINE('',#43626,#9642); #6565=LINE('',#43628,#9643); #6566=LINE('',#43630,#9644); #6567=LINE('',#43632,#9645); #6568=LINE('',#43634,#9646); #6569=LINE('',#43636,#9647); #6570=LINE('',#43638,#9648); #6571=LINE('',#43640,#9649); #6572=LINE('',#43642,#9650); #6573=LINE('',#43644,#9651); #6574=LINE('',#43646,#9652); #6575=LINE('',#43649,#9653); #6576=LINE('',#43650,#9654); #6577=LINE('',#43652,#9655); #6578=LINE('',#43654,#9656); #6579=LINE('',#43656,#9657); #6580=LINE('',#43658,#9658); #6581=LINE('',#43660,#9659); #6582=LINE('',#43662,#9660); #6583=LINE('',#43676,#9661); #6584=LINE('',#43684,#9662); #6585=LINE('',#43695,#9663); #6586=LINE('',#43697,#9664); #6587=LINE('',#43698,#9665); #6588=LINE('',#43750,#9666); #6589=LINE('',#43751,#9667); #6590=LINE('',#43752,#9668); #6591=LINE('',#43799,#9669); #6592=LINE('',#43800,#9670); #6593=LINE('',#43802,#9671); #6594=LINE('',#43804,#9672); #6595=LINE('',#43814,#9673); #6596=LINE('',#43816,#9674); #6597=LINE('',#43818,#9675); #6598=LINE('',#43819,#9676); #6599=LINE('',#43824,#9677); #6600=LINE('',#43828,#9678); #6601=LINE('',#43830,#9679); #6602=LINE('',#43831,#9680); #6603=LINE('',#43842,#9681); #6604=LINE('',#43846,#9682); #6605=LINE('',#43851,#9683); #6606=LINE('',#43856,#9684); #6607=LINE('',#43859,#9685); #6608=LINE('',#43883,#9686); #6609=LINE('',#43910,#9687); #6610=LINE('',#43915,#9688); #6611=LINE('',#43921,#9689); #6612=LINE('',#43926,#9690); #6613=LINE('',#43933,#9691); #6614=LINE('',#43936,#9692); #6615=LINE('',#43938,#9693); #6616=LINE('',#43939,#9694); #6617=LINE('',#43941,#9695); #6618=LINE('',#43943,#9696); #6619=LINE('',#43950,#9697); #6620=LINE('',#43973,#9698); #6621=LINE('',#43976,#9699); #6622=LINE('',#43978,#9700); #6623=LINE('',#43979,#9701); #6624=LINE('',#43982,#9702); #6625=LINE('',#43986,#9703); #6626=LINE('',#44009,#9704); #6627=LINE('',#44014,#9705); #6628=LINE('',#44025,#9706); #6629=LINE('',#44030,#9707); #6630=LINE('',#44039,#9708); #6631=LINE('',#44045,#9709); #6632=LINE('',#44060,#9710); #6633=LINE('',#44067,#9711); #6634=LINE('',#44080,#9712); #6635=LINE('',#44082,#9713); #6636=LINE('',#44084,#9714); #6637=LINE('',#44086,#9715); #6638=LINE('',#44088,#9716); #6639=LINE('',#44089,#9717); #6640=LINE('',#44091,#9718); #6641=LINE('',#44098,#9719); #6642=LINE('',#44099,#9720); #6643=LINE('',#44105,#9721); #6644=LINE('',#44111,#9722); #6645=LINE('',#44117,#9723); #6646=LINE('',#44124,#9724); #6647=LINE('',#44134,#9725); #6648=LINE('',#44149,#9726); #6649=LINE('',#44156,#9727); #6650=LINE('',#44169,#9728); #6651=LINE('',#44171,#9729); #6652=LINE('',#44173,#9730); #6653=LINE('',#44175,#9731); #6654=LINE('',#44177,#9732); #6655=LINE('',#44178,#9733); #6656=LINE('',#44180,#9734); #6657=LINE('',#44187,#9735); #6658=LINE('',#44188,#9736); #6659=LINE('',#44194,#9737); #6660=LINE('',#44200,#9738); #6661=LINE('',#44206,#9739); #6662=LINE('',#44213,#9740); #6663=LINE('',#44223,#9741); #6664=LINE('',#44229,#9742); #6665=LINE('',#44235,#9743); #6666=LINE('',#44240,#9744); #6667=LINE('',#44242,#9745); #6668=LINE('',#44244,#9746); #6669=LINE('',#44246,#9747); #6670=LINE('',#44248,#9748); #6671=LINE('',#44250,#9749); #6672=LINE('',#44252,#9750); #6673=LINE('',#44254,#9751); #6674=LINE('',#44256,#9752); #6675=LINE('',#44258,#9753); #6676=LINE('',#44260,#9754); #6677=LINE('',#44262,#9755); #6678=LINE('',#44264,#9756); #6679=LINE('',#44265,#9757); #6680=LINE('',#44269,#9758); #6681=LINE('',#44270,#9759); #6682=LINE('',#44271,#9760); #6683=LINE('',#44274,#9761); #6684=LINE('',#44275,#9762); #6685=LINE('',#44278,#9763); #6686=LINE('',#44279,#9764); #6687=LINE('',#44282,#9765); #6688=LINE('',#44283,#9766); #6689=LINE('',#44286,#9767); #6690=LINE('',#44287,#9768); #6691=LINE('',#44290,#9769); #6692=LINE('',#44291,#9770); #6693=LINE('',#44294,#9771); #6694=LINE('',#44295,#9772); #6695=LINE('',#44298,#9773); #6696=LINE('',#44299,#9774); #6697=LINE('',#44302,#9775); #6698=LINE('',#44303,#9776); #6699=LINE('',#44306,#9777); #6700=LINE('',#44307,#9778); #6701=LINE('',#44310,#9779); #6702=LINE('',#44311,#9780); #6703=LINE('',#44314,#9781); #6704=LINE('',#44315,#9782); #6705=LINE('',#44318,#9783); #6706=LINE('',#44319,#9784); #6707=LINE('',#44321,#9785); #6708=LINE('',#44332,#9786); #6709=LINE('',#44335,#9787); #6710=LINE('',#44345,#9788); #6711=LINE('',#44348,#9789); #6712=LINE('',#44358,#9790); #6713=LINE('',#44361,#9791); #6714=LINE('',#44371,#9792); #6715=LINE('',#44374,#9793); #6716=LINE('',#44379,#9794); #6717=LINE('',#44381,#9795); #6718=LINE('',#44383,#9796); #6719=LINE('',#44384,#9797); #6720=LINE('',#44390,#9798); #6721=LINE('',#44393,#9799); #6722=LINE('',#44395,#9800); #6723=LINE('',#44396,#9801); #6724=LINE('',#44401,#9802); #6725=LINE('',#44403,#9803); #6726=LINE('',#44405,#9804); #6727=LINE('',#44409,#9805); #6728=LINE('',#44413,#9806); #6729=LINE('',#44415,#9807); #6730=LINE('',#44416,#9808); #6731=LINE('',#44420,#9809); #6732=LINE('',#44423,#9810); #6733=LINE('',#44424,#9811); #6734=LINE('',#44428,#9812); #6735=LINE('',#44432,#9813); #6736=LINE('',#44436,#9814); #6737=LINE('',#44438,#9815); #6738=LINE('',#44440,#9816); #6739=LINE('',#44441,#9817); #6740=LINE('',#44444,#9818); #6741=LINE('',#44446,#9819); #6742=LINE('',#44447,#9820); #6743=LINE('',#44449,#9821); #6744=LINE('',#44450,#9822); #6745=LINE('',#44453,#9823); #6746=LINE('',#44455,#9824); #6747=LINE('',#44456,#9825); #6748=LINE('',#44461,#9826); #6749=LINE('',#44465,#9827); #6750=LINE('',#44467,#9828); #6751=LINE('',#44468,#9829); #6752=LINE('',#44473,#9830); #6753=LINE('',#44489,#9831); #6754=LINE('',#44492,#9832); #6755=LINE('',#44502,#9833); #6756=LINE('',#44505,#9834); #6757=LINE('',#44515,#9835); #6758=LINE('',#44518,#9836); #6759=LINE('',#44528,#9837); #6760=LINE('',#44531,#9838); #6761=LINE('',#44536,#9839); #6762=LINE('',#44538,#9840); #6763=LINE('',#44540,#9841); #6764=LINE('',#44541,#9842); #6765=LINE('',#44546,#9843); #6766=LINE('',#44550,#9844); #6767=LINE('',#44552,#9845); #6768=LINE('',#44553,#9846); #6769=LINE('',#44561,#9847); #6770=LINE('',#44565,#9848); #6771=LINE('',#44566,#9849); #6772=LINE('',#44567,#9850); #6773=LINE('',#44570,#9851); #6774=LINE('',#44572,#9852); #6775=LINE('',#44573,#9853); #6776=LINE('',#44576,#9854); #6777=LINE('',#44580,#9855); #6778=LINE('',#44581,#9856); #6779=LINE('',#44584,#9857); #6780=LINE('',#44588,#9858); #6781=LINE('',#44593,#9859); #6782=LINE('',#44595,#9860); #6783=LINE('',#44597,#9861); #6784=LINE('',#44598,#9862); #6785=LINE('',#44601,#9863); #6786=LINE('',#44603,#9864); #6787=LINE('',#44604,#9865); #6788=LINE('',#44606,#9866); #6789=LINE('',#44607,#9867); #6790=LINE('',#44610,#9868); #6791=LINE('',#44612,#9869); #6792=LINE('',#44613,#9870); #6793=LINE('',#44619,#9871); #6794=LINE('',#44622,#9872); #6795=LINE('',#44624,#9873); #6796=LINE('',#44625,#9874); #6797=LINE('',#44631,#9875); #6798=LINE('',#44642,#9876); #6799=LINE('',#44646,#9877); #6800=LINE('',#44651,#9878); #6801=LINE('',#44655,#9879); #6802=LINE('',#44659,#9880); #6803=LINE('',#44661,#9881); #6804=LINE('',#44663,#9882); #6805=LINE('',#44665,#9883); #6806=LINE('',#44667,#9884); #6807=LINE('',#44668,#9885); #6808=LINE('',#44672,#9886); #6809=LINE('',#44674,#9887); #6810=LINE('',#44676,#9888); #6811=LINE('',#44678,#9889); #6812=LINE('',#44680,#9890); #6813=LINE('',#44681,#9891); #6814=LINE('',#44683,#9892); #6815=LINE('',#44684,#9893); #6816=LINE('',#44687,#9894); #6817=LINE('',#44689,#9895); #6818=LINE('',#44690,#9896); #6819=LINE('',#44693,#9897); #6820=LINE('',#44694,#9898); #6821=LINE('',#44697,#9899); #6822=LINE('',#44699,#9900); #6823=LINE('',#44701,#9901); #6824=LINE('',#44703,#9902); #6825=LINE('',#44705,#9903); #6826=LINE('',#44707,#9904); #6827=LINE('',#44709,#9905); #6828=LINE('',#44710,#9906); #6829=LINE('',#44729,#9907); #6830=LINE('',#44730,#9908); #6831=LINE('',#44739,#9909); #6832=LINE('',#44741,#9910); #6833=LINE('',#44750,#9911); #6834=LINE('',#44752,#9912); #6835=LINE('',#44761,#9913); #6836=LINE('',#44763,#9914); #6837=LINE('',#44772,#9915); #6838=LINE('',#44774,#9916); #6839=LINE('',#44778,#9917); #6840=LINE('',#44780,#9918); #6841=LINE('',#44781,#9919); #6842=LINE('',#44783,#9920); #6843=LINE('',#44784,#9921); #6844=LINE('',#44787,#9922); #6845=LINE('',#44788,#9923); #6846=LINE('',#44792,#9924); #6847=LINE('',#44794,#9925); #6848=LINE('',#44795,#9926); #6849=LINE('',#44796,#9927); #6850=LINE('',#44799,#9928); #6851=LINE('',#44801,#9929); #6852=LINE('',#44802,#9930); #6853=LINE('',#44805,#9931); #6854=LINE('',#44807,#9932); #6855=LINE('',#44808,#9933); #6856=LINE('',#44811,#9934); #6857=LINE('',#44812,#9935); #6858=LINE('',#44815,#9936); #6859=LINE('',#44816,#9937); #6860=LINE('',#44818,#9938); #6861=LINE('',#44821,#9939); #6862=LINE('',#44823,#9940); #6863=LINE('',#44824,#9941); #6864=LINE('',#44827,#9942); #6865=LINE('',#44828,#9943); #6866=LINE('',#44830,#9944); #6867=LINE('',#44832,#9945); #6868=LINE('',#44838,#9946); #6869=LINE('',#44848,#9947); #6870=LINE('',#44856,#9948); #6871=LINE('',#44862,#9949); #6872=LINE('',#44866,#9950); #6873=LINE('',#44872,#9951); #6874=LINE('',#44876,#9952); #6875=LINE('',#44882,#9953); #6876=LINE('',#44886,#9954); #6877=LINE('',#44892,#9955); #6878=LINE('',#44898,#9956); #6879=LINE('',#44903,#9957); #6880=LINE('',#44908,#9958); #6881=LINE('',#44913,#9959); #6882=LINE('',#44918,#9960); #6883=LINE('',#44923,#9961); #6884=LINE('',#44928,#9962); #6885=LINE('',#44933,#9963); #6886=LINE('',#44940,#9964); #6887=LINE('',#44942,#9965); #6888=LINE('',#44949,#9966); #6889=LINE('',#44951,#9967); #6890=LINE('',#44958,#9968); #6891=LINE('',#44960,#9969); #6892=LINE('',#44967,#9970); #6893=LINE('',#44969,#9971); #6894=LINE('',#44984,#9972); #6895=LINE('',#44991,#9973); #6896=LINE('',#45004,#9974); #6897=LINE('',#45006,#9975); #6898=LINE('',#45008,#9976); #6899=LINE('',#45010,#9977); #6900=LINE('',#45012,#9978); #6901=LINE('',#45013,#9979); #6902=LINE('',#45015,#9980); #6903=LINE('',#45022,#9981); #6904=LINE('',#45023,#9982); #6905=LINE('',#45029,#9983); #6906=LINE('',#45035,#9984); #6907=LINE('',#45041,#9985); #6908=LINE('',#45048,#9986); #6909=LINE('',#45058,#9987); #6910=LINE('',#45065,#9988); #6911=LINE('',#45068,#9989); #6912=LINE('',#45071,#9990); #6913=LINE('',#45073,#9991); #6914=LINE('',#45074,#9992); #6915=LINE('',#45080,#9993); #6916=LINE('',#45082,#9994); #6917=LINE('',#45083,#9995); #6918=LINE('',#45089,#9996); #6919=LINE('',#45092,#9997); #6920=LINE('',#45095,#9998); #6921=LINE('',#45097,#9999); #6922=LINE('',#45098,#10000); #6923=LINE('',#45104,#10001); #6924=LINE('',#45106,#10002); #6925=LINE('',#45107,#10003); #6926=LINE('',#45113,#10004); #6927=LINE('',#45116,#10005); #6928=LINE('',#45119,#10006); #6929=LINE('',#45121,#10007); #6930=LINE('',#45122,#10008); #6931=LINE('',#45128,#10009); #6932=LINE('',#45130,#10010); #6933=LINE('',#45131,#10011); #6934=LINE('',#45137,#10012); #6935=LINE('',#45140,#10013); #6936=LINE('',#45143,#10014); #6937=LINE('',#45145,#10015); #6938=LINE('',#45146,#10016); #6939=LINE('',#45152,#10017); #6940=LINE('',#45155,#10018); #6941=LINE('',#45157,#10019); #6942=LINE('',#45158,#10020); #6943=LINE('',#45164,#10021); #6944=LINE('',#45167,#10022); #6945=LINE('',#45169,#10023); #6946=LINE('',#45170,#10024); #6947=LINE('',#45176,#10025); #6948=LINE('',#45178,#10026); #6949=LINE('',#45179,#10027); #6950=LINE('',#45186,#10028); #6951=LINE('',#45189,#10029); #6952=LINE('',#45192,#10030); #6953=LINE('',#45194,#10031); #6954=LINE('',#45195,#10032); #6955=LINE('',#45201,#10033); #6956=LINE('',#45204,#10034); #6957=LINE('',#45206,#10035); #6958=LINE('',#45207,#10036); #6959=LINE('',#45213,#10037); #6960=LINE('',#45216,#10038); #6961=LINE('',#45218,#10039); #6962=LINE('',#45219,#10040); #6963=LINE('',#45225,#10041); #6964=LINE('',#45227,#10042); #6965=LINE('',#45228,#10043); #6966=LINE('',#45235,#10044); #6967=LINE('',#45238,#10045); #6968=LINE('',#45241,#10046); #6969=LINE('',#45243,#10047); #6970=LINE('',#45244,#10048); #6971=LINE('',#45250,#10049); #6972=LINE('',#45253,#10050); #6973=LINE('',#45255,#10051); #6974=LINE('',#45256,#10052); #6975=LINE('',#45262,#10053); #6976=LINE('',#45265,#10054); #6977=LINE('',#45267,#10055); #6978=LINE('',#45268,#10056); #6979=LINE('',#45274,#10057); #6980=LINE('',#45276,#10058); #6981=LINE('',#45277,#10059); #6982=LINE('',#45284,#10060); #6983=LINE('',#45287,#10061); #6984=LINE('',#45290,#10062); #6985=LINE('',#45292,#10063); #6986=LINE('',#45293,#10064); #6987=LINE('',#45298,#10065); #6988=LINE('',#45302,#10066); #6989=LINE('',#45304,#10067); #6990=LINE('',#45305,#10068); #6991=LINE('',#45310,#10069); #6992=LINE('',#45314,#10070); #6993=LINE('',#45316,#10071); #6994=LINE('',#45317,#10072); #6995=LINE('',#45322,#10073); #6996=LINE('',#45325,#10074); #6997=LINE('',#45326,#10075); #6998=LINE('',#45333,#10076); #6999=LINE('',#45336,#10077); #7000=LINE('',#45339,#10078); #7001=LINE('',#45341,#10079); #7002=LINE('',#45342,#10080); #7003=LINE('',#45347,#10081); #7004=LINE('',#45351,#10082); #7005=LINE('',#45353,#10083); #7006=LINE('',#45354,#10084); #7007=LINE('',#45359,#10085); #7008=LINE('',#45363,#10086); #7009=LINE('',#45365,#10087); #7010=LINE('',#45366,#10088); #7011=LINE('',#45371,#10089); #7012=LINE('',#45374,#10090); #7013=LINE('',#45375,#10091); #7014=LINE('',#45382,#10092); #7015=LINE('',#45385,#10093); #7016=LINE('',#45388,#10094); #7017=LINE('',#45390,#10095); #7018=LINE('',#45391,#10096); #7019=LINE('',#45396,#10097); #7020=LINE('',#45400,#10098); #7021=LINE('',#45402,#10099); #7022=LINE('',#45403,#10100); #7023=LINE('',#45408,#10101); #7024=LINE('',#45412,#10102); #7025=LINE('',#45414,#10103); #7026=LINE('',#45415,#10104); #7027=LINE('',#45420,#10105); #7028=LINE('',#45423,#10106); #7029=LINE('',#45424,#10107); #7030=LINE('',#45429,#10108); #7031=LINE('',#45433,#10109); #7032=LINE('',#45437,#10110); #7033=LINE('',#45441,#10111); #7034=LINE('',#45445,#10112); #7035=LINE('',#45449,#10113); #7036=LINE('',#45453,#10114); #7037=LINE('',#45456,#10115); #7038=LINE('',#45459,#10116); #7039=LINE('',#45460,#10117); #7040=LINE('',#45464,#10118); #7041=LINE('',#45467,#10119); #7042=LINE('',#45468,#10120); #7043=LINE('',#45472,#10121); #7044=LINE('',#45475,#10122); #7045=LINE('',#45476,#10123); #7046=LINE('',#45480,#10124); #7047=LINE('',#45483,#10125); #7048=LINE('',#45484,#10126); #7049=LINE('',#45488,#10127); #7050=LINE('',#45491,#10128); #7051=LINE('',#45492,#10129); #7052=LINE('',#45496,#10130); #7053=LINE('',#45498,#10131); #7054=LINE('',#45504,#10132); #7055=LINE('',#45506,#10133); #7056=LINE('',#45508,#10134); #7057=LINE('',#45515,#10135); #7058=LINE('',#45519,#10136); #7059=LINE('',#45522,#10137); #7060=LINE('',#45524,#10138); #7061=LINE('',#45530,#10139); #7062=LINE('',#45532,#10140); #7063=LINE('',#45539,#10141); #7064=LINE('',#45543,#10142); #7065=LINE('',#45547,#10143); #7066=LINE('',#45551,#10144); #7067=LINE('',#45555,#10145); #7068=LINE('',#45563,#10146); #7069=LINE('',#45565,#10147); #7070=LINE('',#45573,#10148); #7071=LINE('',#45579,#10149); #7072=LINE('',#45586,#10150); #7073=LINE('',#45592,#10151); #7074=LINE('',#45610,#10152); #7075=LINE('',#45617,#10153); #7076=LINE('',#45630,#10154); #7077=LINE('',#45632,#10155); #7078=LINE('',#45634,#10156); #7079=LINE('',#45636,#10157); #7080=LINE('',#45638,#10158); #7081=LINE('',#45639,#10159); #7082=LINE('',#45641,#10160); #7083=LINE('',#45648,#10161); #7084=LINE('',#45649,#10162); #7085=LINE('',#45655,#10163); #7086=LINE('',#45661,#10164); #7087=LINE('',#45667,#10165); #7088=LINE('',#45674,#10166); #7089=LINE('',#45684,#10167); #7090=LINE('',#45689,#10168); #7091=LINE('',#45691,#10169); #7092=LINE('',#45693,#10170); #7093=LINE('',#45694,#10171); #7094=LINE('',#45697,#10172); #7095=LINE('',#45699,#10173); #7096=LINE('',#45700,#10174); #7097=LINE('',#45703,#10175); #7098=LINE('',#45705,#10176); #7099=LINE('',#45706,#10177); #7100=LINE('',#45709,#10178); #7101=LINE('',#45711,#10179); #7102=LINE('',#45712,#10180); #7103=LINE('',#45715,#10181); #7104=LINE('',#45717,#10182); #7105=LINE('',#45718,#10183); #7106=LINE('',#45720,#10184); #7107=LINE('',#45721,#10185); #7108=LINE('',#45735,#10186); #7109=LINE('',#45746,#10187); #7110=LINE('',#45750,#10188); #7111=LINE('',#45754,#10189); #7112=LINE('',#45767,#10190); #7113=LINE('',#45781,#10191); #7114=LINE('',#45792,#10192); #7115=LINE('',#45796,#10193); #7116=LINE('',#45803,#10194); #7117=LINE('',#45805,#10195); #7118=LINE('',#45807,#10196); #7119=LINE('',#45808,#10197); #7120=LINE('',#45813,#10198); #7121=LINE('',#45817,#10199); #7122=LINE('',#45819,#10200); #7123=LINE('',#45820,#10201); #7124=LINE('',#45834,#10202); #7125=LINE('',#45840,#10203); #7126=LINE('',#45842,#10204); #7127=LINE('',#45843,#10205); #7128=LINE('',#45850,#10206); #7129=LINE('',#45854,#10207); #7130=LINE('',#45859,#10208); #7131=LINE('',#45874,#10209); #7132=LINE('',#45875,#10210); #7133=LINE('',#45882,#10211); #7134=LINE('',#45891,#10212); #7135=LINE('',#45893,#10213); #7136=LINE('',#45898,#10214); #7137=LINE('',#45901,#10215); #7138=LINE('',#45908,#10216); #7139=LINE('',#45914,#10217); #7140=LINE('',#45920,#10218); #7141=LINE('',#45926,#10219); #7142=LINE('',#45933,#10220); #7143=LINE('',#45937,#10221); #7144=LINE('',#45939,#10222); #7145=LINE('',#45941,#10223); #7146=LINE('',#45943,#10224); #7147=LINE('',#45949,#10225); #7148=LINE('',#45953,#10226); #7149=LINE('',#45957,#10227); #7150=LINE('',#45959,#10228); #7151=LINE('',#45960,#10229); #7152=LINE('',#45964,#10230); #7153=LINE('',#45967,#10231); #7154=LINE('',#45968,#10232); #7155=LINE('',#45971,#10233); #7156=LINE('',#45972,#10234); #7157=LINE('',#45975,#10235); #7158=LINE('',#45976,#10236); #7159=LINE('',#45979,#10237); #7160=LINE('',#45980,#10238); #7161=LINE('',#45984,#10239); #7162=LINE('',#45988,#10240); #7163=LINE('',#45991,#10241); #7164=LINE('',#45992,#10242); #7165=LINE('',#45996,#10243); #7166=LINE('',#45999,#10244); #7167=LINE('',#46000,#10245); #7168=LINE('',#46004,#10246); #7169=LINE('',#46013,#10247); #7170=LINE('',#46019,#10248); #7171=LINE('',#46025,#10249); #7172=LINE('',#46031,#10250); #7173=LINE('',#46038,#10251); #7174=LINE('',#46042,#10252); #7175=LINE('',#46044,#10253); #7176=LINE('',#46046,#10254); #7177=LINE('',#46048,#10255); #7178=LINE('',#46054,#10256); #7179=LINE('',#46058,#10257); #7180=LINE('',#46062,#10258); #7181=LINE('',#46064,#10259); #7182=LINE('',#46065,#10260); #7183=LINE('',#46069,#10261); #7184=LINE('',#46072,#10262); #7185=LINE('',#46073,#10263); #7186=LINE('',#46076,#10264); #7187=LINE('',#46077,#10265); #7188=LINE('',#46080,#10266); #7189=LINE('',#46081,#10267); #7190=LINE('',#46084,#10268); #7191=LINE('',#46085,#10269); #7192=LINE('',#46089,#10270); #7193=LINE('',#46093,#10271); #7194=LINE('',#46096,#10272); #7195=LINE('',#46097,#10273); #7196=LINE('',#46101,#10274); #7197=LINE('',#46104,#10275); #7198=LINE('',#46105,#10276); #7199=LINE('',#46109,#10277); #7200=LINE('',#46127,#10278); #7201=LINE('',#46134,#10279); #7202=LINE('',#46147,#10280); #7203=LINE('',#46149,#10281); #7204=LINE('',#46151,#10282); #7205=LINE('',#46153,#10283); #7206=LINE('',#46155,#10284); #7207=LINE('',#46156,#10285); #7208=LINE('',#46158,#10286); #7209=LINE('',#46165,#10287); #7210=LINE('',#46166,#10288); #7211=LINE('',#46172,#10289); #7212=LINE('',#46178,#10290); #7213=LINE('',#46184,#10291); #7214=LINE('',#46191,#10292); #7215=LINE('',#46201,#10293); #7216=LINE('',#46217,#10294); #7217=LINE('',#46229,#10295); #7218=LINE('',#46234,#10296); #7219=LINE('',#46236,#10297); #7220=LINE('',#46238,#10298); #7221=LINE('',#46242,#10299); #7222=LINE('',#46256,#10300); #7223=LINE('',#46271,#10301); #7224=LINE('',#46283,#10302); #7225=LINE('',#46286,#10303); #7226=LINE('',#46288,#10304); #7227=LINE('',#46289,#10305); #7228=LINE('',#46294,#10306); #7229=LINE('',#46309,#10307); #7230=LINE('',#46321,#10308); #7231=LINE('',#46326,#10309); #7232=LINE('',#46330,#10310); #7233=LINE('',#46332,#10311); #7234=LINE('',#46334,#10312); #7235=LINE('',#46337,#10313); #7236=LINE('',#46340,#10314); #7237=LINE('',#46353,#10315); #7238=LINE('',#46357,#10316); #7239=LINE('',#46359,#10317); #7240=LINE('',#46361,#10318); #7241=LINE('',#46365,#10319); #7242=LINE('',#46372,#10320); #7243=LINE('',#46375,#10321); #7244=LINE('',#46377,#10322); #7245=LINE('',#46378,#10323); #7246=LINE('',#46381,#10324); #7247=LINE('',#46384,#10325); #7248=LINE('',#46430,#10326); #7249=LINE('',#46444,#10327); #7250=LINE('',#46448,#10328); #7251=LINE('',#46449,#10329); #7252=LINE('',#46451,#10330); #7253=LINE('',#46454,#10331); #7254=LINE('',#46456,#10332); #7255=LINE('',#46458,#10333); #7256=LINE('',#46460,#10334); #7257=LINE('',#46463,#10335); #7258=LINE('',#46465,#10336); #7259=LINE('',#46468,#10337); #7260=LINE('',#46470,#10338); #7261=LINE('',#46471,#10339); #7262=LINE('',#46474,#10340); #7263=LINE('',#46476,#10341); #7264=LINE('',#46477,#10342); #7265=LINE('',#46482,#10343); #7266=LINE('',#46513,#10344); #7267=LINE('',#46519,#10345); #7268=LINE('',#46558,#10346); #7269=LINE('',#46562,#10347); #7270=LINE('',#46565,#10348); #7271=LINE('',#46568,#10349); #7272=LINE('',#46569,#10350); #7273=LINE('',#46572,#10351); #7274=LINE('',#46573,#10352); #7275=LINE('',#46576,#10353); #7276=LINE('',#46577,#10354); #7277=LINE('',#46579,#10355); #7278=LINE('',#46585,#10356); #7279=LINE('',#46589,#10357); #7280=LINE('',#46593,#10358); #7281=LINE('',#46597,#10359); #7282=LINE('',#46598,#10360); #7283=LINE('',#46601,#10361); #7284=LINE('',#46605,#10362); #7285=LINE('',#46609,#10363); #7286=LINE('',#46613,#10364); #7287=LINE('',#46617,#10365); #7288=LINE('',#46619,#10366); #7289=LINE('',#46623,#10367); #7290=LINE('',#46625,#10368); #7291=LINE('',#46628,#10369); #7292=LINE('',#46630,#10370); #7293=LINE('',#46632,#10371); #7294=LINE('',#46633,#10372); #7295=LINE('',#46635,#10373); #7296=LINE('',#46638,#10374); #7297=LINE('',#46641,#10375); #7298=LINE('',#46643,#10376); #7299=LINE('',#46645,#10377); #7300=LINE('',#46647,#10378); #7301=LINE('',#46649,#10379); #7302=LINE('',#46650,#10380); #7303=LINE('',#46658,#10381); #7304=LINE('',#46661,#10382); #7305=LINE('',#46662,#10383); #7306=LINE('',#46666,#10384); #7307=LINE('',#46671,#10385); #7308=LINE('',#46675,#10386); #7309=LINE('',#46682,#10387); #7310=LINE('',#46687,#10388); #7311=LINE('',#46690,#10389); #7312=LINE('',#46691,#10390); #7313=LINE('',#46694,#10391); #7314=LINE('',#46695,#10392); #7315=LINE('',#46697,#10393); #7316=LINE('',#46698,#10394); #7317=LINE('',#46701,#10395); #7318=LINE('',#46704,#10396); #7319=LINE('',#46706,#10397); #7320=LINE('',#46708,#10398); #7321=LINE('',#46712,#10399); #7322=LINE('',#46713,#10400); #7323=LINE('',#46719,#10401); #7324=LINE('',#46722,#10402); #7325=LINE('',#46723,#10403); #7326=LINE('',#46727,#10404); #7327=LINE('',#46730,#10405); #7328=LINE('',#46731,#10406); #7329=LINE('',#46735,#10407); #7330=LINE('',#46738,#10408); #7331=LINE('',#46739,#10409); #7332=LINE('',#46750,#10410); #7333=LINE('',#46756,#10411); #7334=LINE('',#46762,#10412); #7335=LINE('',#46768,#10413); #7336=LINE('',#46775,#10414); #7337=LINE('',#46779,#10415); #7338=LINE('',#46781,#10416); #7339=LINE('',#46783,#10417); #7340=LINE('',#46785,#10418); #7341=LINE('',#46791,#10419); #7342=LINE('',#46795,#10420); #7343=LINE('',#46799,#10421); #7344=LINE('',#46801,#10422); #7345=LINE('',#46802,#10423); #7346=LINE('',#46806,#10424); #7347=LINE('',#46809,#10425); #7348=LINE('',#46810,#10426); #7349=LINE('',#46813,#10427); #7350=LINE('',#46814,#10428); #7351=LINE('',#46817,#10429); #7352=LINE('',#46818,#10430); #7353=LINE('',#46821,#10431); #7354=LINE('',#46822,#10432); #7355=LINE('',#46826,#10433); #7356=LINE('',#46830,#10434); #7357=LINE('',#46833,#10435); #7358=LINE('',#46834,#10436); #7359=LINE('',#46838,#10437); #7360=LINE('',#46841,#10438); #7361=LINE('',#46842,#10439); #7362=LINE('',#46846,#10440); #7363=LINE('',#46854,#10441); #7364=LINE('',#46856,#10442); #7365=LINE('',#46858,#10443); #7366=LINE('',#46859,#10444); #7367=LINE('',#46862,#10445); #7368=LINE('',#46864,#10446); #7369=LINE('',#46865,#10447); #7370=LINE('',#46868,#10448); #7371=LINE('',#46870,#10449); #7372=LINE('',#46871,#10450); #7373=LINE('',#46873,#10451); #7374=LINE('',#46874,#10452); #7375=LINE('',#46879,#10453); #7376=LINE('',#46881,#10454); #7377=LINE('',#46883,#10455); #7378=LINE('',#46884,#10456); #7379=LINE('',#46887,#10457); #7380=LINE('',#46889,#10458); #7381=LINE('',#46890,#10459); #7382=LINE('',#46893,#10460); #7383=LINE('',#46895,#10461); #7384=LINE('',#46896,#10462); #7385=LINE('',#46899,#10463); #7386=LINE('',#46901,#10464); #7387=LINE('',#46902,#10465); #7388=LINE('',#46905,#10466); #7389=LINE('',#46907,#10467); #7390=LINE('',#46908,#10468); #7391=LINE('',#46911,#10469); #7392=LINE('',#46913,#10470); #7393=LINE('',#46914,#10471); #7394=LINE('',#46917,#10472); #7395=LINE('',#46919,#10473); #7396=LINE('',#46920,#10474); #7397=LINE('',#46923,#10475); #7398=LINE('',#46925,#10476); #7399=LINE('',#46926,#10477); #7400=LINE('',#46929,#10478); #7401=LINE('',#46931,#10479); #7402=LINE('',#46932,#10480); #7403=LINE('',#46935,#10481); #7404=LINE('',#46937,#10482); #7405=LINE('',#46938,#10483); #7406=LINE('',#46941,#10484); #7407=LINE('',#46943,#10485); #7408=LINE('',#46944,#10486); #7409=LINE('',#46947,#10487); #7410=LINE('',#46949,#10488); #7411=LINE('',#46950,#10489); #7412=LINE('',#46953,#10490); #7413=LINE('',#46955,#10491); #7414=LINE('',#46956,#10492); #7415=LINE('',#46959,#10493); #7416=LINE('',#46961,#10494); #7417=LINE('',#46962,#10495); #7418=LINE('',#46965,#10496); #7419=LINE('',#46967,#10497); #7420=LINE('',#46968,#10498); #7421=LINE('',#46971,#10499); #7422=LINE('',#46973,#10500); #7423=LINE('',#46974,#10501); #7424=LINE('',#46977,#10502); #7425=LINE('',#46979,#10503); #7426=LINE('',#46980,#10504); #7427=LINE('',#46983,#10505); #7428=LINE('',#46985,#10506); #7429=LINE('',#46986,#10507); #7430=LINE('',#46989,#10508); #7431=LINE('',#46991,#10509); #7432=LINE('',#46992,#10510); #7433=LINE('',#46995,#10511); #7434=LINE('',#46997,#10512); #7435=LINE('',#46998,#10513); #7436=LINE('',#47001,#10514); #7437=LINE('',#47003,#10515); #7438=LINE('',#47004,#10516); #7439=LINE('',#47007,#10517); #7440=LINE('',#47009,#10518); #7441=LINE('',#47010,#10519); #7442=LINE('',#47013,#10520); #7443=LINE('',#47015,#10521); #7444=LINE('',#47016,#10522); #7445=LINE('',#47019,#10523); #7446=LINE('',#47021,#10524); #7447=LINE('',#47022,#10525); #7448=LINE('',#47025,#10526); #7449=LINE('',#47027,#10527); #7450=LINE('',#47028,#10528); #7451=LINE('',#47031,#10529); #7452=LINE('',#47033,#10530); #7453=LINE('',#47034,#10531); #7454=LINE('',#47037,#10532); #7455=LINE('',#47039,#10533); #7456=LINE('',#47040,#10534); #7457=LINE('',#47043,#10535); #7458=LINE('',#47045,#10536); #7459=LINE('',#47046,#10537); #7460=LINE('',#47049,#10538); #7461=LINE('',#47051,#10539); #7462=LINE('',#47052,#10540); #7463=LINE('',#47055,#10541); #7464=LINE('',#47057,#10542); #7465=LINE('',#47058,#10543); #7466=LINE('',#47061,#10544); #7467=LINE('',#47063,#10545); #7468=LINE('',#47064,#10546); #7469=LINE('',#47067,#10547); #7470=LINE('',#47069,#10548); #7471=LINE('',#47070,#10549); #7472=LINE('',#47073,#10550); #7473=LINE('',#47075,#10551); #7474=LINE('',#47076,#10552); #7475=LINE('',#47080,#10553); #7476=LINE('',#47082,#10554); #7477=LINE('',#47084,#10555); #7478=LINE('',#47086,#10556); #7479=LINE('',#47088,#10557); #7480=LINE('',#47090,#10558); #7481=LINE('',#47092,#10559); #7482=LINE('',#47094,#10560); #7483=LINE('',#47096,#10561); #7484=LINE('',#47098,#10562); #7485=LINE('',#47099,#10563); #7486=LINE('',#47101,#10564); #7487=LINE('',#47103,#10565); #7488=LINE('',#47105,#10566); #7489=LINE('',#47107,#10567); #7490=LINE('',#47109,#10568); #7491=LINE('',#47111,#10569); #7492=LINE('',#47113,#10570); #7493=LINE('',#47115,#10571); #7494=LINE('',#47117,#10572); #7495=LINE('',#47119,#10573); #7496=LINE('',#47121,#10574); #7497=LINE('',#47123,#10575); #7498=LINE('',#47125,#10576); #7499=LINE('',#47127,#10577); #7500=LINE('',#47129,#10578); #7501=LINE('',#47131,#10579); #7502=LINE('',#47133,#10580); #7503=LINE('',#47135,#10581); #7504=LINE('',#47137,#10582); #7505=LINE('',#47139,#10583); #7506=LINE('',#47141,#10584); #7507=LINE('',#47143,#10585); #7508=LINE('',#47144,#10586); #7509=LINE('',#47147,#10587); #7510=LINE('',#47148,#10588); #7511=LINE('',#47151,#10589); #7512=LINE('',#47152,#10590); #7513=LINE('',#47155,#10591); #7514=LINE('',#47156,#10592); #7515=LINE('',#47159,#10593); #7516=LINE('',#47160,#10594); #7517=LINE('',#47163,#10595); #7518=LINE('',#47164,#10596); #7519=LINE('',#47167,#10597); #7520=LINE('',#47168,#10598); #7521=LINE('',#47171,#10599); #7522=LINE('',#47172,#10600); #7523=LINE('',#47175,#10601); #7524=LINE('',#47176,#10602); #7525=LINE('',#47179,#10603); #7526=LINE('',#47180,#10604); #7527=LINE('',#47183,#10605); #7528=LINE('',#47184,#10606); #7529=LINE('',#47187,#10607); #7530=LINE('',#47188,#10608); #7531=LINE('',#47191,#10609); #7532=LINE('',#47192,#10610); #7533=LINE('',#47195,#10611); #7534=LINE('',#47196,#10612); #7535=LINE('',#47199,#10613); #7536=LINE('',#47200,#10614); #7537=LINE('',#47203,#10615); #7538=LINE('',#47204,#10616); #7539=LINE('',#47207,#10617); #7540=LINE('',#47208,#10618); #7541=LINE('',#47211,#10619); #7542=LINE('',#47212,#10620); #7543=LINE('',#47215,#10621); #7544=LINE('',#47216,#10622); #7545=LINE('',#47219,#10623); #7546=LINE('',#47220,#10624); #7547=LINE('',#47223,#10625); #7548=LINE('',#47224,#10626); #7549=LINE('',#47227,#10627); #7550=LINE('',#47228,#10628); #7551=LINE('',#47231,#10629); #7552=LINE('',#47232,#10630); #7553=LINE('',#47235,#10631); #7554=LINE('',#47236,#10632); #7555=LINE('',#47239,#10633); #7556=LINE('',#47240,#10634); #7557=LINE('',#47243,#10635); #7558=LINE('',#47244,#10636); #7559=LINE('',#47247,#10637); #7560=LINE('',#47248,#10638); #7561=LINE('',#47251,#10639); #7562=LINE('',#47252,#10640); #7563=LINE('',#47255,#10641); #7564=LINE('',#47256,#10642); #7565=LINE('',#47259,#10643); #7566=LINE('',#47260,#10644); #7567=LINE('',#47263,#10645); #7568=LINE('',#47264,#10646); #7569=LINE('',#47267,#10647); #7570=LINE('',#47268,#10648); #7571=LINE('',#47271,#10649); #7572=LINE('',#47272,#10650); #7573=LINE('',#47275,#10651); #7574=LINE('',#47276,#10652); #7575=LINE('',#47278,#10653); #7576=LINE('',#47282,#10654); #7577=LINE('',#47284,#10655); #7578=LINE('',#47286,#10656); #7579=LINE('',#47287,#10657); #7580=LINE('',#47291,#10658); #7581=LINE('',#47293,#10659); #7582=LINE('',#47295,#10660); #7583=LINE('',#47296,#10661); #7584=LINE('',#47299,#10662); #7585=LINE('',#47301,#10663); #7586=LINE('',#47302,#10664); #7587=LINE('',#47305,#10665); #7588=LINE('',#47307,#10666); #7589=LINE('',#47308,#10667); #7590=LINE('',#47311,#10668); #7591=LINE('',#47313,#10669); #7592=LINE('',#47314,#10670); #7593=LINE('',#47317,#10671); #7594=LINE('',#47319,#10672); #7595=LINE('',#47320,#10673); #7596=LINE('',#47323,#10674); #7597=LINE('',#47325,#10675); #7598=LINE('',#47326,#10676); #7599=LINE('',#47328,#10677); #7600=LINE('',#47329,#10678); #7601=LINE('',#47332,#10679); #7602=LINE('',#47334,#10680); #7603=LINE('',#47335,#10681); #7604=LINE('',#47338,#10682); #7605=LINE('',#47340,#10683); #7606=LINE('',#47341,#10684); #7607=LINE('',#47344,#10685); #7608=LINE('',#47346,#10686); #7609=LINE('',#47347,#10687); #7610=LINE('',#47350,#10688); #7611=LINE('',#47352,#10689); #7612=LINE('',#47353,#10690); #7613=LINE('',#47356,#10691); #7614=LINE('',#47358,#10692); #7615=LINE('',#47359,#10693); #7616=LINE('',#47361,#10694); #7617=LINE('',#47362,#10695); #7618=LINE('',#47367,#10696); #7619=LINE('',#47369,#10697); #7620=LINE('',#47371,#10698); #7621=LINE('',#47372,#10699); #7622=LINE('',#47375,#10700); #7623=LINE('',#47377,#10701); #7624=LINE('',#47378,#10702); #7625=LINE('',#47381,#10703); #7626=LINE('',#47383,#10704); #7627=LINE('',#47384,#10705); #7628=LINE('',#47387,#10706); #7629=LINE('',#47389,#10707); #7630=LINE('',#47390,#10708); #7631=LINE('',#47393,#10709); #7632=LINE('',#47395,#10710); #7633=LINE('',#47396,#10711); #7634=LINE('',#47399,#10712); #7635=LINE('',#47401,#10713); #7636=LINE('',#47402,#10714); #7637=LINE('',#47405,#10715); #7638=LINE('',#47407,#10716); #7639=LINE('',#47408,#10717); #7640=LINE('',#47411,#10718); #7641=LINE('',#47413,#10719); #7642=LINE('',#47414,#10720); #7643=LINE('',#47417,#10721); #7644=LINE('',#47419,#10722); #7645=LINE('',#47420,#10723); #7646=LINE('',#47423,#10724); #7647=LINE('',#47425,#10725); #7648=LINE('',#47426,#10726); #7649=LINE('',#47429,#10727); #7650=LINE('',#47431,#10728); #7651=LINE('',#47432,#10729); #7652=LINE('',#47435,#10730); #7653=LINE('',#47437,#10731); #7654=LINE('',#47438,#10732); #7655=LINE('',#47441,#10733); #7656=LINE('',#47443,#10734); #7657=LINE('',#47444,#10735); #7658=LINE('',#47447,#10736); #7659=LINE('',#47449,#10737); #7660=LINE('',#47450,#10738); #7661=LINE('',#47452,#10739); #7662=LINE('',#47453,#10740); #7663=LINE('',#47458,#10741); #7664=LINE('',#47460,#10742); #7665=LINE('',#47462,#10743); #7666=LINE('',#47463,#10744); #7667=LINE('',#47466,#10745); #7668=LINE('',#47468,#10746); #7669=LINE('',#47469,#10747); #7670=LINE('',#47472,#10748); #7671=LINE('',#47474,#10749); #7672=LINE('',#47475,#10750); #7673=LINE('',#47478,#10751); #7674=LINE('',#47480,#10752); #7675=LINE('',#47481,#10753); #7676=LINE('',#47484,#10754); #7677=LINE('',#47486,#10755); #7678=LINE('',#47487,#10756); #7679=LINE('',#47490,#10757); #7680=LINE('',#47492,#10758); #7681=LINE('',#47493,#10759); #7682=LINE('',#47496,#10760); #7683=LINE('',#47498,#10761); #7684=LINE('',#47499,#10762); #7685=LINE('',#47502,#10763); #7686=LINE('',#47504,#10764); #7687=LINE('',#47505,#10765); #7688=LINE('',#47508,#10766); #7689=LINE('',#47510,#10767); #7690=LINE('',#47511,#10768); #7691=LINE('',#47514,#10769); #7692=LINE('',#47516,#10770); #7693=LINE('',#47517,#10771); #7694=LINE('',#47520,#10772); #7695=LINE('',#47522,#10773); #7696=LINE('',#47523,#10774); #7697=LINE('',#47526,#10775); #7698=LINE('',#47528,#10776); #7699=LINE('',#47529,#10777); #7700=LINE('',#47532,#10778); #7701=LINE('',#47534,#10779); #7702=LINE('',#47535,#10780); #7703=LINE('',#47538,#10781); #7704=LINE('',#47540,#10782); #7705=LINE('',#47541,#10783); #7706=LINE('',#47544,#10784); #7707=LINE('',#47546,#10785); #7708=LINE('',#47547,#10786); #7709=LINE('',#47550,#10787); #7710=LINE('',#47552,#10788); #7711=LINE('',#47553,#10789); #7712=LINE('',#47556,#10790); #7713=LINE('',#47558,#10791); #7714=LINE('',#47559,#10792); #7715=LINE('',#47562,#10793); #7716=LINE('',#47564,#10794); #7717=LINE('',#47565,#10795); #7718=LINE('',#47568,#10796); #7719=LINE('',#47570,#10797); #7720=LINE('',#47571,#10798); #7721=LINE('',#47574,#10799); #7722=LINE('',#47576,#10800); #7723=LINE('',#47577,#10801); #7724=LINE('',#47580,#10802); #7725=LINE('',#47582,#10803); #7726=LINE('',#47583,#10804); #7727=LINE('',#47586,#10805); #7728=LINE('',#47588,#10806); #7729=LINE('',#47589,#10807); #7730=LINE('',#47592,#10808); #7731=LINE('',#47594,#10809); #7732=LINE('',#47595,#10810); #7733=LINE('',#47598,#10811); #7734=LINE('',#47600,#10812); #7735=LINE('',#47601,#10813); #7736=LINE('',#47604,#10814); #7737=LINE('',#47606,#10815); #7738=LINE('',#47607,#10816); #7739=LINE('',#47610,#10817); #7740=LINE('',#47612,#10818); #7741=LINE('',#47613,#10819); #7742=LINE('',#47616,#10820); #7743=LINE('',#47618,#10821); #7744=LINE('',#47619,#10822); #7745=LINE('',#47623,#10823); #7746=LINE('',#47625,#10824); #7747=LINE('',#47627,#10825); #7748=LINE('',#47628,#10826); #7749=LINE('',#47631,#10827); #7750=LINE('',#47633,#10828); #7751=LINE('',#47634,#10829); #7752=LINE('',#47637,#10830); #7753=LINE('',#47639,#10831); #7754=LINE('',#47640,#10832); #7755=LINE('',#47643,#10833); #7756=LINE('',#47645,#10834); #7757=LINE('',#47646,#10835); #7758=LINE('',#47649,#10836); #7759=LINE('',#47651,#10837); #7760=LINE('',#47652,#10838); #7761=LINE('',#47655,#10839); #7762=LINE('',#47657,#10840); #7763=LINE('',#47658,#10841); #7764=LINE('',#47661,#10842); #7765=LINE('',#47663,#10843); #7766=LINE('',#47664,#10844); #7767=LINE('',#47667,#10845); #7768=LINE('',#47669,#10846); #7769=LINE('',#47670,#10847); #7770=LINE('',#47673,#10848); #7771=LINE('',#47675,#10849); #7772=LINE('',#47676,#10850); #7773=LINE('',#47679,#10851); #7774=LINE('',#47681,#10852); #7775=LINE('',#47682,#10853); #7776=LINE('',#47685,#10854); #7777=LINE('',#47687,#10855); #7778=LINE('',#47688,#10856); #7779=LINE('',#47691,#10857); #7780=LINE('',#47693,#10858); #7781=LINE('',#47694,#10859); #7782=LINE('',#47697,#10860); #7783=LINE('',#47699,#10861); #7784=LINE('',#47700,#10862); #7785=LINE('',#47703,#10863); #7786=LINE('',#47705,#10864); #7787=LINE('',#47706,#10865); #7788=LINE('',#47709,#10866); #7789=LINE('',#47711,#10867); #7790=LINE('',#47712,#10868); #7791=LINE('',#47715,#10869); #7792=LINE('',#47717,#10870); #7793=LINE('',#47718,#10871); #7794=LINE('',#47721,#10872); #7795=LINE('',#47723,#10873); #7796=LINE('',#47724,#10874); #7797=LINE('',#47727,#10875); #7798=LINE('',#47729,#10876); #7799=LINE('',#47730,#10877); #7800=LINE('',#47733,#10878); #7801=LINE('',#47735,#10879); #7802=LINE('',#47736,#10880); #7803=LINE('',#47739,#10881); #7804=LINE('',#47741,#10882); #7805=LINE('',#47742,#10883); #7806=LINE('',#47745,#10884); #7807=LINE('',#47747,#10885); #7808=LINE('',#47748,#10886); #7809=LINE('',#47751,#10887); #7810=LINE('',#47753,#10888); #7811=LINE('',#47754,#10889); #7812=LINE('',#47757,#10890); #7813=LINE('',#47759,#10891); #7814=LINE('',#47760,#10892); #7815=LINE('',#47763,#10893); #7816=LINE('',#47765,#10894); #7817=LINE('',#47766,#10895); #7818=LINE('',#47769,#10896); #7819=LINE('',#47771,#10897); #7820=LINE('',#47772,#10898); #7821=LINE('',#47775,#10899); #7822=LINE('',#47777,#10900); #7823=LINE('',#47778,#10901); #7824=LINE('',#47781,#10902); #7825=LINE('',#47783,#10903); #7826=LINE('',#47784,#10904); #7827=LINE('',#47787,#10905); #7828=LINE('',#47789,#10906); #7829=LINE('',#47790,#10907); #7830=LINE('',#47793,#10908); #7831=LINE('',#47795,#10909); #7832=LINE('',#47796,#10910); #7833=LINE('',#47799,#10911); #7834=LINE('',#47801,#10912); #7835=LINE('',#47802,#10913); #7836=LINE('',#47805,#10914); #7837=LINE('',#47807,#10915); #7838=LINE('',#47808,#10916); #7839=LINE('',#47810,#10917); #7840=LINE('',#47811,#10918); #7841=LINE('',#47814,#10919); #7842=LINE('',#47816,#10920); #7843=LINE('',#47817,#10921); #7844=LINE('',#47820,#10922); #7845=LINE('',#47822,#10923); #7846=LINE('',#47823,#10924); #7847=LINE('',#47826,#10925); #7848=LINE('',#47828,#10926); #7849=LINE('',#47829,#10927); #7850=LINE('',#47832,#10928); #7851=LINE('',#47834,#10929); #7852=LINE('',#47835,#10930); #7853=LINE('',#47838,#10931); #7854=LINE('',#47840,#10932); #7855=LINE('',#47841,#10933); #7856=LINE('',#47844,#10934); #7857=LINE('',#47846,#10935); #7858=LINE('',#47847,#10936); #7859=LINE('',#47850,#10937); #7860=LINE('',#47852,#10938); #7861=LINE('',#47853,#10939); #7862=LINE('',#47856,#10940); #7863=LINE('',#47858,#10941); #7864=LINE('',#47859,#10942); #7865=LINE('',#47862,#10943); #7866=LINE('',#47864,#10944); #7867=LINE('',#47865,#10945); #7868=LINE('',#47868,#10946); #7869=LINE('',#47870,#10947); #7870=LINE('',#47871,#10948); #7871=LINE('',#47873,#10949); #7872=LINE('',#47874,#10950); #7873=LINE('',#47879,#10951); #7874=LINE('',#47881,#10952); #7875=LINE('',#47883,#10953); #7876=LINE('',#47884,#10954); #7877=LINE('',#47887,#10955); #7878=LINE('',#47889,#10956); #7879=LINE('',#47890,#10957); #7880=LINE('',#47893,#10958); #7881=LINE('',#47895,#10959); #7882=LINE('',#47896,#10960); #7883=LINE('',#47899,#10961); #7884=LINE('',#47901,#10962); #7885=LINE('',#47902,#10963); #7886=LINE('',#47905,#10964); #7887=LINE('',#47907,#10965); #7888=LINE('',#47908,#10966); #7889=LINE('',#47911,#10967); #7890=LINE('',#47913,#10968); #7891=LINE('',#47914,#10969); #7892=LINE('',#47917,#10970); #7893=LINE('',#47919,#10971); #7894=LINE('',#47920,#10972); #7895=LINE('',#47923,#10973); #7896=LINE('',#47925,#10974); #7897=LINE('',#47926,#10975); #7898=LINE('',#47929,#10976); #7899=LINE('',#47931,#10977); #7900=LINE('',#47932,#10978); #7901=LINE('',#47935,#10979); #7902=LINE('',#47937,#10980); #7903=LINE('',#47938,#10981); #7904=LINE('',#47941,#10982); #7905=LINE('',#47943,#10983); #7906=LINE('',#47944,#10984); #7907=LINE('',#47947,#10985); #7908=LINE('',#47949,#10986); #7909=LINE('',#47950,#10987); #7910=LINE('',#47953,#10988); #7911=LINE('',#47955,#10989); #7912=LINE('',#47956,#10990); #7913=LINE('',#47959,#10991); #7914=LINE('',#47961,#10992); #7915=LINE('',#47962,#10993); #7916=LINE('',#47965,#10994); #7917=LINE('',#47967,#10995); #7918=LINE('',#47968,#10996); #7919=LINE('',#47971,#10997); #7920=LINE('',#47973,#10998); #7921=LINE('',#47974,#10999); #7922=LINE('',#47977,#11000); #7923=LINE('',#47979,#11001); #7924=LINE('',#47980,#11002); #7925=LINE('',#47983,#11003); #7926=LINE('',#47985,#11004); #7927=LINE('',#47986,#11005); #7928=LINE('',#47989,#11006); #7929=LINE('',#47991,#11007); #7930=LINE('',#47992,#11008); #7931=LINE('',#47995,#11009); #7932=LINE('',#47997,#11010); #7933=LINE('',#47998,#11011); #7934=LINE('',#48001,#11012); #7935=LINE('',#48003,#11013); #7936=LINE('',#48004,#11014); #7937=LINE('',#48007,#11015); #7938=LINE('',#48009,#11016); #7939=LINE('',#48010,#11017); #7940=LINE('',#48013,#11018); #7941=LINE('',#48015,#11019); #7942=LINE('',#48016,#11020); #7943=LINE('',#48019,#11021); #7944=LINE('',#48021,#11022); #7945=LINE('',#48022,#11023); #7946=LINE('',#48025,#11024); #7947=LINE('',#48027,#11025); #7948=LINE('',#48028,#11026); #7949=LINE('',#48031,#11027); #7950=LINE('',#48033,#11028); #7951=LINE('',#48034,#11029); #7952=LINE('',#48037,#11030); #7953=LINE('',#48039,#11031); #7954=LINE('',#48040,#11032); #7955=LINE('',#48043,#11033); #7956=LINE('',#48045,#11034); #7957=LINE('',#48046,#11035); #7958=LINE('',#48049,#11036); #7959=LINE('',#48051,#11037); #7960=LINE('',#48052,#11038); #7961=LINE('',#48055,#11039); #7962=LINE('',#48057,#11040); #7963=LINE('',#48058,#11041); #7964=LINE('',#48061,#11042); #7965=LINE('',#48063,#11043); #7966=LINE('',#48064,#11044); #7967=LINE('',#48067,#11045); #7968=LINE('',#48069,#11046); #7969=LINE('',#48070,#11047); #7970=LINE('',#48073,#11048); #7971=LINE('',#48075,#11049); #7972=LINE('',#48076,#11050); #7973=LINE('',#48079,#11051); #7974=LINE('',#48081,#11052); #7975=LINE('',#48082,#11053); #7976=LINE('',#48085,#11054); #7977=LINE('',#48087,#11055); #7978=LINE('',#48088,#11056); #7979=LINE('',#48091,#11057); #7980=LINE('',#48093,#11058); #7981=LINE('',#48094,#11059); #7982=LINE('',#48097,#11060); #7983=LINE('',#48099,#11061); #7984=LINE('',#48100,#11062); #7985=LINE('',#48103,#11063); #7986=LINE('',#48105,#11064); #7987=LINE('',#48106,#11065); #7988=LINE('',#48109,#11066); #7989=LINE('',#48111,#11067); #7990=LINE('',#48112,#11068); #7991=LINE('',#48115,#11069); #7992=LINE('',#48117,#11070); #7993=LINE('',#48118,#11071); #7994=LINE('',#48121,#11072); #7995=LINE('',#48123,#11073); #7996=LINE('',#48124,#11074); #7997=LINE('',#48127,#11075); #7998=LINE('',#48129,#11076); #7999=LINE('',#48130,#11077); #8000=LINE('',#48133,#11078); #8001=LINE('',#48135,#11079); #8002=LINE('',#48136,#11080); #8003=LINE('',#48139,#11081); #8004=LINE('',#48141,#11082); #8005=LINE('',#48142,#11083); #8006=LINE('',#48145,#11084); #8007=LINE('',#48147,#11085); #8008=LINE('',#48148,#11086); #8009=LINE('',#48151,#11087); #8010=LINE('',#48153,#11088); #8011=LINE('',#48154,#11089); #8012=LINE('',#48157,#11090); #8013=LINE('',#48159,#11091); #8014=LINE('',#48160,#11092); #8015=LINE('',#48163,#11093); #8016=LINE('',#48165,#11094); #8017=LINE('',#48166,#11095); #8018=LINE('',#48169,#11096); #8019=LINE('',#48171,#11097); #8020=LINE('',#48172,#11098); #8021=LINE('',#48174,#11099); #8022=LINE('',#48175,#11100); #8023=LINE('',#48180,#11101); #8024=LINE('',#48182,#11102); #8025=LINE('',#48184,#11103); #8026=LINE('',#48185,#11104); #8027=LINE('',#48188,#11105); #8028=LINE('',#48190,#11106); #8029=LINE('',#48191,#11107); #8030=LINE('',#48194,#11108); #8031=LINE('',#48196,#11109); #8032=LINE('',#48197,#11110); #8033=LINE('',#48200,#11111); #8034=LINE('',#48202,#11112); #8035=LINE('',#48203,#11113); #8036=LINE('',#48206,#11114); #8037=LINE('',#48208,#11115); #8038=LINE('',#48209,#11116); #8039=LINE('',#48212,#11117); #8040=LINE('',#48214,#11118); #8041=LINE('',#48215,#11119); #8042=LINE('',#48218,#11120); #8043=LINE('',#48220,#11121); #8044=LINE('',#48221,#11122); #8045=LINE('',#48224,#11123); #8046=LINE('',#48226,#11124); #8047=LINE('',#48227,#11125); #8048=LINE('',#48231,#11126); #8049=LINE('',#48233,#11127); #8050=LINE('',#48235,#11128); #8051=LINE('',#48236,#11129); #8052=LINE('',#48239,#11130); #8053=LINE('',#48241,#11131); #8054=LINE('',#48242,#11132); #8055=LINE('',#48245,#11133); #8056=LINE('',#48247,#11134); #8057=LINE('',#48248,#11135); #8058=LINE('',#48251,#11136); #8059=LINE('',#48253,#11137); #8060=LINE('',#48254,#11138); #8061=LINE('',#48257,#11139); #8062=LINE('',#48259,#11140); #8063=LINE('',#48260,#11141); #8064=LINE('',#48263,#11142); #8065=LINE('',#48265,#11143); #8066=LINE('',#48266,#11144); #8067=LINE('',#48269,#11145); #8068=LINE('',#48271,#11146); #8069=LINE('',#48272,#11147); #8070=LINE('',#48275,#11148); #8071=LINE('',#48277,#11149); #8072=LINE('',#48278,#11150); #8073=LINE('',#48282,#11151); #8074=LINE('',#48284,#11152); #8075=LINE('',#48286,#11153); #8076=LINE('',#48287,#11154); #8077=LINE('',#48290,#11155); #8078=LINE('',#48292,#11156); #8079=LINE('',#48293,#11157); #8080=LINE('',#48296,#11158); #8081=LINE('',#48298,#11159); #8082=LINE('',#48299,#11160); #8083=LINE('',#48302,#11161); #8084=LINE('',#48304,#11162); #8085=LINE('',#48305,#11163); #8086=LINE('',#48308,#11164); #8087=LINE('',#48310,#11165); #8088=LINE('',#48311,#11166); #8089=LINE('',#48314,#11167); #8090=LINE('',#48316,#11168); #8091=LINE('',#48317,#11169); #8092=LINE('',#48320,#11170); #8093=LINE('',#48322,#11171); #8094=LINE('',#48323,#11172); #8095=LINE('',#48326,#11173); #8096=LINE('',#48328,#11174); #8097=LINE('',#48329,#11175); #8098=LINE('',#48332,#11176); #8099=LINE('',#48334,#11177); #8100=LINE('',#48335,#11178); #8101=LINE('',#48338,#11179); #8102=LINE('',#48340,#11180); #8103=LINE('',#48341,#11181); #8104=LINE('',#48344,#11182); #8105=LINE('',#48346,#11183); #8106=LINE('',#48347,#11184); #8107=LINE('',#48350,#11185); #8108=LINE('',#48352,#11186); #8109=LINE('',#48353,#11187); #8110=LINE('',#48356,#11188); #8111=LINE('',#48358,#11189); #8112=LINE('',#48359,#11190); #8113=LINE('',#48362,#11191); #8114=LINE('',#48364,#11192); #8115=LINE('',#48365,#11193); #8116=LINE('',#48368,#11194); #8117=LINE('',#48370,#11195); #8118=LINE('',#48371,#11196); #8119=LINE('',#48374,#11197); #8120=LINE('',#48376,#11198); #8121=LINE('',#48377,#11199); #8122=LINE('',#48380,#11200); #8123=LINE('',#48382,#11201); #8124=LINE('',#48383,#11202); #8125=LINE('',#48386,#11203); #8126=LINE('',#48388,#11204); #8127=LINE('',#48389,#11205); #8128=LINE('',#48392,#11206); #8129=LINE('',#48394,#11207); #8130=LINE('',#48395,#11208); #8131=LINE('',#48398,#11209); #8132=LINE('',#48400,#11210); #8133=LINE('',#48401,#11211); #8134=LINE('',#48404,#11212); #8135=LINE('',#48406,#11213); #8136=LINE('',#48407,#11214); #8137=LINE('',#48410,#11215); #8138=LINE('',#48412,#11216); #8139=LINE('',#48413,#11217); #8140=LINE('',#48416,#11218); #8141=LINE('',#48418,#11219); #8142=LINE('',#48419,#11220); #8143=LINE('',#48422,#11221); #8144=LINE('',#48424,#11222); #8145=LINE('',#48425,#11223); #8146=LINE('',#48429,#11224); #8147=LINE('',#48431,#11225); #8148=LINE('',#48433,#11226); #8149=LINE('',#48434,#11227); #8150=LINE('',#48437,#11228); #8151=LINE('',#48439,#11229); #8152=LINE('',#48440,#11230); #8153=LINE('',#48443,#11231); #8154=LINE('',#48445,#11232); #8155=LINE('',#48446,#11233); #8156=LINE('',#48449,#11234); #8157=LINE('',#48451,#11235); #8158=LINE('',#48452,#11236); #8159=LINE('',#48455,#11237); #8160=LINE('',#48457,#11238); #8161=LINE('',#48458,#11239); #8162=LINE('',#48461,#11240); #8163=LINE('',#48463,#11241); #8164=LINE('',#48464,#11242); #8165=LINE('',#48467,#11243); #8166=LINE('',#48469,#11244); #8167=LINE('',#48470,#11245); #8168=LINE('',#48473,#11246); #8169=LINE('',#48475,#11247); #8170=LINE('',#48476,#11248); #8171=LINE('',#48479,#11249); #8172=LINE('',#48481,#11250); #8173=LINE('',#48482,#11251); #8174=LINE('',#48485,#11252); #8175=LINE('',#48487,#11253); #8176=LINE('',#48488,#11254); #8177=LINE('',#48491,#11255); #8178=LINE('',#48493,#11256); #8179=LINE('',#48494,#11257); #8180=LINE('',#48497,#11258); #8181=LINE('',#48499,#11259); #8182=LINE('',#48500,#11260); #8183=LINE('',#48502,#11261); #8184=LINE('',#48503,#11262); #8185=LINE('',#48506,#11263); #8186=LINE('',#48508,#11264); #8187=LINE('',#48509,#11265); #8188=LINE('',#48513,#11266); #8189=LINE('',#48515,#11267); #8190=LINE('',#48517,#11268); #8191=LINE('',#48518,#11269); #8192=LINE('',#48521,#11270); #8193=LINE('',#48523,#11271); #8194=LINE('',#48524,#11272); #8195=LINE('',#48527,#11273); #8196=LINE('',#48529,#11274); #8197=LINE('',#48530,#11275); #8198=LINE('',#48533,#11276); #8199=LINE('',#48535,#11277); #8200=LINE('',#48536,#11278); #8201=LINE('',#48539,#11279); #8202=LINE('',#48541,#11280); #8203=LINE('',#48542,#11281); #8204=LINE('',#48545,#11282); #8205=LINE('',#48547,#11283); #8206=LINE('',#48548,#11284); #8207=LINE('',#48551,#11285); #8208=LINE('',#48553,#11286); #8209=LINE('',#48554,#11287); #8210=LINE('',#48556,#11288); #8211=LINE('',#48557,#11289); #8212=LINE('',#48560,#11290); #8213=LINE('',#48562,#11291); #8214=LINE('',#48563,#11292); #8215=LINE('',#48566,#11293); #8216=LINE('',#48568,#11294); #8217=LINE('',#48569,#11295); #8218=LINE('',#48572,#11296); #8219=LINE('',#48574,#11297); #8220=LINE('',#48575,#11298); #8221=LINE('',#48578,#11299); #8222=LINE('',#48580,#11300); #8223=LINE('',#48581,#11301); #8224=LINE('',#48584,#11302); #8225=LINE('',#48586,#11303); #8226=LINE('',#48587,#11304); #8227=LINE('',#48590,#11305); #8228=LINE('',#48592,#11306); #8229=LINE('',#48593,#11307); #8230=LINE('',#48596,#11308); #8231=LINE('',#48598,#11309); #8232=LINE('',#48599,#11310); #8233=LINE('',#48601,#11311); #8234=LINE('',#48602,#11312); #8235=LINE('',#48606,#11313); #8236=LINE('',#48608,#11314); #8237=LINE('',#48610,#11315); #8238=LINE('',#48611,#11316); #8239=LINE('',#48614,#11317); #8240=LINE('',#48616,#11318); #8241=LINE('',#48617,#11319); #8242=LINE('',#48620,#11320); #8243=LINE('',#48622,#11321); #8244=LINE('',#48623,#11322); #8245=LINE('',#48625,#11323); #8246=LINE('',#48626,#11324); #8247=LINE('',#48629,#11325); #8248=LINE('',#48631,#11326); #8249=LINE('',#48632,#11327); #8250=LINE('',#48635,#11328); #8251=LINE('',#48637,#11329); #8252=LINE('',#48638,#11330); #8253=LINE('',#48640,#11331); #8254=LINE('',#48641,#11332); #8255=LINE('',#48644,#11333); #8256=LINE('',#48646,#11334); #8257=LINE('',#48647,#11335); #8258=LINE('',#48650,#11336); #8259=LINE('',#48652,#11337); #8260=LINE('',#48653,#11338); #8261=LINE('',#48655,#11339); #8262=LINE('',#48656,#11340); #8263=LINE('',#48661,#11341); #8264=LINE('',#48663,#11342); #8265=LINE('',#48665,#11343); #8266=LINE('',#48666,#11344); #8267=LINE('',#48669,#11345); #8268=LINE('',#48671,#11346); #8269=LINE('',#48672,#11347); #8270=LINE('',#48675,#11348); #8271=LINE('',#48677,#11349); #8272=LINE('',#48678,#11350); #8273=LINE('',#48681,#11351); #8274=LINE('',#48683,#11352); #8275=LINE('',#48684,#11353); #8276=LINE('',#48687,#11354); #8277=LINE('',#48689,#11355); #8278=LINE('',#48690,#11356); #8279=LINE('',#48693,#11357); #8280=LINE('',#48695,#11358); #8281=LINE('',#48696,#11359); #8282=LINE('',#48699,#11360); #8283=LINE('',#48701,#11361); #8284=LINE('',#48702,#11362); #8285=LINE('',#48705,#11363); #8286=LINE('',#48707,#11364); #8287=LINE('',#48708,#11365); #8288=LINE('',#48711,#11366); #8289=LINE('',#48713,#11367); #8290=LINE('',#48714,#11368); #8291=LINE('',#48717,#11369); #8292=LINE('',#48719,#11370); #8293=LINE('',#48720,#11371); #8294=LINE('',#48723,#11372); #8295=LINE('',#48725,#11373); #8296=LINE('',#48726,#11374); #8297=LINE('',#48729,#11375); #8298=LINE('',#48731,#11376); #8299=LINE('',#48732,#11377); #8300=LINE('',#48735,#11378); #8301=LINE('',#48737,#11379); #8302=LINE('',#48738,#11380); #8303=LINE('',#48741,#11381); #8304=LINE('',#48743,#11382); #8305=LINE('',#48744,#11383); #8306=LINE('',#48747,#11384); #8307=LINE('',#48749,#11385); #8308=LINE('',#48750,#11386); #8309=LINE('',#48753,#11387); #8310=LINE('',#48755,#11388); #8311=LINE('',#48756,#11389); #8312=LINE('',#48759,#11390); #8313=LINE('',#48761,#11391); #8314=LINE('',#48762,#11392); #8315=LINE('',#48765,#11393); #8316=LINE('',#48767,#11394); #8317=LINE('',#48768,#11395); #8318=LINE('',#48771,#11396); #8319=LINE('',#48773,#11397); #8320=LINE('',#48774,#11398); #8321=LINE('',#48777,#11399); #8322=LINE('',#48779,#11400); #8323=LINE('',#48780,#11401); #8324=LINE('',#48784,#11402); #8325=LINE('',#48786,#11403); #8326=LINE('',#48788,#11404); #8327=LINE('',#48789,#11405); #8328=LINE('',#48792,#11406); #8329=LINE('',#48794,#11407); #8330=LINE('',#48795,#11408); #8331=LINE('',#48798,#11409); #8332=LINE('',#48800,#11410); #8333=LINE('',#48801,#11411); #8334=LINE('',#48804,#11412); #8335=LINE('',#48806,#11413); #8336=LINE('',#48807,#11414); #8337=LINE('',#48810,#11415); #8338=LINE('',#48812,#11416); #8339=LINE('',#48813,#11417); #8340=LINE('',#48816,#11418); #8341=LINE('',#48818,#11419); #8342=LINE('',#48819,#11420); #8343=LINE('',#48822,#11421); #8344=LINE('',#48824,#11422); #8345=LINE('',#48825,#11423); #8346=LINE('',#48828,#11424); #8347=LINE('',#48830,#11425); #8348=LINE('',#48831,#11426); #8349=LINE('',#48834,#11427); #8350=LINE('',#48836,#11428); #8351=LINE('',#48837,#11429); #8352=LINE('',#48840,#11430); #8353=LINE('',#48842,#11431); #8354=LINE('',#48843,#11432); #8355=LINE('',#48846,#11433); #8356=LINE('',#48848,#11434); #8357=LINE('',#48849,#11435); #8358=LINE('',#48852,#11436); #8359=LINE('',#48854,#11437); #8360=LINE('',#48855,#11438); #8361=LINE('',#48858,#11439); #8362=LINE('',#48860,#11440); #8363=LINE('',#48861,#11441); #8364=LINE('',#48864,#11442); #8365=LINE('',#48866,#11443); #8366=LINE('',#48867,#11444); #8367=LINE('',#48870,#11445); #8368=LINE('',#48872,#11446); #8369=LINE('',#48873,#11447); #8370=LINE('',#48876,#11448); #8371=LINE('',#48878,#11449); #8372=LINE('',#48879,#11450); #8373=LINE('',#48882,#11451); #8374=LINE('',#48884,#11452); #8375=LINE('',#48885,#11453); #8376=LINE('',#48888,#11454); #8377=LINE('',#48890,#11455); #8378=LINE('',#48891,#11456); #8379=LINE('',#48894,#11457); #8380=LINE('',#48896,#11458); #8381=LINE('',#48897,#11459); #8382=LINE('',#48900,#11460); #8383=LINE('',#48902,#11461); #8384=LINE('',#48903,#11462); #8385=LINE('',#48906,#11463); #8386=LINE('',#48908,#11464); #8387=LINE('',#48909,#11465); #8388=LINE('',#48912,#11466); #8389=LINE('',#48914,#11467); #8390=LINE('',#48915,#11468); #8391=LINE('',#48918,#11469); #8392=LINE('',#48920,#11470); #8393=LINE('',#48921,#11471); #8394=LINE('',#48924,#11472); #8395=LINE('',#48926,#11473); #8396=LINE('',#48927,#11474); #8397=LINE('',#48930,#11475); #8398=LINE('',#48932,#11476); #8399=LINE('',#48933,#11477); #8400=LINE('',#48936,#11478); #8401=LINE('',#48938,#11479); #8402=LINE('',#48939,#11480); #8403=LINE('',#48942,#11481); #8404=LINE('',#48944,#11482); #8405=LINE('',#48945,#11483); #8406=LINE('',#48948,#11484); #8407=LINE('',#48950,#11485); #8408=LINE('',#48951,#11486); #8409=LINE('',#48954,#11487); #8410=LINE('',#48956,#11488); #8411=LINE('',#48957,#11489); #8412=LINE('',#48960,#11490); #8413=LINE('',#48962,#11491); #8414=LINE('',#48963,#11492); #8415=LINE('',#48966,#11493); #8416=LINE('',#48968,#11494); #8417=LINE('',#48969,#11495); #8418=LINE('',#48972,#11496); #8419=LINE('',#48974,#11497); #8420=LINE('',#48975,#11498); #8421=LINE('',#48978,#11499); #8422=LINE('',#48980,#11500); #8423=LINE('',#48981,#11501); #8424=LINE('',#48984,#11502); #8425=LINE('',#48986,#11503); #8426=LINE('',#48987,#11504); #8427=LINE('',#48990,#11505); #8428=LINE('',#48992,#11506); #8429=LINE('',#48993,#11507); #8430=LINE('',#48996,#11508); #8431=LINE('',#48998,#11509); #8432=LINE('',#48999,#11510); #8433=LINE('',#49002,#11511); #8434=LINE('',#49004,#11512); #8435=LINE('',#49005,#11513); #8436=LINE('',#49007,#11514); #8437=LINE('',#49008,#11515); #8438=LINE('',#49011,#11516); #8439=LINE('',#49013,#11517); #8440=LINE('',#49014,#11518); #8441=LINE('',#49017,#11519); #8442=LINE('',#49019,#11520); #8443=LINE('',#49020,#11521); #8444=LINE('',#49023,#11522); #8445=LINE('',#49025,#11523); #8446=LINE('',#49026,#11524); #8447=LINE('',#49029,#11525); #8448=LINE('',#49031,#11526); #8449=LINE('',#49032,#11527); #8450=LINE('',#49035,#11528); #8451=LINE('',#49037,#11529); #8452=LINE('',#49038,#11530); #8453=LINE('',#49041,#11531); #8454=LINE('',#49043,#11532); #8455=LINE('',#49044,#11533); #8456=LINE('',#49047,#11534); #8457=LINE('',#49049,#11535); #8458=LINE('',#49050,#11536); #8459=LINE('',#49053,#11537); #8460=LINE('',#49055,#11538); #8461=LINE('',#49056,#11539); #8462=LINE('',#49059,#11540); #8463=LINE('',#49061,#11541); #8464=LINE('',#49062,#11542); #8465=LINE('',#49064,#11543); #8466=LINE('',#49065,#11544); #8467=LINE('',#49071,#11545); #8468=LINE('',#49073,#11546); #8469=LINE('',#49075,#11547); #8470=LINE('',#49077,#11548); #8471=LINE('',#49079,#11549); #8472=LINE('',#49081,#11550); #8473=LINE('',#49083,#11551); #8474=LINE('',#49085,#11552); #8475=LINE('',#49087,#11553); #8476=LINE('',#49089,#11554); #8477=LINE('',#49091,#11555); #8478=LINE('',#49092,#11556); #8479=LINE('',#49115,#11557); #8480=LINE('',#49118,#11558); #8481=LINE('',#49121,#11559); #8482=LINE('',#49125,#11560); #8483=LINE('',#49132,#11561); #8484=LINE('',#49135,#11562); #8485=LINE('',#49140,#11563); #8486=LINE('',#49143,#11564); #8487=LINE('',#49160,#11565); #8488=LINE('',#49163,#11566); #8489=LINE('',#49167,#11567); #8490=LINE('',#49170,#11568); #8491=LINE('',#49173,#11569); #8492=LINE('',#49176,#11570); #8493=LINE('',#49178,#11571); #8494=LINE('',#49179,#11572); #8495=LINE('',#49182,#11573); #8496=LINE('',#49186,#11574); #8497=LINE('',#49190,#11575); #8498=LINE('',#49193,#11576); #8499=LINE('',#49202,#11577); #8500=LINE('',#49205,#11578); #8501=LINE('',#49209,#11579); #8502=LINE('',#49211,#11580); #8503=LINE('',#49216,#11581); #8504=LINE('',#49220,#11582); #8505=LINE('',#49223,#11583); #8506=LINE('',#49227,#11584); #8507=LINE('',#49229,#11585); #8508=LINE('',#49230,#11586); #8509=LINE('',#49233,#11587); #8510=LINE('',#49235,#11588); #8511=LINE('',#49238,#11589); #8512=LINE('',#49243,#11590); #8513=LINE('',#49244,#11591); #8514=LINE('',#49250,#11592); #8515=LINE('',#49256,#11593); #8516=LINE('',#49259,#11594); #8517=LINE('',#49294,#11595); #8518=LINE('',#49295,#11596); #8519=LINE('',#49304,#11597); #8520=LINE('',#49306,#11598); #8521=LINE('',#49311,#11599); #8522=LINE('',#49312,#11600); #8523=LINE('',#49314,#11601); #8524=LINE('',#49315,#11602); #8525=LINE('',#49317,#11603); #8526=LINE('',#49318,#11604); #8527=LINE('',#49320,#11605); #8528=LINE('',#49323,#11606); #8529=LINE('',#49327,#11607); #8530=LINE('',#49328,#11608); #8531=LINE('',#49331,#11609); #8532=LINE('',#49337,#11610); #8533=LINE('',#49338,#11611); #8534=LINE('',#49339,#11612); #8535=LINE('',#49342,#11613); #8536=LINE('',#49344,#11614); #8537=LINE('',#49345,#11615); #8538=LINE('',#49347,#11616); #8539=LINE('',#49353,#11617); #8540=LINE('',#49357,#11618); #8541=LINE('',#49358,#11619); #8542=LINE('',#49361,#11620); #8543=LINE('',#49363,#11621); #8544=LINE('',#49364,#11622); #8545=LINE('',#49367,#11623); #8546=LINE('',#49368,#11624); #8547=LINE('',#49370,#11625); #8548=LINE('',#49371,#11626); #8549=LINE('',#49377,#11627); #8550=LINE('',#49379,#11628); #8551=LINE('',#49382,#11629); #8552=LINE('',#49385,#11630); #8553=LINE('',#49388,#11631); #8554=LINE('',#49389,#11632); #8555=LINE('',#49392,#11633); #8556=LINE('',#49393,#11634); #8557=LINE('',#49395,#11635); #8558=LINE('',#49405,#11636); #8559=LINE('',#49409,#11637); #8560=LINE('',#49413,#11638); #8561=LINE('',#49421,#11639); #8562=LINE('',#49423,#11640); #8563=LINE('',#49424,#11641); #8564=LINE('',#49429,#11642); #8565=LINE('',#49430,#11643); #8566=LINE('',#49446,#11644); #8567=LINE('',#49447,#11645); #8568=LINE('',#49449,#11646); #8569=LINE('',#49456,#11647); #8570=LINE('',#49459,#11648); #8571=LINE('',#49465,#11649); #8572=LINE('',#49467,#11650); #8573=LINE('',#49485,#11651); #8574=LINE('',#49487,#11652); #8575=LINE('',#49491,#11653); #8576=LINE('',#49493,#11654); #8577=LINE('',#49494,#11655); #8578=LINE('',#49496,#11656); #8579=LINE('',#49497,#11657); #8580=LINE('',#49499,#11658); #8581=LINE('',#49501,#11659); #8582=LINE('',#49503,#11660); #8583=LINE('',#49511,#11661); #8584=LINE('',#49513,#11662); #8585=LINE('',#49517,#11663); #8586=LINE('',#49519,#11664); #8587=LINE('',#49521,#11665); #8588=LINE('',#49525,#11666); #8589=LINE('',#49527,#11667); #8590=LINE('',#49532,#11668); #8591=LINE('',#49535,#11669); #8592=LINE('',#49537,#11670); #8593=LINE('',#49541,#11671); #8594=LINE('',#49542,#11672); #8595=LINE('',#49546,#11673); #8596=LINE('',#49550,#11674); #8597=LINE('',#49551,#11675); #8598=LINE('',#49554,#11676); #8599=LINE('',#49556,#11677); #8600=LINE('',#49562,#11678); #8601=LINE('',#49569,#11679); #8602=LINE('',#49572,#11680); #8603=LINE('',#49607,#11681); #8604=LINE('',#49608,#11682); #8605=LINE('',#49616,#11683); #8606=LINE('',#49617,#11684); #8607=LINE('',#49620,#11685); #8608=LINE('',#49621,#11686); #8609=LINE('',#49623,#11687); #8610=LINE('',#49624,#11688); #8611=LINE('',#49626,#11689); #8612=LINE('',#49630,#11690); #8613=LINE('',#49633,#11691); #8614=LINE('',#49634,#11692); #8615=LINE('',#49637,#11693); #8616=LINE('',#49639,#11694); #8617=LINE('',#49641,#11695); #8618=LINE('',#49647,#11696); #8619=LINE('',#49649,#11697); #8620=LINE('',#49650,#11698); #8621=LINE('',#49655,#11699); #8622=LINE('',#49659,#11700); #8623=LINE('',#49662,#11701); #8624=LINE('',#49663,#11702); #8625=LINE('',#49666,#11703); #8626=LINE('',#49668,#11704); #8627=LINE('',#49669,#11705); #8628=LINE('',#49672,#11706); #8629=LINE('',#49673,#11707); #8630=LINE('',#49675,#11708); #8631=LINE('',#49676,#11709); #8632=LINE('',#49683,#11710); #8633=LINE('',#49699,#11711); #8634=LINE('',#49706,#11712); #8635=LINE('',#49719,#11713); #8636=LINE('',#49721,#11714); #8637=LINE('',#49723,#11715); #8638=LINE('',#49725,#11716); #8639=LINE('',#49727,#11717); #8640=LINE('',#49728,#11718); #8641=LINE('',#49730,#11719); #8642=LINE('',#49737,#11720); #8643=LINE('',#49738,#11721); #8644=LINE('',#49744,#11722); #8645=LINE('',#49750,#11723); #8646=LINE('',#49756,#11724); #8647=LINE('',#49763,#11725); #8648=LINE('',#49773,#11726); #8649=VECTOR('',#32691,5.); #8650=VECTOR('',#32698,5.); #8651=VECTOR('',#32705,2.067); #8652=VECTOR('',#32712,2.067); #8653=VECTOR('',#32719,2.067); #8654=VECTOR('',#32726,2.067); #8655=VECTOR('',#32733,2.75); #8656=VECTOR('',#32740,2.75); #8657=VECTOR('',#32747,2.75); #8658=VECTOR('',#32754,2.75); #8659=VECTOR('',#32761,2.75); #8660=VECTOR('',#32768,2.75); #8661=VECTOR('',#32775,2.75); #8662=VECTOR('',#32782,2.75); #8663=VECTOR('',#32787,10.); #8664=VECTOR('',#32788,10.); #8665=VECTOR('',#32789,10.); #8666=VECTOR('',#32790,10.); #8667=VECTOR('',#32797,10.); #8668=VECTOR('',#32800,10.); #8669=VECTOR('',#32801,10.); #8670=VECTOR('',#32802,10.); #8671=VECTOR('',#32813,3.3235); #8672=VECTOR('',#32820,3.3235); #8673=VECTOR('',#32827,4.1); #8674=VECTOR('',#32834,4.1); #8675=VECTOR('',#32841,4.1); #8676=VECTOR('',#32848,4.1); #8677=VECTOR('',#32855,10.); #8678=VECTOR('',#32858,10.); #8679=VECTOR('',#32861,10.); #8680=VECTOR('',#32862,10.); #8681=VECTOR('',#32863,10.); #8682=VECTOR('',#32870,10.); #8683=VECTOR('',#32873,10.); #8684=VECTOR('',#32874,10.); #8685=VECTOR('',#32879,10.); #8686=VECTOR('',#32882,10.); #8687=VECTOR('',#32885,10.); #8688=VECTOR('',#32886,10.); #8689=VECTOR('',#32887,10.); #8690=VECTOR('',#32894,10.); #8691=VECTOR('',#32897,10.); #8692=VECTOR('',#32898,10.); #8693=VECTOR('',#32903,10.); #8694=VECTOR('',#32906,10.); #8695=VECTOR('',#32909,10.); #8696=VECTOR('',#32910,10.); #8697=VECTOR('',#32911,10.); #8698=VECTOR('',#32918,10.); #8699=VECTOR('',#32921,10.); #8700=VECTOR('',#32922,10.); #8701=VECTOR('',#32927,10.); #8702=VECTOR('',#32930,10.); #8703=VECTOR('',#32933,10.); #8704=VECTOR('',#32934,10.); #8705=VECTOR('',#32935,10.); #8706=VECTOR('',#32938,10.); #8707=VECTOR('',#32941,10.); #8708=VECTOR('',#32944,10.); #8709=VECTOR('',#32947,10.); #8710=VECTOR('',#32948,10.); #8711=VECTOR('',#32951,10.); #8712=VECTOR('',#32954,10.); #8713=VECTOR('',#32959,10.); #8714=VECTOR('',#32962,10.); #8715=VECTOR('',#32965,10.); #8716=VECTOR('',#32966,10.); #8717=VECTOR('',#32973,10.); #8718=VECTOR('',#32976,10.); #8719=VECTOR('',#32977,10.); #8720=VECTOR('',#32980,10.); #8721=VECTOR('',#32983,10.); #8722=VECTOR('',#32986,10.); #8723=VECTOR('',#32989,10.); #8724=VECTOR('',#32992,10.); #8725=VECTOR('',#32995,10.); #8726=VECTOR('',#32998,10.); #8727=VECTOR('',#33001,10.); #8728=VECTOR('',#33004,10.); #8729=VECTOR('',#33007,10.); #8730=VECTOR('',#33010,10.); #8731=VECTOR('',#33013,10.); #8732=VECTOR('',#33022,13.); #8733=VECTOR('',#33029,2.067); #8734=VECTOR('',#33036,2.067); #8735=VECTOR('',#33043,2.067); #8736=VECTOR('',#33050,2.067); #8737=VECTOR('',#33061,30.); #8738=VECTOR('',#33070,43.); #8739=VECTOR('',#33075,42.5); #8740=VECTOR('',#33084,32.); #8741=VECTOR('',#33089,31.5); #8742=VECTOR('',#33096,26.5); #8743=VECTOR('',#33101,26.); #8744=VECTOR('',#33110,23.); #8745=VECTOR('',#33139,12.5); #8746=VECTOR('',#33150,16.450001); #8747=VECTOR('',#33175,26.); #8748=VECTOR('',#33186,22.575001); #8749=VECTOR('',#33193,22.575001); #8750=VECTOR('',#33210,16.750001); #8751=VECTOR('',#33225,0.500000000000008); #8752=VECTOR('',#33232,0.249999999999974); #8753=VECTOR('',#33241,16.450001); #8754=VECTOR('',#33258,16.750001); #8755=VECTOR('',#33273,0.500000000000008); #8756=VECTOR('',#33278,0.249999999999974); #8757=VECTOR('',#33295,0.250000000000001); #8758=VECTOR('',#33304,0.500000000000001); #8759=VECTOR('',#33311,0.250000000000009); #8760=VECTOR('',#33328,0.250000000000001); #8761=VECTOR('',#33335,0.500000000000001); #8762=VECTOR('',#33342,0.250000000000009); #8763=VECTOR('',#33603,16.450001); #8764=VECTOR('',#33606,16.450001); #8765=VECTOR('',#33609,16.450001); #8766=VECTOR('',#33616,10.); #8767=VECTOR('',#33619,10.); #8768=VECTOR('',#33622,10.); #8769=VECTOR('',#33623,10.); #8770=VECTOR('',#33624,10.); #8771=VECTOR('',#33627,10.); #8772=VECTOR('',#33628,10.); #8773=VECTOR('',#33631,10.); #8774=VECTOR('',#33632,10.); #8775=VECTOR('',#33635,10.); #8776=VECTOR('',#33646,10.); #8777=VECTOR('',#33647,10.); #8778=VECTOR('',#33650,10.); #8779=VECTOR('',#33651,10.); #8780=VECTOR('',#33656,1.); #8781=VECTOR('',#33661,1.); #8782=VECTOR('',#33664,10.); #8783=VECTOR('',#33665,10.); #8784=VECTOR('',#33668,10.); #8785=VECTOR('',#33669,10.); #8786=VECTOR('',#33674,10.); #8787=VECTOR('',#33677,10.); #8788=VECTOR('',#33678,10.); #8789=VECTOR('',#33681,10.); #8790=VECTOR('',#33692,3.03064504595701); #8791=VECTOR('',#33695,3.03064504595701); #8792=VECTOR('',#33698,3.03064504595708); #8793=VECTOR('',#33701,3.030645045957); #8794=VECTOR('',#33704,3.03064504595708); #8795=VECTOR('',#33707,3.03064504595686); #8796=VECTOR('',#33710,3.03064504595701); #8797=VECTOR('',#33713,3.03064504595701); #8798=VECTOR('',#33716,3.03064504595708); #8799=VECTOR('',#33719,3.030645045957); #8800=VECTOR('',#33722,3.03064504595708); #8801=VECTOR('',#33725,3.03064504595686); #8802=VECTOR('',#33728,3.03064504595701); #8803=VECTOR('',#33731,3.03064504595701); #8804=VECTOR('',#33734,3.03064504595708); #8805=VECTOR('',#33737,3.030645045957); #8806=VECTOR('',#33740,3.03064504595708); #8807=VECTOR('',#33743,3.03064504595686); #8808=VECTOR('',#33746,3.03064504595701); #8809=VECTOR('',#33749,3.03064504595701); #8810=VECTOR('',#33752,3.03064504595708); #8811=VECTOR('',#33755,3.030645045957); #8812=VECTOR('',#33758,3.03064504595708); #8813=VECTOR('',#33761,3.03064504595686); #8814=VECTOR('',#33768,22.); #8815=VECTOR('',#33769,3.03064504595701); #8816=VECTOR('',#33770,22.); #8817=VECTOR('',#33775,22.); #8818=VECTOR('',#33776,22.); #8819=VECTOR('',#33781,22.); #8820=VECTOR('',#33782,22.); #8821=VECTOR('',#33787,22.); #8822=VECTOR('',#33788,22.); #8823=VECTOR('',#33793,22.); #8824=VECTOR('',#33794,22.); #8825=VECTOR('',#33799,22.); #8826=VECTOR('',#33800,22.); #8827=VECTOR('',#33805,22.); #8828=VECTOR('',#33806,22.); #8829=VECTOR('',#33811,22.); #8830=VECTOR('',#33812,22.); #8831=VECTOR('',#33817,22.); #8832=VECTOR('',#33818,22.); #8833=VECTOR('',#33821,22.); #8834=VECTOR('',#33824,22.); #8835=VECTOR('',#33827,22.); #8836=VECTOR('',#33830,22.); #8837=VECTOR('',#33835,22.); #8838=VECTOR('',#33838,22.); #8839=VECTOR('',#33839,22.); #8840=VECTOR('',#33846,3.03064504595686); #8841=VECTOR('',#33847,3.03064504595708); #8842=VECTOR('',#33850,3.030645045957); #8843=VECTOR('',#33851,3.03064504595708); #8844=VECTOR('',#33854,3.03064504595701); #8845=VECTOR('',#33855,3.03064504595701); #8846=VECTOR('',#33858,3.03064504595686); #8847=VECTOR('',#33859,3.03064504595708); #8848=VECTOR('',#33862,3.030645045957); #8849=VECTOR('',#33863,3.03064504595708); #8850=VECTOR('',#33866,3.03064504595701); #8851=VECTOR('',#33867,3.03064504595701); #8852=VECTOR('',#33870,3.03064504595686); #8853=VECTOR('',#33871,3.03064504595708); #8854=VECTOR('',#33874,3.030645045957); #8855=VECTOR('',#33875,3.03064504595708); #8856=VECTOR('',#33878,3.03064504595701); #8857=VECTOR('',#33879,3.03064504595701); #8858=VECTOR('',#33882,3.03064504595686); #8859=VECTOR('',#33883,3.03064504595708); #8860=VECTOR('',#33886,3.030645045957); #8861=VECTOR('',#33887,3.03064504595708); #8862=VECTOR('',#33890,3.03064504595701); #8863=VECTOR('',#33893,11.37); #8864=VECTOR('',#33896,4.74); #8865=VECTOR('',#33899,11.37); #8866=VECTOR('',#33902,4.74); #8867=VECTOR('',#33907,0.0904945145129924); #8868=VECTOR('',#33908,0.23875); #8869=VECTOR('',#33909,0.128244342791603); #8870=VECTOR('',#33910,0.201677773660101); #8871=VECTOR('',#33911,0.20049252714141); #8872=VECTOR('',#33912,0.203066256092098); #8873=VECTOR('',#33913,0.1989583143592); #8874=VECTOR('',#33914,0.164272653470101); #8875=VECTOR('',#33915,0.178717397582305); #8876=VECTOR('',#33916,0.227502885808796); #8877=VECTOR('',#33917,0.167352654227907); #8878=VECTOR('',#33918,0.1625532859564); #8879=VECTOR('',#33919,0.167352654227907); #8880=VECTOR('',#33920,0.227502885808796); #8881=VECTOR('',#33921,0.178717397582305); #8882=VECTOR('',#33922,0.164272653470097); #8883=VECTOR('',#33923,0.23875); #8884=VECTOR('',#33924,0.164272653470101); #8885=VECTOR('',#33925,0.144417014461202); #8886=VECTOR('',#33926,0.145591024742599); #8887=VECTOR('',#33927,0.1219148790836); #8888=VECTOR('',#33928,0.145590977076996); #8889=VECTOR('',#33929,0.1444170304789); #8890=VECTOR('',#33930,0.164272653470101); #8891=VECTOR('',#33931,0.3183333712816); #8892=VECTOR('',#33932,0.1642726258935); #8893=VECTOR('',#33933,0.170627182203399); #8894=VECTOR('',#33934,0.128244351622495); #8895=VECTOR('',#33935,0.23875); #8896=VECTOR('',#33936,0.167352653381993); #8897=VECTOR('',#33937,0.235663277430103); #8898=VECTOR('',#33938,0.233340216978596); #8899=VECTOR('',#33939,0.203066201033091); #8900=VECTOR('',#33940,0.3183333712816); #8901=VECTOR('',#33941,0.203066201033111); #8902=VECTOR('',#33942,0.178717377088507); #8903=VECTOR('',#33943,0.227502945757098); #8904=VECTOR('',#33944,0.180989054588102); #8905=VECTOR('',#33945,0.2031914651394); #8906=VECTOR('',#33946,0.180989080150209); #8907=VECTOR('',#33947,0.227502935589196); #8908=VECTOR('',#33948,0.178717372234595); #8909=VECTOR('',#33949,0.203066200321102); #8910=VECTOR('',#33950,0.23875); #8911=VECTOR('',#33951,0.126102583182199); #8912=VECTOR('',#33952,0.144417037987204); #8913=VECTOR('',#33953,0.128244351622503); #8914=VECTOR('',#33954,0.08127658605575); #8915=VECTOR('',#33955,0.128244459848602); #8916=VECTOR('',#33956,0.144417037987204); #8917=VECTOR('',#33957,0.126102583182199); #8918=VECTOR('',#33958,0.23875); #8919=VECTOR('',#33959,0.126102529296598); #8920=VECTOR('',#33960,0.113751467794598); #8921=VECTOR('',#33963,1.13091668527599); #8922=VECTOR('',#33964,0.23875); #8923=VECTOR('',#33965,0.929393171755152); #8924=VECTOR('',#33966,0.929393298485084); #8925=VECTOR('',#33967,0.23875); #8926=VECTOR('',#33968,1.13091681345699); #8927=VECTOR('',#33969,0.9753191465139); #8928=VECTOR('',#33970,0.23875); #8929=VECTOR('',#33971,0.9753191465139); #8930=VECTOR('',#33974,0.0904946646907654); #8931=VECTOR('',#33975,0.23875); #8932=VECTOR('',#33976,0.128244448763299); #8933=VECTOR('',#33977,0.201677773660101); #8934=VECTOR('',#33978,0.20049243676249); #8935=VECTOR('',#33979,0.203066367633995); #8936=VECTOR('',#33980,0.198958029747); #8937=VECTOR('',#33981,0.164272929235903); #8938=VECTOR('',#33982,0.178717270843805); #8939=VECTOR('',#33983,0.227502935589196); #8940=VECTOR('',#33984,0.167352617008003); #8941=VECTOR('',#33985,0.1625532859564); #8942=VECTOR('',#33986,0.167352617008103); #8943=VECTOR('',#33987,0.227502935589212); #8944=VECTOR('',#33988,0.178717270843805); #8945=VECTOR('',#33989,0.164272929235899); #8946=VECTOR('',#33990,0.23875); #8947=VECTOR('',#33991,0.164272488010596); #8948=VECTOR('',#33992,0.144417014461202); #8949=VECTOR('',#33993,0.145591149203); #8950=VECTOR('',#33994,0.1219148790836); #8951=VECTOR('',#33995,0.145591101537305); #8952=VECTOR('',#33996,0.1444170304789); #8953=VECTOR('',#33997,0.164272488010596); #8954=VECTOR('',#33998,0.3183334851265); #8955=VECTOR('',#33999,0.164272488010596); #8956=VECTOR('',#34000,0.170627182203399); #8957=VECTOR('',#34001,0.128244448763199); #8958=VECTOR('',#34002,0.2387497723103); #8959=VECTOR('',#34003,0.167352617008003); #8960=VECTOR('',#34004,0.235663275628004); #8961=VECTOR('',#34005,0.233340156309697); #8962=VECTOR('',#34006,0.203066368345903); #8963=VECTOR('',#34007,0.3183334851265); #8964=VECTOR('',#34008,0.2030659221784); #8965=VECTOR('',#34009,0.178717681261004); #8966=VECTOR('',#34010,0.227502786459796); #8967=VECTOR('',#34011,0.180989154706295); #8968=VECTOR('',#34012,0.2031914651394); #8969=VECTOR('',#34013,0.180989180268504); #8970=VECTOR('',#34014,0.227502776291802); #8971=VECTOR('',#34015,0.178717676407193); #8972=VECTOR('',#34016,0.20306592146651); #8973=VECTOR('',#34017,0.23875); #8974=VECTOR('',#34018,0.126102583182199); #8975=VECTOR('',#34019,0.144417014461206); #8976=VECTOR('',#34020,0.128244448763299); #8977=VECTOR('',#34021,0.08127658605575); #8978=VECTOR('',#34022,0.128244556989303); #8979=VECTOR('',#34023,0.144417014461206); #8980=VECTOR('',#34024,0.126102583182199); #8981=VECTOR('',#34025,0.23875); #8982=VECTOR('',#34026,0.126102583182199); #8983=VECTOR('',#34027,0.1137512288487); #8984=VECTOR('',#34030,0.2031914651394); #8985=VECTOR('',#34031,1.512083485126); #8986=VECTOR('',#34032,0.2031914651394); #8987=VECTOR('',#34033,0.636666970253); #8988=VECTOR('',#34034,1.706808534861); #8989=VECTOR('',#34035,0.23875); #8990=VECTOR('',#34036,1.706808534861); #8991=VECTOR('',#34037,0.6366665148735); #8992=VECTOR('',#34040,0.2438297866285); #8993=VECTOR('',#34041,1.193749544621); #8994=VECTOR('',#34042,0.6502127738297); #8995=VECTOR('',#34043,1.034583485126); #8996=VECTOR('',#34044,0.2438297581673); #8997=VECTOR('',#34045,1.034583485126); #8998=VECTOR('',#34046,0.5282979232073); #8999=VECTOR('',#34047,1.193749544621); #9000=VECTOR('',#34048,0.2438297581673); #9001=VECTOR('',#34049,1.432499544621); #9002=VECTOR('',#34050,1.91); #9003=VECTOR('',#34051,1.432499544621); #9004=VECTOR('',#34054,1.60349830343708); #9005=VECTOR('',#34055,1.503617069721); #9006=VECTOR('',#34056,0.23875); #9007=VECTOR('',#34057,1.91); #9008=VECTOR('',#34058,0.358125); #9009=VECTOR('',#34059,1.80816344480894); #9010=VECTOR('',#34060,1.80816344480894); #9011=VECTOR('',#34061,0.358125); #9012=VECTOR('',#34062,1.91); #9013=VECTOR('',#34063,0.23875); #9014=VECTOR('',#34064,1.503617069721); #9015=VECTOR('',#34065,1.60349798702405); #9016=VECTOR('',#34066,0.318333029747); #9017=VECTOR('',#34069,0.1625532859564); #9018=VECTOR('',#34070,0.128244342791603); #9019=VECTOR('',#34071,0.201677773660201); #9020=VECTOR('',#34072,0.20049252714141); #9021=VECTOR('',#34073,0.203066256092093); #9022=VECTOR('',#34074,0.955); #9023=VECTOR('',#34075,1.91); #9024=VECTOR('',#34076,0.23875); #9025=VECTOR('',#34077,0.8534042674303); #9026=VECTOR('',#34078,0.71625); #9027=VECTOR('',#34079,0.203066256092098); #9028=VECTOR('',#34080,0.200492527141394); #9029=VECTOR('',#34081,0.201677773660101); #9030=VECTOR('',#34082,0.128244342791603); #9031=VECTOR('',#34083,0.126102583182195); #9032=VECTOR('',#34084,0.7560416287184); #9033=VECTOR('',#34085,0.609574509263); #9034=VECTOR('',#34086,0.7560416287184); #9035=VECTOR('',#34087,0.126102583182195); #9036=VECTOR('',#34088,0.113751467794606); #9037=VECTOR('',#34089,0.128244342791603); #9038=VECTOR('',#34090,0.1219149929285); #9039=VECTOR('',#34091,0.128244342791603); #9040=VECTOR('',#34092,0.113751467794598); #9041=VECTOR('',#34095,0.23875); #9042=VECTOR('',#34096,1.91); #9043=VECTOR('',#34097,1.4325); #9044=VECTOR('',#34098,0.2438297866285); #9045=VECTOR('',#34099,1.19375); #9046=VECTOR('',#34100,1.666170213372); #9047=VECTOR('',#34103,2.01331672897302); #9048=VECTOR('',#34104,0.3183334851265); #9049=VECTOR('',#34105,2.01331680097395); #9050=VECTOR('',#34106,0.23875); #9051=VECTOR('',#34107,0.512977495926797); #9052=VECTOR('',#34108,0.7958334851265); #9053=VECTOR('',#34109,0.512977425279283); #9054=VECTOR('',#34110,0.23875); #9055=VECTOR('',#34111,1.15419935343402); #9056=VECTOR('',#34112,1.15419935343402); #9057=VECTOR('',#34113,0.71625); #9058=VECTOR('',#34116,0.090494464453948); #9059=VECTOR('',#34117,0.23875); #9060=VECTOR('',#34118,0.1282443074678); #9061=VECTOR('',#34119,0.201677773660101); #9062=VECTOR('',#34120,0.200492798278401); #9063=VECTOR('',#34121,0.203065921466506); #9064=VECTOR('',#34122,0.1989584851265); #9065=VECTOR('',#34123,0.164272488010592); #9066=VECTOR('',#34124,0.178717676407193); #9067=VECTOR('',#34125,0.227502776291802); #9068=VECTOR('',#34126,0.167352617008099); #9069=VECTOR('',#34127,0.1625532859564); #9070=VECTOR('',#34128,0.167352617007999); #9071=VECTOR('',#34129,0.227502776291802); #9072=VECTOR('',#34130,0.178717676407193); #9073=VECTOR('',#34131,0.164272488010596); #9074=VECTOR('',#34132,0.23875); #9075=VECTOR('',#34133,0.164272488010596); #9076=VECTOR('',#34134,0.144417014461198); #9077=VECTOR('',#34135,0.145591149203); #9078=VECTOR('',#34136,0.1219148790836); #9079=VECTOR('',#34137,0.145591101537305); #9080=VECTOR('',#34138,0.144417030478896); #9081=VECTOR('',#34139,0.164272488010596); #9082=VECTOR('',#34140,0.3183334851265); #9083=VECTOR('',#34141,0.1642724880106); #9084=VECTOR('',#34142,0.170627182203399); #9085=VECTOR('',#34143,0.1282443074678); #9086=VECTOR('',#34144,0.23875); #9087=VECTOR('',#34145,0.167352617008099); #9088=VECTOR('',#34146,0.235663275628004); #9089=VECTOR('',#34147,0.233340350450099); #9090=VECTOR('',#34148,0.203065922178504); #9091=VECTOR('',#34149,0.3183334851265); #9092=VECTOR('',#34150,0.203066368345903); #9093=VECTOR('',#34151,0.178717275697603); #9094=VECTOR('',#34152,0.227502786459796); #9095=VECTOR('',#34153,0.180989154706395); #9096=VECTOR('',#34154,0.2031914651394); #9097=VECTOR('',#34155,0.180989180268404); #9098=VECTOR('',#34156,0.227502776291786); #9099=VECTOR('',#34157,0.178717270843805); #9100=VECTOR('',#34158,0.203066367633995); #9101=VECTOR('',#34159,0.23875); #9102=VECTOR('',#34160,0.126102583182195); #9103=VECTOR('',#34161,0.144417014461202); #9104=VECTOR('',#34162,0.1282443074678); #9105=VECTOR('',#34163,0.08127658605574); #9106=VECTOR('',#34164,0.128244415694004); #9107=VECTOR('',#34165,0.144417014461202); #9108=VECTOR('',#34166,0.126102583182199); #9109=VECTOR('',#34167,0.23875); #9110=VECTOR('',#34168,0.126102583182199); #9111=VECTOR('',#34169,0.113751547443398); #9112=VECTOR('',#34172,0.2031914651394); #9113=VECTOR('',#34173,1.512083485126); #9114=VECTOR('',#34174,0.2031914651394); #9115=VECTOR('',#34175,0.6366665148735); #9116=VECTOR('',#34176,1.706808534861); #9117=VECTOR('',#34177,0.23875); #9118=VECTOR('',#34178,1.706808534861); #9119=VECTOR('',#34179,0.636666970253); #9120=VECTOR('',#34182,22.); #9121=VECTOR('',#34185,22.); #9122=VECTOR('',#34190,22.); #9123=VECTOR('',#34193,22.); #9124=VECTOR('',#34198,22.); #9125=VECTOR('',#34201,22.); #9126=VECTOR('',#34206,22.); #9127=VECTOR('',#34209,22.); #9128=VECTOR('',#34214,22.); #9129=VECTOR('',#34217,22.); #9130=VECTOR('',#34222,22.); #9131=VECTOR('',#34225,22.); #9132=VECTOR('',#34230,22.); #9133=VECTOR('',#34233,22.); #9134=VECTOR('',#34238,22.); #9135=VECTOR('',#34241,22.); #9136=VECTOR('',#34246,22.); #9137=VECTOR('',#34249,22.); #9138=VECTOR('',#34254,22.); #9139=VECTOR('',#34257,22.); #9140=VECTOR('',#34262,22.); #9141=VECTOR('',#34265,22.); #9142=VECTOR('',#34270,22.); #9143=VECTOR('',#34275,0.2); #9144=VECTOR('',#34278,0.2); #9145=VECTOR('',#34281,11.37); #9146=VECTOR('',#34282,0.2); #9147=VECTOR('',#34287,0.2); #9148=VECTOR('',#34290,4.74); #9149=VECTOR('',#34291,0.2); #9150=VECTOR('',#34296,0.2); #9151=VECTOR('',#34299,11.37); #9152=VECTOR('',#34300,0.2); #9153=VECTOR('',#34305,0.2); #9154=VECTOR('',#34308,4.74); #9155=VECTOR('',#34311,0.0904945145129682); #9156=VECTOR('',#34312,0.113751467794606); #9157=VECTOR('',#34313,0.126102529296598); #9158=VECTOR('',#34314,0.23875); #9159=VECTOR('',#34315,0.126102583182199); #9160=VECTOR('',#34316,0.144417037987204); #9161=VECTOR('',#34317,0.128244459848602); #9162=VECTOR('',#34318,0.08127658605575); #9163=VECTOR('',#34319,0.128244351622503); #9164=VECTOR('',#34320,0.144417037987204); #9165=VECTOR('',#34321,0.126102583182199); #9166=VECTOR('',#34322,0.23875); #9167=VECTOR('',#34323,0.203066200321102); #9168=VECTOR('',#34324,0.178717372234595); #9169=VECTOR('',#34325,0.227502935589212); #9170=VECTOR('',#34326,0.180989080150193); #9171=VECTOR('',#34327,0.2031914651394); #9172=VECTOR('',#34328,0.180989054588102); #9173=VECTOR('',#34329,0.227502945757098); #9174=VECTOR('',#34330,0.178717377088507); #9175=VECTOR('',#34331,0.203066201033111); #9176=VECTOR('',#34332,0.3183333712816); #9177=VECTOR('',#34333,0.203066201033111); #9178=VECTOR('',#34334,0.233340216978596); #9179=VECTOR('',#34335,0.235663277430103); #9180=VECTOR('',#34336,0.167352653381993); #9181=VECTOR('',#34337,0.23875); #9182=VECTOR('',#34338,0.128244351622495); #9183=VECTOR('',#34339,0.170627182203399); #9184=VECTOR('',#34340,0.1642726258935); #9185=VECTOR('',#34341,0.3183333712816); #9186=VECTOR('',#34342,0.164272653470101); #9187=VECTOR('',#34343,0.1444170304789); #9188=VECTOR('',#34344,0.145590977077004); #9189=VECTOR('',#34345,0.1219148790836); #9190=VECTOR('',#34346,0.145591024742599); #9191=VECTOR('',#34347,0.144417014461202); #9192=VECTOR('',#34348,0.164272653470101); #9193=VECTOR('',#34349,0.23875); #9194=VECTOR('',#34350,0.164272653470097); #9195=VECTOR('',#34351,0.178717397582305); #9196=VECTOR('',#34352,0.227502885808796); #9197=VECTOR('',#34353,0.167352654227907); #9198=VECTOR('',#34354,0.1625532859564); #9199=VECTOR('',#34355,0.167352654227907); #9200=VECTOR('',#34356,0.227502885808796); #9201=VECTOR('',#34357,0.178717397582305); #9202=VECTOR('',#34358,0.164272653470101); #9203=VECTOR('',#34359,0.1989583143592); #9204=VECTOR('',#34360,0.203066256092098); #9205=VECTOR('',#34361,0.200492527141394); #9206=VECTOR('',#34362,0.201677773660101); #9207=VECTOR('',#34363,0.128244342791603); #9208=VECTOR('',#34364,0.23875); #9209=VECTOR('',#34365,1.13091668527599); #9210=VECTOR('',#34366,0.9753191465139); #9211=VECTOR('',#34367,0.23875); #9212=VECTOR('',#34368,0.9753191465139); #9213=VECTOR('',#34369,1.13091681345699); #9214=VECTOR('',#34370,0.23875); #9215=VECTOR('',#34371,0.929393298485084); #9216=VECTOR('',#34372,0.929393171755152); #9217=VECTOR('',#34373,0.23875); #9218=VECTOR('',#34374,0.0904946646907654); #9219=VECTOR('',#34375,0.1137512288487); #9220=VECTOR('',#34376,0.126102583182199); #9221=VECTOR('',#34377,0.23875); #9222=VECTOR('',#34378,0.126102583182199); #9223=VECTOR('',#34379,0.144417014461206); #9224=VECTOR('',#34380,0.128244556989303); #9225=VECTOR('',#34381,0.08127658605575); #9226=VECTOR('',#34382,0.128244448763299); #9227=VECTOR('',#34383,0.144417014461206); #9228=VECTOR('',#34384,0.126102583182199); #9229=VECTOR('',#34385,0.23875); #9230=VECTOR('',#34386,0.20306592146651); #9231=VECTOR('',#34387,0.178717676407193); #9232=VECTOR('',#34388,0.227502776291802); #9233=VECTOR('',#34389,0.180989180268504); #9234=VECTOR('',#34390,0.2031914651394); #9235=VECTOR('',#34391,0.180989154706295); #9236=VECTOR('',#34392,0.227502786459796); #9237=VECTOR('',#34393,0.178717681261004); #9238=VECTOR('',#34394,0.2030659221784); #9239=VECTOR('',#34395,0.3183334851265); #9240=VECTOR('',#34396,0.203066368345903); #9241=VECTOR('',#34397,0.233340156309697); #9242=VECTOR('',#34398,0.235663275628004); #9243=VECTOR('',#34399,0.167352617008003); #9244=VECTOR('',#34400,0.2387497723103); #9245=VECTOR('',#34401,0.128244448763199); #9246=VECTOR('',#34402,0.170627182203399); #9247=VECTOR('',#34403,0.164272488010596); #9248=VECTOR('',#34404,0.3183334851265); #9249=VECTOR('',#34405,0.164272488010596); #9250=VECTOR('',#34406,0.1444170304789); #9251=VECTOR('',#34407,0.145591101537305); #9252=VECTOR('',#34408,0.1219148790836); #9253=VECTOR('',#34409,0.145591149203); #9254=VECTOR('',#34410,0.144417014461202); #9255=VECTOR('',#34411,0.164272488010596); #9256=VECTOR('',#34412,0.23875); #9257=VECTOR('',#34413,0.164272929235899); #9258=VECTOR('',#34414,0.178717270843805); #9259=VECTOR('',#34415,0.227502935589212); #9260=VECTOR('',#34416,0.167352617008003); #9261=VECTOR('',#34417,0.1625532859564); #9262=VECTOR('',#34418,0.167352617008003); #9263=VECTOR('',#34419,0.227502935589212); #9264=VECTOR('',#34420,0.178717270843805); #9265=VECTOR('',#34421,0.164272929235903); #9266=VECTOR('',#34422,0.198958029747); #9267=VECTOR('',#34423,0.203066367633995); #9268=VECTOR('',#34424,0.20049243676249); #9269=VECTOR('',#34425,0.201677773660101); #9270=VECTOR('',#34426,0.128244448763299); #9271=VECTOR('',#34427,0.23875); #9272=VECTOR('',#34428,0.2031914651394); #9273=VECTOR('',#34429,0.6366665148735); #9274=VECTOR('',#34430,1.706808534861); #9275=VECTOR('',#34431,0.23875); #9276=VECTOR('',#34432,1.706808534861); #9277=VECTOR('',#34433,0.636666970253); #9278=VECTOR('',#34434,0.2031914651394); #9279=VECTOR('',#34435,1.512083485126); #9280=VECTOR('',#34436,0.2438297866285); #9281=VECTOR('',#34437,1.432499544621); #9282=VECTOR('',#34438,1.91); #9283=VECTOR('',#34439,1.432499544621); #9284=VECTOR('',#34440,0.2438297581673); #9285=VECTOR('',#34441,1.193749544621); #9286=VECTOR('',#34442,0.5282979232073); #9287=VECTOR('',#34443,1.034583485126); #9288=VECTOR('',#34444,0.2438297581673); #9289=VECTOR('',#34445,1.034583485126); #9290=VECTOR('',#34446,0.6502127738297); #9291=VECTOR('',#34447,1.193749544621); #9292=VECTOR('',#34448,1.60349830343708); #9293=VECTOR('',#34449,0.318333029747); #9294=VECTOR('',#34450,1.60349798702405); #9295=VECTOR('',#34451,1.503617069721); #9296=VECTOR('',#34452,0.23875); #9297=VECTOR('',#34453,1.91); #9298=VECTOR('',#34454,0.358125); #9299=VECTOR('',#34455,1.80816344480894); #9300=VECTOR('',#34456,1.80816344480894); #9301=VECTOR('',#34457,0.358125); #9302=VECTOR('',#34458,1.91); #9303=VECTOR('',#34459,0.23875); #9304=VECTOR('',#34460,1.503617069721); #9305=VECTOR('',#34461,0.1625532859564); #9306=VECTOR('',#34462,0.128244342791603); #9307=VECTOR('',#34463,0.201677773660101); #9308=VECTOR('',#34464,0.200492527141394); #9309=VECTOR('',#34465,0.203066256092098); #9310=VECTOR('',#34466,0.71625); #9311=VECTOR('',#34467,0.8534042674303); #9312=VECTOR('',#34468,0.23875); #9313=VECTOR('',#34469,1.91); #9314=VECTOR('',#34470,0.955); #9315=VECTOR('',#34471,0.203066256092093); #9316=VECTOR('',#34472,0.200492527141394); #9317=VECTOR('',#34473,0.201677773660101); #9318=VECTOR('',#34474,0.128244342791603); #9319=VECTOR('',#34475,0.23875); #9320=VECTOR('',#34476,1.666170213372); #9321=VECTOR('',#34477,1.19375); #9322=VECTOR('',#34478,0.2438297866285); #9323=VECTOR('',#34479,1.4325); #9324=VECTOR('',#34480,1.91); #9325=VECTOR('',#34481,2.01331672897302); #9326=VECTOR('',#34482,0.23875); #9327=VECTOR('',#34483,0.512977425279283); #9328=VECTOR('',#34484,0.7958334851265); #9329=VECTOR('',#34485,0.512977495926797); #9330=VECTOR('',#34486,0.23875); #9331=VECTOR('',#34487,2.01331680097395); #9332=VECTOR('',#34488,0.3183334851265); #9333=VECTOR('',#34489,0.090494464453948); #9334=VECTOR('',#34490,0.113751547443398); #9335=VECTOR('',#34491,0.126102583182199); #9336=VECTOR('',#34492,0.23875); #9337=VECTOR('',#34493,0.126102583182199); #9338=VECTOR('',#34494,0.144417014461202); #9339=VECTOR('',#34495,0.128244415694004); #9340=VECTOR('',#34496,0.08127658605574); #9341=VECTOR('',#34497,0.1282443074678); #9342=VECTOR('',#34498,0.144417014461202); #9343=VECTOR('',#34499,0.126102583182195); #9344=VECTOR('',#34500,0.23875); #9345=VECTOR('',#34501,0.203066367633995); #9346=VECTOR('',#34502,0.178717270843805); #9347=VECTOR('',#34503,0.227502776291786); #9348=VECTOR('',#34504,0.180989180268404); #9349=VECTOR('',#34505,0.2031914651394); #9350=VECTOR('',#34506,0.180989154706395); #9351=VECTOR('',#34507,0.227502786459796); #9352=VECTOR('',#34508,0.178717275697603); #9353=VECTOR('',#34509,0.203066368345907); #9354=VECTOR('',#34510,0.3183334851265); #9355=VECTOR('',#34511,0.203065922178504); #9356=VECTOR('',#34512,0.233340350450099); #9357=VECTOR('',#34513,0.235663275628004); #9358=VECTOR('',#34514,0.167352617008099); #9359=VECTOR('',#34515,0.23875); #9360=VECTOR('',#34516,0.1282443074678); #9361=VECTOR('',#34517,0.170627182203399); #9362=VECTOR('',#34518,0.164272488010596); #9363=VECTOR('',#34519,0.3183334851265); #9364=VECTOR('',#34520,0.164272488010596); #9365=VECTOR('',#34521,0.144417030478896); #9366=VECTOR('',#34522,0.145591101537305); #9367=VECTOR('',#34523,0.1219148790836); #9368=VECTOR('',#34524,0.145591149203); #9369=VECTOR('',#34525,0.144417014461206); #9370=VECTOR('',#34526,0.164272488010596); #9371=VECTOR('',#34527,0.23875); #9372=VECTOR('',#34528,0.164272488010596); #9373=VECTOR('',#34529,0.178717676407193); #9374=VECTOR('',#34530,0.227502776291802); #9375=VECTOR('',#34531,0.167352617008099); #9376=VECTOR('',#34532,0.1625532859564); #9377=VECTOR('',#34533,0.167352617008099); #9378=VECTOR('',#34534,0.227502776291802); #9379=VECTOR('',#34535,0.178717676407193); #9380=VECTOR('',#34536,0.164272488010608); #9381=VECTOR('',#34537,0.1989584851265); #9382=VECTOR('',#34538,0.203065921466506); #9383=VECTOR('',#34539,0.200492798278401); #9384=VECTOR('',#34540,0.201677773660101); #9385=VECTOR('',#34541,0.1282443074678); #9386=VECTOR('',#34542,0.23875); #9387=VECTOR('',#34543,0.2031914651394); #9388=VECTOR('',#34544,0.636666970253); #9389=VECTOR('',#34545,1.706808534861); #9390=VECTOR('',#34546,0.23875); #9391=VECTOR('',#34547,1.706808534861); #9392=VECTOR('',#34548,0.6366665148735); #9393=VECTOR('',#34549,0.2031914651394); #9394=VECTOR('',#34550,1.512083485126); #9395=VECTOR('',#34553,0.126102583182195); #9396=VECTOR('',#34554,0.113751467794598); #9397=VECTOR('',#34555,0.128244342791603); #9398=VECTOR('',#34556,0.1219149929285); #9399=VECTOR('',#34557,0.128244342791603); #9400=VECTOR('',#34558,0.113751467794606); #9401=VECTOR('',#34559,0.126102583182195); #9402=VECTOR('',#34560,0.7560416287184); #9403=VECTOR('',#34561,0.609574509263); #9404=VECTOR('',#34562,0.7560416287184); #9405=VECTOR('',#34565,1.15419935343402); #9406=VECTOR('',#34566,0.71625); #9407=VECTOR('',#34567,1.15419935343402); #9408=VECTOR('',#34570,0.2); #9409=VECTOR('',#34571,0.2); #9410=VECTOR('',#34574,0.2); #9411=VECTOR('',#34577,0.2); #9412=VECTOR('',#34580,0.2); #9413=VECTOR('',#34583,0.2); #9414=VECTOR('',#34586,0.2); #9415=VECTOR('',#34589,0.2); #9416=VECTOR('',#34592,0.2); #9417=VECTOR('',#34595,0.2); #9418=VECTOR('',#34598,0.2); #9419=VECTOR('',#34601,0.2); #9420=VECTOR('',#34604,0.2); #9421=VECTOR('',#34607,0.2); #9422=VECTOR('',#34610,0.2); #9423=VECTOR('',#34613,0.2); #9424=VECTOR('',#34616,0.2); #9425=VECTOR('',#34619,0.2); #9426=VECTOR('',#34622,0.2); #9427=VECTOR('',#34625,0.2); #9428=VECTOR('',#34628,0.2); #9429=VECTOR('',#34631,0.2); #9430=VECTOR('',#34634,0.2); #9431=VECTOR('',#34637,0.2); #9432=VECTOR('',#34640,0.2); #9433=VECTOR('',#34643,0.2); #9434=VECTOR('',#34646,0.2); #9435=VECTOR('',#34649,0.2); #9436=VECTOR('',#34652,0.2); #9437=VECTOR('',#34655,0.2); #9438=VECTOR('',#34658,0.2); #9439=VECTOR('',#34661,0.2); #9440=VECTOR('',#34664,0.2); #9441=VECTOR('',#34667,0.2); #9442=VECTOR('',#34670,0.2); #9443=VECTOR('',#34673,0.2); #9444=VECTOR('',#34676,0.2); #9445=VECTOR('',#34679,0.2); #9446=VECTOR('',#34682,0.2); #9447=VECTOR('',#34685,0.2); #9448=VECTOR('',#34688,0.2); #9449=VECTOR('',#34691,0.2); #9450=VECTOR('',#34694,0.2); #9451=VECTOR('',#34697,0.2); #9452=VECTOR('',#34700,0.2); #9453=VECTOR('',#34703,0.2); #9454=VECTOR('',#34706,0.2); #9455=VECTOR('',#34709,0.2); #9456=VECTOR('',#34712,0.2); #9457=VECTOR('',#34715,0.2); #9458=VECTOR('',#34718,0.2); #9459=VECTOR('',#34721,0.2); #9460=VECTOR('',#34724,0.2); #9461=VECTOR('',#34727,0.2); #9462=VECTOR('',#34732,0.2); #9463=VECTOR('',#34733,0.2); #9464=VECTOR('',#34736,0.2); #9465=VECTOR('',#34739,0.2); #9466=VECTOR('',#34742,0.2); #9467=VECTOR('',#34745,0.2); #9468=VECTOR('',#34748,0.2); #9469=VECTOR('',#34751,0.2); #9470=VECTOR('',#34754,0.2); #9471=VECTOR('',#34759,0.2); #9472=VECTOR('',#34760,0.2); #9473=VECTOR('',#34763,0.2); #9474=VECTOR('',#34766,0.2); #9475=VECTOR('',#34769,0.2); #9476=VECTOR('',#34772,0.2); #9477=VECTOR('',#34775,0.2); #9478=VECTOR('',#34778,0.2); #9479=VECTOR('',#34781,0.2); #9480=VECTOR('',#34784,0.2); #9481=VECTOR('',#34787,0.2); #9482=VECTOR('',#34790,0.2); #9483=VECTOR('',#34793,0.2); #9484=VECTOR('',#34796,0.2); #9485=VECTOR('',#34799,0.2); #9486=VECTOR('',#34802,0.2); #9487=VECTOR('',#34805,0.2); #9488=VECTOR('',#34808,0.2); #9489=VECTOR('',#34811,0.2); #9490=VECTOR('',#34814,0.2); #9491=VECTOR('',#34817,0.2); #9492=VECTOR('',#34820,0.2); #9493=VECTOR('',#34823,0.2); #9494=VECTOR('',#34826,0.2); #9495=VECTOR('',#34829,0.2); #9496=VECTOR('',#34832,0.2); #9497=VECTOR('',#34835,0.2); #9498=VECTOR('',#34838,0.2); #9499=VECTOR('',#34841,0.2); #9500=VECTOR('',#34844,0.2); #9501=VECTOR('',#34847,0.2); #9502=VECTOR('',#34850,0.2); #9503=VECTOR('',#34853,0.2); #9504=VECTOR('',#34856,0.2); #9505=VECTOR('',#34859,0.2); #9506=VECTOR('',#34862,0.2); #9507=VECTOR('',#34865,0.2); #9508=VECTOR('',#34868,0.2); #9509=VECTOR('',#34871,0.2); #9510=VECTOR('',#34874,0.2); #9511=VECTOR('',#34877,0.2); #9512=VECTOR('',#34880,0.2); #9513=VECTOR('',#34883,0.2); #9514=VECTOR('',#34886,0.2); #9515=VECTOR('',#34889,0.2); #9516=VECTOR('',#34892,0.2); #9517=VECTOR('',#34895,0.2); #9518=VECTOR('',#34898,0.2); #9519=VECTOR('',#34901,0.2); #9520=VECTOR('',#34904,0.2); #9521=VECTOR('',#34907,0.2); #9522=VECTOR('',#34910,0.2); #9523=VECTOR('',#34913,0.2); #9524=VECTOR('',#34916,0.2); #9525=VECTOR('',#34921,0.2); #9526=VECTOR('',#34922,0.2); #9527=VECTOR('',#34925,0.2); #9528=VECTOR('',#34928,0.2); #9529=VECTOR('',#34931,0.2); #9530=VECTOR('',#34934,0.2); #9531=VECTOR('',#34937,0.2); #9532=VECTOR('',#34940,0.2); #9533=VECTOR('',#34945,0.2); #9534=VECTOR('',#34946,0.2); #9535=VECTOR('',#34949,0.2); #9536=VECTOR('',#34952,0.2); #9537=VECTOR('',#34955,0.2); #9538=VECTOR('',#34958,0.2); #9539=VECTOR('',#34961,0.2); #9540=VECTOR('',#34964,0.2); #9541=VECTOR('',#34967,0.2); #9542=VECTOR('',#34970,0.2); #9543=VECTOR('',#34973,0.2); #9544=VECTOR('',#34976,0.2); #9545=VECTOR('',#34981,0.2); #9546=VECTOR('',#34982,0.2); #9547=VECTOR('',#34985,0.2); #9548=VECTOR('',#34988,0.2); #9549=VECTOR('',#34991,0.2); #9550=VECTOR('',#34994,0.2); #9551=VECTOR('',#34997,0.2); #9552=VECTOR('',#35000,0.2); #9553=VECTOR('',#35003,0.2); #9554=VECTOR('',#35006,0.2); #9555=VECTOR('',#35009,0.2); #9556=VECTOR('',#35012,0.2); #9557=VECTOR('',#35015,0.2); #9558=VECTOR('',#35020,0.2); #9559=VECTOR('',#35021,0.2); #9560=VECTOR('',#35024,0.2); #9561=VECTOR('',#35027,0.2); #9562=VECTOR('',#35030,0.2); #9563=VECTOR('',#35033,0.2); #9564=VECTOR('',#35036,0.2); #9565=VECTOR('',#35039,0.2); #9566=VECTOR('',#35042,0.2); #9567=VECTOR('',#35045,0.2); #9568=VECTOR('',#35048,0.2); #9569=VECTOR('',#35051,0.2); #9570=VECTOR('',#35054,0.2); #9571=VECTOR('',#35057,0.2); #9572=VECTOR('',#35062,0.2); #9573=VECTOR('',#35063,0.2); #9574=VECTOR('',#35066,0.2); #9575=VECTOR('',#35069,0.2); #9576=VECTOR('',#35072,0.2); #9577=VECTOR('',#35075,0.2); #9578=VECTOR('',#35078,0.2); #9579=VECTOR('',#35081,0.2); #9580=VECTOR('',#35084,0.2); #9581=VECTOR('',#35087,0.2); #9582=VECTOR('',#35092,0.2); #9583=VECTOR('',#35093,0.2); #9584=VECTOR('',#35096,0.2); #9585=VECTOR('',#35099,0.2); #9586=VECTOR('',#35102,0.2); #9587=VECTOR('',#35105,0.2); #9588=VECTOR('',#35110,0.2); #9589=VECTOR('',#35111,0.2); #9590=VECTOR('',#35114,0.2); #9591=VECTOR('',#35117,0.2); #9592=VECTOR('',#35120,0.2); #9593=VECTOR('',#35123,0.2); #9594=VECTOR('',#35126,0.2); #9595=VECTOR('',#35129,0.2); #9596=VECTOR('',#35134,0.2); #9597=VECTOR('',#35135,0.2); #9598=VECTOR('',#35138,0.2); #9599=VECTOR('',#35143,0.2); #9600=VECTOR('',#35144,0.2); #9601=VECTOR('',#35147,0.2); #9602=VECTOR('',#35150,0.2); #9603=VECTOR('',#35153,0.2); #9604=VECTOR('',#35156,0.2); #9605=VECTOR('',#35159,0.2); #9606=VECTOR('',#35162,0.2); #9607=VECTOR('',#35165,0.2); #9608=VECTOR('',#35168,0.2); #9609=VECTOR('',#35171,0.2); #9610=VECTOR('',#35174,0.2); #9611=VECTOR('',#35177,0.2); #9612=VECTOR('',#35180,0.2); #9613=VECTOR('',#35183,0.2); #9614=VECTOR('',#35186,0.2); #9615=VECTOR('',#35189,0.2); #9616=VECTOR('',#35192,0.2); #9617=VECTOR('',#35195,0.2); #9618=VECTOR('',#35198,0.2); #9619=VECTOR('',#35201,0.2); #9620=VECTOR('',#35204,0.2); #9621=VECTOR('',#35207,0.2); #9622=VECTOR('',#35210,0.2); #9623=VECTOR('',#35213,0.2); #9624=VECTOR('',#35216,0.2); #9625=VECTOR('',#35219,0.2); #9626=VECTOR('',#35222,0.2); #9627=VECTOR('',#35225,0.2); #9628=VECTOR('',#35228,0.2); #9629=VECTOR('',#35231,0.2); #9630=VECTOR('',#35234,0.2); #9631=VECTOR('',#35237,0.2); #9632=VECTOR('',#35240,0.2); #9633=VECTOR('',#35243,0.2); #9634=VECTOR('',#35246,0.2); #9635=VECTOR('',#35249,0.2); #9636=VECTOR('',#35252,0.2); #9637=VECTOR('',#35255,0.2); #9638=VECTOR('',#35258,0.2); #9639=VECTOR('',#35261,0.2); #9640=VECTOR('',#35264,0.2); #9641=VECTOR('',#35267,0.2); #9642=VECTOR('',#35270,0.2); #9643=VECTOR('',#35273,0.2); #9644=VECTOR('',#35276,0.2); #9645=VECTOR('',#35279,0.2); #9646=VECTOR('',#35282,0.2); #9647=VECTOR('',#35285,0.2); #9648=VECTOR('',#35288,0.2); #9649=VECTOR('',#35291,0.2); #9650=VECTOR('',#35294,0.2); #9651=VECTOR('',#35297,0.2); #9652=VECTOR('',#35300,0.2); #9653=VECTOR('',#35305,0.2); #9654=VECTOR('',#35306,0.2); #9655=VECTOR('',#35309,0.2); #9656=VECTOR('',#35312,0.2); #9657=VECTOR('',#35315,0.2); #9658=VECTOR('',#35318,0.2); #9659=VECTOR('',#35321,0.2); #9660=VECTOR('',#35324,0.2); #9661=VECTOR('',#35341,45.); #9662=VECTOR('',#35350,45.); #9663=VECTOR('',#35363,10.); #9664=VECTOR('',#35364,8.); #9665=VECTOR('',#35365,10.); #9666=VECTOR('',#35370,10.); #9667=VECTOR('',#35371,42.5); #9668=VECTOR('',#35372,10.); #9669=VECTOR('',#35381,10.); #9670=VECTOR('',#35382,8.); #9671=VECTOR('',#35385,42.5); #9672=VECTOR('',#35388,10.); #9673=VECTOR('',#35403,10.); #9674=VECTOR('',#35404,10.); #9675=VECTOR('',#35405,10.); #9676=VECTOR('',#35406,10.); #9677=VECTOR('',#35411,10.); #9678=VECTOR('',#35416,10.); #9679=VECTOR('',#35417,10.); #9680=VECTOR('',#35418,10.); #9681=VECTOR('',#35435,1.22925); #9682=VECTOR('',#35440,2.4585); #9683=VECTOR('',#35445,9.5); #9684=VECTOR('',#35452,10.); #9685=VECTOR('',#35453,10.); #9686=VECTOR('',#35454,10.); #9687=VECTOR('',#35461,12.); #9688=VECTOR('',#35468,12.5); #9689=VECTOR('',#35475,12.); #9690=VECTOR('',#35482,12.5); #9691=VECTOR('',#35489,10.); #9692=VECTOR('',#35492,10.); #9693=VECTOR('',#35495,10.); #9694=VECTOR('',#35496,10.); #9695=VECTOR('',#35499,10.); #9696=VECTOR('',#35502,10.); #9697=VECTOR('',#35509,10.); #9698=VECTOR('',#35510,10.); #9699=VECTOR('',#35513,10.); #9700=VECTOR('',#35514,10.); #9701=VECTOR('',#35515,10.); #9702=VECTOR('',#35518,10.); #9703=VECTOR('',#35523,10.); #9704=VECTOR('',#35526,10.); #9705=VECTOR('',#35531,14.3); #9706=VECTOR('',#35546,15.); #9707=VECTOR('',#35551,14.3); #9708=VECTOR('',#35564,15.); #9709=VECTOR('',#35573,15.); #9710=VECTOR('',#35592,2.5); #9711=VECTOR('',#35601,2.25462); #9712=VECTOR('',#35616,10.); #9713=VECTOR('',#35617,10.); #9714=VECTOR('',#35618,10.); #9715=VECTOR('',#35619,10.); #9716=VECTOR('',#35620,10.); #9717=VECTOR('',#35621,10.); #9718=VECTOR('',#35624,4.25); #9719=VECTOR('',#35627,10.); #9720=VECTOR('',#35628,10.); #9721=VECTOR('',#35631,10.); #9722=VECTOR('',#35634,10.); #9723=VECTOR('',#35637,10.); #9724=VECTOR('',#35640,10.); #9725=VECTOR('',#35645,0.866025403784439); #9726=VECTOR('',#35664,4.); #9727=VECTOR('',#35673,3.61659375); #9728=VECTOR('',#35688,10.); #9729=VECTOR('',#35689,10.); #9730=VECTOR('',#35690,10.); #9731=VECTOR('',#35691,10.); #9732=VECTOR('',#35692,10.); #9733=VECTOR('',#35693,10.); #9734=VECTOR('',#35696,6.5); #9735=VECTOR('',#35699,10.); #9736=VECTOR('',#35700,10.); #9737=VECTOR('',#35703,10.); #9738=VECTOR('',#35706,10.); #9739=VECTOR('',#35709,10.); #9740=VECTOR('',#35712,10.); #9741=VECTOR('',#35717,1.44337567297406); #9742=VECTOR('',#35724,3.3235); #9743=VECTOR('',#35731,3.3235); #9744=VECTOR('',#35736,10.); #9745=VECTOR('',#35737,10.); #9746=VECTOR('',#35738,10.); #9747=VECTOR('',#35739,10.); #9748=VECTOR('',#35740,10.); #9749=VECTOR('',#35741,10.); #9750=VECTOR('',#35742,10.); #9751=VECTOR('',#35743,10.); #9752=VECTOR('',#35744,10.); #9753=VECTOR('',#35745,10.); #9754=VECTOR('',#35746,10.); #9755=VECTOR('',#35747,10.); #9756=VECTOR('',#35748,10.); #9757=VECTOR('',#35749,10.); #9758=VECTOR('',#35752,10.); #9759=VECTOR('',#35753,10.); #9760=VECTOR('',#35754,10.); #9761=VECTOR('',#35757,10.); #9762=VECTOR('',#35758,10.); #9763=VECTOR('',#35761,10.); #9764=VECTOR('',#35762,10.); #9765=VECTOR('',#35765,10.); #9766=VECTOR('',#35766,10.); #9767=VECTOR('',#35769,10.); #9768=VECTOR('',#35770,10.); #9769=VECTOR('',#35773,10.); #9770=VECTOR('',#35774,10.); #9771=VECTOR('',#35777,10.); #9772=VECTOR('',#35778,10.); #9773=VECTOR('',#35781,10.); #9774=VECTOR('',#35782,10.); #9775=VECTOR('',#35785,10.); #9776=VECTOR('',#35786,10.); #9777=VECTOR('',#35789,10.); #9778=VECTOR('',#35790,10.); #9779=VECTOR('',#35793,10.); #9780=VECTOR('',#35794,10.); #9781=VECTOR('',#35797,10.); #9782=VECTOR('',#35798,10.); #9783=VECTOR('',#35801,10.); #9784=VECTOR('',#35802,10.); #9785=VECTOR('',#35805,10.); #9786=VECTOR('',#35820,5.); #9787=VECTOR('',#35823,2.75); #9788=VECTOR('',#35836,5.); #9789=VECTOR('',#35839,2.75); #9790=VECTOR('',#35852,5.); #9791=VECTOR('',#35855,2.75); #9792=VECTOR('',#35868,5.); #9793=VECTOR('',#35871,2.75); #9794=VECTOR('',#35876,10.); #9795=VECTOR('',#35877,10.); #9796=VECTOR('',#35878,10.); #9797=VECTOR('',#35879,10.); #9798=VECTOR('',#35886,10.); #9799=VECTOR('',#35889,10.); #9800=VECTOR('',#35890,10.); #9801=VECTOR('',#35891,10.); #9802=VECTOR('',#35896,10.); #9803=VECTOR('',#35897,10.); #9804=VECTOR('',#35898,10.); #9805=VECTOR('',#35901,10.); #9806=VECTOR('',#35906,10.); #9807=VECTOR('',#35907,10.); #9808=VECTOR('',#35908,10.); #9809=VECTOR('',#35913,10.); #9810=VECTOR('',#35916,10.); #9811=VECTOR('',#35917,10.); #9812=VECTOR('',#35922,10.); #9813=VECTOR('',#35927,10.); #9814=VECTOR('',#35930,10.); #9815=VECTOR('',#35931,10.); #9816=VECTOR('',#35932,10.); #9817=VECTOR('',#35933,10.); #9818=VECTOR('',#35936,10.); #9819=VECTOR('',#35937,10.); #9820=VECTOR('',#35938,10.); #9821=VECTOR('',#35941,10.); #9822=VECTOR('',#35942,10.); #9823=VECTOR('',#35945,10.); #9824=VECTOR('',#35946,10.); #9825=VECTOR('',#35947,10.); #9826=VECTOR('',#35952,10.); #9827=VECTOR('',#35957,10.); #9828=VECTOR('',#35958,10.); #9829=VECTOR('',#35959,10.); #9830=VECTOR('',#35964,10.); #9831=VECTOR('',#35989,5.); #9832=VECTOR('',#35992,2.75); #9833=VECTOR('',#36005,5.); #9834=VECTOR('',#36008,2.75); #9835=VECTOR('',#36021,5.); #9836=VECTOR('',#36024,2.75); #9837=VECTOR('',#36037,5.); #9838=VECTOR('',#36040,2.75); #9839=VECTOR('',#36045,10.); #9840=VECTOR('',#36046,10.); #9841=VECTOR('',#36047,10.); #9842=VECTOR('',#36048,10.); #9843=VECTOR('',#36053,10.); #9844=VECTOR('',#36058,10.); #9845=VECTOR('',#36059,10.); #9846=VECTOR('',#36060,10.); #9847=VECTOR('',#36067,10.); #9848=VECTOR('',#36070,10.); #9849=VECTOR('',#36071,10.); #9850=VECTOR('',#36072,10.); #9851=VECTOR('',#36075,10.); #9852=VECTOR('',#36076,10.); #9853=VECTOR('',#36077,10.); #9854=VECTOR('',#36080,10.); #9855=VECTOR('',#36085,10.); #9856=VECTOR('',#36086,10.); #9857=VECTOR('',#36089,10.); #9858=VECTOR('',#36094,10.); #9859=VECTOR('',#36099,10.); #9860=VECTOR('',#36100,10.); #9861=VECTOR('',#36101,10.); #9862=VECTOR('',#36102,10.); #9863=VECTOR('',#36105,10.); #9864=VECTOR('',#36106,10.); #9865=VECTOR('',#36107,10.); #9866=VECTOR('',#36110,10.); #9867=VECTOR('',#36111,10.); #9868=VECTOR('',#36114,10.); #9869=VECTOR('',#36115,10.); #9870=VECTOR('',#36116,10.); #9871=VECTOR('',#36123,10.); #9872=VECTOR('',#36126,10.); #9873=VECTOR('',#36127,10.); #9874=VECTOR('',#36128,10.); #9875=VECTOR('',#36135,10.); #9876=VECTOR('',#36152,1.22925); #9877=VECTOR('',#36157,2.4585); #9878=VECTOR('',#36162,1.22925); #9879=VECTOR('',#36167,2.4585); #9880=VECTOR('',#36170,10.); #9881=VECTOR('',#36171,10.); #9882=VECTOR('',#36172,10.); #9883=VECTOR('',#36173,10.); #9884=VECTOR('',#36174,10.); #9885=VECTOR('',#36175,10.); #9886=VECTOR('',#36178,10.); #9887=VECTOR('',#36179,10.); #9888=VECTOR('',#36180,10.); #9889=VECTOR('',#36181,10.); #9890=VECTOR('',#36182,10.); #9891=VECTOR('',#36183,10.); #9892=VECTOR('',#36186,10.); #9893=VECTOR('',#36187,10.); #9894=VECTOR('',#36190,10.); #9895=VECTOR('',#36191,10.); #9896=VECTOR('',#36192,10.); #9897=VECTOR('',#36195,10.); #9898=VECTOR('',#36196,10.); #9899=VECTOR('',#36199,10.); #9900=VECTOR('',#36200,10.); #9901=VECTOR('',#36201,10.); #9902=VECTOR('',#36202,10.); #9903=VECTOR('',#36203,10.); #9904=VECTOR('',#36204,10.); #9905=VECTOR('',#36205,10.); #9906=VECTOR('',#36206,10.); #9907=VECTOR('',#36225,10.); #9908=VECTOR('',#36226,10.); #9909=VECTOR('',#36237,7.5); #9910=VECTOR('',#36240,4.5); #9911=VECTOR('',#36251,7.5); #9912=VECTOR('',#36254,4.5); #9913=VECTOR('',#36265,7.5); #9914=VECTOR('',#36268,4.5); #9915=VECTOR('',#36279,7.5); #9916=VECTOR('',#36282,4.5); #9917=VECTOR('',#36285,10.); #9918=VECTOR('',#36286,10.); #9919=VECTOR('',#36287,10.); #9920=VECTOR('',#36288,10.); #9921=VECTOR('',#36289,10.); #9922=VECTOR('',#36292,10.); #9923=VECTOR('',#36293,10.); #9924=VECTOR('',#36296,10.); #9925=VECTOR('',#36297,10.); #9926=VECTOR('',#36298,10.); #9927=VECTOR('',#36299,10.); #9928=VECTOR('',#36302,10.); #9929=VECTOR('',#36303,10.); #9930=VECTOR('',#36304,10.); #9931=VECTOR('',#36307,10.); #9932=VECTOR('',#36308,10.); #9933=VECTOR('',#36309,10.); #9934=VECTOR('',#36312,10.); #9935=VECTOR('',#36313,10.); #9936=VECTOR('',#36316,10.); #9937=VECTOR('',#36317,10.); #9938=VECTOR('',#36320,10.); #9939=VECTOR('',#36323,10.); #9940=VECTOR('',#36324,10.); #9941=VECTOR('',#36325,10.); #9942=VECTOR('',#36328,10.); #9943=VECTOR('',#36329,10.); #9944=VECTOR('',#36332,10.); #9945=VECTOR('',#36335,10.); #9946=VECTOR('',#36342,10.); #9947=VECTOR('',#36353,10.); #9948=VECTOR('',#36362,5.25); #9949=VECTOR('',#36369,5.); #9950=VECTOR('',#36374,5.25); #9951=VECTOR('',#36381,5.); #9952=VECTOR('',#36386,5.25); #9953=VECTOR('',#36393,5.); #9954=VECTOR('',#36398,5.25); #9955=VECTOR('',#36405,5.); #9956=VECTOR('',#36412,2.094); #9957=VECTOR('',#36419,4.188); #9958=VECTOR('',#36424,2.094); #9959=VECTOR('',#36431,4.188); #9960=VECTOR('',#36436,2.094); #9961=VECTOR('',#36443,4.188); #9962=VECTOR('',#36448,2.094); #9963=VECTOR('',#36455,4.188); #9964=VECTOR('',#36464,5.5); #9965=VECTOR('',#36467,3.3); #9966=VECTOR('',#36476,5.5); #9967=VECTOR('',#36479,3.3); #9968=VECTOR('',#36488,5.5); #9969=VECTOR('',#36491,3.3); #9970=VECTOR('',#36500,5.5); #9971=VECTOR('',#36503,3.3); #9972=VECTOR('',#36522,4.); #9973=VECTOR('',#36531,3.61659375); #9974=VECTOR('',#36546,10.); #9975=VECTOR('',#36547,10.); #9976=VECTOR('',#36548,10.); #9977=VECTOR('',#36549,10.); #9978=VECTOR('',#36550,10.); #9979=VECTOR('',#36551,10.); #9980=VECTOR('',#36554,6.5); #9981=VECTOR('',#36557,10.); #9982=VECTOR('',#36558,10.); #9983=VECTOR('',#36561,10.); #9984=VECTOR('',#36564,10.); #9985=VECTOR('',#36567,10.); #9986=VECTOR('',#36570,10.); #9987=VECTOR('',#36575,1.44337567297406); #9988=VECTOR('',#36582,10.); #9989=VECTOR('',#36585,10.); #9990=VECTOR('',#36588,10.); #9991=VECTOR('',#36589,10.); #9992=VECTOR('',#36590,10.); #9993=VECTOR('',#36597,10.); #9994=VECTOR('',#36600,10.); #9995=VECTOR('',#36601,10.); #9996=VECTOR('',#36606,10.); #9997=VECTOR('',#36609,10.); #9998=VECTOR('',#36612,10.); #9999=VECTOR('',#36613,10.); #10000=VECTOR('',#36614,10.); #10001=VECTOR('',#36621,10.); #10002=VECTOR('',#36624,10.); #10003=VECTOR('',#36625,10.); #10004=VECTOR('',#36630,10.); #10005=VECTOR('',#36633,10.); #10006=VECTOR('',#36636,10.); #10007=VECTOR('',#36637,10.); #10008=VECTOR('',#36638,10.); #10009=VECTOR('',#36645,10.); #10010=VECTOR('',#36648,10.); #10011=VECTOR('',#36649,10.); #10012=VECTOR('',#36654,10.); #10013=VECTOR('',#36657,10.); #10014=VECTOR('',#36660,10.); #10015=VECTOR('',#36661,10.); #10016=VECTOR('',#36662,10.); #10017=VECTOR('',#36669,10.); #10018=VECTOR('',#36672,10.); #10019=VECTOR('',#36673,10.); #10020=VECTOR('',#36674,10.); #10021=VECTOR('',#36681,10.); #10022=VECTOR('',#36684,10.); #10023=VECTOR('',#36685,10.); #10024=VECTOR('',#36686,10.); #10025=VECTOR('',#36693,10.); #10026=VECTOR('',#36696,10.); #10027=VECTOR('',#36697,10.); #10028=VECTOR('',#36704,10.); #10029=VECTOR('',#36707,10.); #10030=VECTOR('',#36710,10.); #10031=VECTOR('',#36711,10.); #10032=VECTOR('',#36712,10.); #10033=VECTOR('',#36719,10.); #10034=VECTOR('',#36722,10.); #10035=VECTOR('',#36723,10.); #10036=VECTOR('',#36724,10.); #10037=VECTOR('',#36731,10.); #10038=VECTOR('',#36734,10.); #10039=VECTOR('',#36735,10.); #10040=VECTOR('',#36736,10.); #10041=VECTOR('',#36743,10.); #10042=VECTOR('',#36746,10.); #10043=VECTOR('',#36747,10.); #10044=VECTOR('',#36754,10.); #10045=VECTOR('',#36757,10.); #10046=VECTOR('',#36760,10.); #10047=VECTOR('',#36761,10.); #10048=VECTOR('',#36762,10.); #10049=VECTOR('',#36769,10.); #10050=VECTOR('',#36772,10.); #10051=VECTOR('',#36773,10.); #10052=VECTOR('',#36774,10.); #10053=VECTOR('',#36781,10.); #10054=VECTOR('',#36784,10.); #10055=VECTOR('',#36785,10.); #10056=VECTOR('',#36786,10.); #10057=VECTOR('',#36793,10.); #10058=VECTOR('',#36796,10.); #10059=VECTOR('',#36797,10.); #10060=VECTOR('',#36804,10.); #10061=VECTOR('',#36807,10.); #10062=VECTOR('',#36810,10.); #10063=VECTOR('',#36811,10.); #10064=VECTOR('',#36812,10.); #10065=VECTOR('',#36817,10.); #10066=VECTOR('',#36822,10.); #10067=VECTOR('',#36823,10.); #10068=VECTOR('',#36824,10.); #10069=VECTOR('',#36829,10.); #10070=VECTOR('',#36834,10.); #10071=VECTOR('',#36835,10.); #10072=VECTOR('',#36836,10.); #10073=VECTOR('',#36841,10.); #10074=VECTOR('',#36846,10.); #10075=VECTOR('',#36847,10.); #10076=VECTOR('',#36854,10.); #10077=VECTOR('',#36857,10.); #10078=VECTOR('',#36860,10.); #10079=VECTOR('',#36861,10.); #10080=VECTOR('',#36862,10.); #10081=VECTOR('',#36867,10.); #10082=VECTOR('',#36872,10.); #10083=VECTOR('',#36873,10.); #10084=VECTOR('',#36874,10.); #10085=VECTOR('',#36879,10.); #10086=VECTOR('',#36884,10.); #10087=VECTOR('',#36885,10.); #10088=VECTOR('',#36886,10.); #10089=VECTOR('',#36891,10.); #10090=VECTOR('',#36896,10.); #10091=VECTOR('',#36897,10.); #10092=VECTOR('',#36904,10.); #10093=VECTOR('',#36907,10.); #10094=VECTOR('',#36910,10.); #10095=VECTOR('',#36911,10.); #10096=VECTOR('',#36912,10.); #10097=VECTOR('',#36917,10.); #10098=VECTOR('',#36922,10.); #10099=VECTOR('',#36923,10.); #10100=VECTOR('',#36924,10.); #10101=VECTOR('',#36929,10.); #10102=VECTOR('',#36934,10.); #10103=VECTOR('',#36935,10.); #10104=VECTOR('',#36936,10.); #10105=VECTOR('',#36941,10.); #10106=VECTOR('',#36946,10.); #10107=VECTOR('',#36947,10.); #10108=VECTOR('',#36952,10.); #10109=VECTOR('',#36955,10.); #10110=VECTOR('',#36958,10.); #10111=VECTOR('',#36961,10.); #10112=VECTOR('',#36964,10.); #10113=VECTOR('',#36967,10.); #10114=VECTOR('',#36972,10.); #10115=VECTOR('',#36975,10.); #10116=VECTOR('',#36978,10.); #10117=VECTOR('',#36979,10.); #10118=VECTOR('',#36984,10.); #10119=VECTOR('',#36987,10.); #10120=VECTOR('',#36988,10.); #10121=VECTOR('',#36993,10.); #10122=VECTOR('',#36996,10.); #10123=VECTOR('',#36997,10.); #10124=VECTOR('',#37002,10.); #10125=VECTOR('',#37005,10.); #10126=VECTOR('',#37006,10.); #10127=VECTOR('',#37011,10.); #10128=VECTOR('',#37014,10.); #10129=VECTOR('',#37015,10.); #10130=VECTOR('',#37020,10.); #10131=VECTOR('',#37023,10.); #10132=VECTOR('',#37030,1.); #10133=VECTOR('',#37031,1.); #10134=VECTOR('',#37032,1.); #10135=VECTOR('',#37035,1.); #10136=VECTOR('',#37036,1.); #10137=VECTOR('',#37039,1.); #10138=VECTOR('',#37040,1.); #10139=VECTOR('',#37043,1.); #10140=VECTOR('',#37044,1.); #10141=VECTOR('',#37047,1.); #10142=VECTOR('',#37048,1.); #10143=VECTOR('',#37051,1.); #10144=VECTOR('',#37052,1.); #10145=VECTOR('',#37055,1.); #10146=VECTOR('',#37056,1.); #10147=VECTOR('',#37059,1.); #10148=VECTOR('',#37064,2.067); #10149=VECTOR('',#37073,4.5); #10150=VECTOR('',#37082,2.65); #10151=VECTOR('',#37089,5.); #10152=VECTOR('',#37114,2.5); #10153=VECTOR('',#37123,2.25462); #10154=VECTOR('',#37138,10.); #10155=VECTOR('',#37139,10.); #10156=VECTOR('',#37140,10.); #10157=VECTOR('',#37141,10.); #10158=VECTOR('',#37142,10.); #10159=VECTOR('',#37143,10.); #10160=VECTOR('',#37146,4.25); #10161=VECTOR('',#37149,10.); #10162=VECTOR('',#37150,10.); #10163=VECTOR('',#37153,10.); #10164=VECTOR('',#37156,10.); #10165=VECTOR('',#37159,10.); #10166=VECTOR('',#37162,10.); #10167=VECTOR('',#37167,0.866025403784439); #10168=VECTOR('',#37172,10.); #10169=VECTOR('',#37173,10.); #10170=VECTOR('',#37174,10.); #10171=VECTOR('',#37175,10.); #10172=VECTOR('',#37178,10.); #10173=VECTOR('',#37179,10.); #10174=VECTOR('',#37180,10.); #10175=VECTOR('',#37183,10.); #10176=VECTOR('',#37184,10.); #10177=VECTOR('',#37185,10.); #10178=VECTOR('',#37188,10.); #10179=VECTOR('',#37189,10.); #10180=VECTOR('',#37190,10.); #10181=VECTOR('',#37193,10.); #10182=VECTOR('',#37194,10.); #10183=VECTOR('',#37195,10.); #10184=VECTOR('',#37198,10.); #10185=VECTOR('',#37199,10.); #10186=VECTOR('',#37216,3.); #10187=VECTOR('',#37231,3.54019237886465); #10188=VECTOR('',#37236,4.); #10189=VECTOR('',#37241,3.6); #10190=VECTOR('',#37258,8.); #10191=VECTOR('',#37275,4.8); #10192=VECTOR('',#37290,4.9102222521133); #10193=VECTOR('',#37295,5.); #10194=VECTOR('',#37304,10.); #10195=VECTOR('',#37305,10.); #10196=VECTOR('',#37306,10.); #10197=VECTOR('',#37307,10.); #10198=VECTOR('',#37312,10.); #10199=VECTOR('',#37317,10.); #10200=VECTOR('',#37318,10.); #10201=VECTOR('',#37319,10.); #10202=VECTOR('',#37334,10.); #10203=VECTOR('',#37335,10.); #10204=VECTOR('',#37336,10.); #10205=VECTOR('',#37337,10.); #10206=VECTOR('',#37346,10.); #10207=VECTOR('',#37349,5.); #10208=VECTOR('',#37354,10.); #10209=VECTOR('',#37367,10.); #10210=VECTOR('',#37368,10.); #10211=VECTOR('',#37377,4.85); #10212=VECTOR('',#37388,1.0335); #10213=VECTOR('',#37391,2.067); #10214=VECTOR('',#37396,2.3); #10215=VECTOR('',#37401,2.5); #10216=VECTOR('',#37410,5.2); #10217=VECTOR('',#37417,5.2); #10218=VECTOR('',#37424,5.2); #10219=VECTOR('',#37431,5.2); #10220=VECTOR('',#37438,10.); #10221=VECTOR('',#37441,10.); #10222=VECTOR('',#37442,10.); #10223=VECTOR('',#37443,10.); #10224=VECTOR('',#37444,10.); #10225=VECTOR('',#37449,10.); #10226=VECTOR('',#37452,10.); #10227=VECTOR('',#37457,10.); #10228=VECTOR('',#37458,10.); #10229=VECTOR('',#37459,10.); #10230=VECTOR('',#37464,10.); #10231=VECTOR('',#37467,10.); #10232=VECTOR('',#37468,10.); #10233=VECTOR('',#37471,10.); #10234=VECTOR('',#37472,10.); #10235=VECTOR('',#37475,10.); #10236=VECTOR('',#37476,10.); #10237=VECTOR('',#37479,10.); #10238=VECTOR('',#37480,10.); #10239=VECTOR('',#37485,10.); #10240=VECTOR('',#37490,10.); #10241=VECTOR('',#37493,10.); #10242=VECTOR('',#37494,10.); #10243=VECTOR('',#37499,10.); #10244=VECTOR('',#37502,10.); #10245=VECTOR('',#37503,10.); #10246=VECTOR('',#37508,10.); #10247=VECTOR('',#37521,5.2); #10248=VECTOR('',#37528,5.2); #10249=VECTOR('',#37535,5.2); #10250=VECTOR('',#37542,5.2); #10251=VECTOR('',#37549,10.); #10252=VECTOR('',#37552,10.); #10253=VECTOR('',#37553,10.); #10254=VECTOR('',#37554,10.); #10255=VECTOR('',#37555,10.); #10256=VECTOR('',#37560,10.); #10257=VECTOR('',#37563,10.); #10258=VECTOR('',#37568,10.); #10259=VECTOR('',#37569,10.); #10260=VECTOR('',#37570,10.); #10261=VECTOR('',#37575,10.); #10262=VECTOR('',#37578,10.); #10263=VECTOR('',#37579,10.); #10264=VECTOR('',#37582,10.); #10265=VECTOR('',#37583,10.); #10266=VECTOR('',#37586,10.); #10267=VECTOR('',#37587,10.); #10268=VECTOR('',#37590,10.); #10269=VECTOR('',#37591,10.); #10270=VECTOR('',#37596,10.); #10271=VECTOR('',#37601,10.); #10272=VECTOR('',#37604,10.); #10273=VECTOR('',#37605,10.); #10274=VECTOR('',#37610,10.); #10275=VECTOR('',#37613,10.); #10276=VECTOR('',#37614,10.); #10277=VECTOR('',#37619,10.); #10278=VECTOR('',#37644,4.); #10279=VECTOR('',#37653,3.61659375); #10280=VECTOR('',#37668,10.); #10281=VECTOR('',#37669,10.); #10282=VECTOR('',#37670,10.); #10283=VECTOR('',#37671,10.); #10284=VECTOR('',#37672,10.); #10285=VECTOR('',#37673,10.); #10286=VECTOR('',#37676,6.5); #10287=VECTOR('',#37679,10.); #10288=VECTOR('',#37680,10.); #10289=VECTOR('',#37683,10.); #10290=VECTOR('',#37686,10.); #10291=VECTOR('',#37689,10.); #10292=VECTOR('',#37692,10.); #10293=VECTOR('',#37697,1.44337567297406); #10294=VECTOR('',#37702,10.); #10295=VECTOR('',#37703,10.); #10296=VECTOR('',#37708,10.); #10297=VECTOR('',#37709,10.); #10298=VECTOR('',#37710,10.); #10299=VECTOR('',#37715,10.); #10300=VECTOR('',#37718,10.); #10301=VECTOR('',#37721,10.); #10302=VECTOR('',#37722,10.); #10303=VECTOR('',#37725,10.); #10304=VECTOR('',#37726,10.); #10305=VECTOR('',#37727,10.); #10306=VECTOR('',#37732,10.); #10307=VECTOR('',#37737,10.); #10308=VECTOR('',#37738,10.); #10309=VECTOR('',#37743,10.); #10310=VECTOR('',#37746,10.); #10311=VECTOR('',#37747,10.); #10312=VECTOR('',#37748,10.); #10313=VECTOR('',#37751,10.); #10314=VECTOR('',#37754,10.); #10315=VECTOR('',#37757,10.); #10316=VECTOR('',#37760,10.); #10317=VECTOR('',#37761,10.); #10318=VECTOR('',#37762,10.); #10319=VECTOR('',#37765,10.); #10320=VECTOR('',#37774,10.); #10321=VECTOR('',#37777,10.); #10322=VECTOR('',#37778,10.); #10323=VECTOR('',#37779,10.); #10324=VECTOR('',#37782,10.); #10325=VECTOR('',#37785,10.); #10326=VECTOR('',#37802,10.); #10327=VECTOR('',#37807,10.); #10328=VECTOR('',#37812,10.); #10329=VECTOR('',#37813,10.); #10330=VECTOR('',#37816,10.); #10331=VECTOR('',#37821,10.); #10332=VECTOR('',#37824,10.); #10333=VECTOR('',#37827,10.); #10334=VECTOR('',#37830,10.); #10335=VECTOR('',#37835,10.); #10336=VECTOR('',#37838,10.); #10337=VECTOR('',#37841,10.); #10338=VECTOR('',#37842,10.); #10339=VECTOR('',#37843,10.); #10340=VECTOR('',#37846,10.); #10341=VECTOR('',#37847,10.); #10342=VECTOR('',#37848,10.); #10343=VECTOR('',#37853,10.); #10344=VECTOR('',#37854,10.); #10345=VECTOR('',#37861,3.3235); #10346=VECTOR('',#37880,10.); #10347=VECTOR('',#37883,10.); #10348=VECTOR('',#37886,10.); #10349=VECTOR('',#37889,10.); #10350=VECTOR('',#37890,10.); #10351=VECTOR('',#37893,10.); #10352=VECTOR('',#37894,10.); #10353=VECTOR('',#37897,10.); #10354=VECTOR('',#37898,10.); #10355=VECTOR('',#37901,10.); #10356=VECTOR('',#37906,10.); #10357=VECTOR('',#37909,10.); #10358=VECTOR('',#37914,0.145); #10359=VECTOR('',#37919,10.); #10360=VECTOR('',#37920,10.); #10361=VECTOR('',#37923,10.); #10362=VECTOR('',#37926,10.); #10363=VECTOR('',#37929,10.); #10364=VECTOR('',#37932,10.); #10365=VECTOR('',#37935,10.); #10366=VECTOR('',#37936,10.); #10367=VECTOR('',#37939,10.); #10368=VECTOR('',#37940,10.); #10369=VECTOR('',#37943,10.); #10370=VECTOR('',#37944,10.); #10371=VECTOR('',#37945,10.); #10372=VECTOR('',#37946,10.); #10373=VECTOR('',#37947,10.); #10374=VECTOR('',#37950,10.); #10375=VECTOR('',#37953,10.); #10376=VECTOR('',#37954,10.); #10377=VECTOR('',#37955,10.); #10378=VECTOR('',#37956,10.); #10379=VECTOR('',#37957,10.); #10380=VECTOR('',#37958,10.); #10381=VECTOR('',#37969,0.145); #10382=VECTOR('',#37972,10.); #10383=VECTOR('',#37973,10.); #10384=VECTOR('',#37978,10.); #10385=VECTOR('',#37983,10.); #10386=VECTOR('',#37988,0.145); #10387=VECTOR('',#37995,10.); #10388=VECTOR('',#38000,10.); #10389=VECTOR('',#38003,10.); #10390=VECTOR('',#38004,10.); #10391=VECTOR('',#38007,10.); #10392=VECTOR('',#38008,10.); #10393=VECTOR('',#38009,10.); #10394=VECTOR('',#38010,10.); #10395=VECTOR('',#38013,0.145); #10396=VECTOR('',#38018,10.); #10397=VECTOR('',#38021,10.); #10398=VECTOR('',#38024,10.); #10399=VECTOR('',#38029,10.); #10400=VECTOR('',#38030,10.); #10401=VECTOR('',#38039,10.); #10402=VECTOR('',#38042,10.); #10403=VECTOR('',#38043,10.); #10404=VECTOR('',#38048,10.); #10405=VECTOR('',#38051,10.); #10406=VECTOR('',#38052,10.); #10407=VECTOR('',#38057,10.); #10408=VECTOR('',#38060,10.); #10409=VECTOR('',#38061,10.); #10410=VECTOR('',#38078,5.2); #10411=VECTOR('',#38085,5.2); #10412=VECTOR('',#38092,5.2); #10413=VECTOR('',#38099,5.2); #10414=VECTOR('',#38106,10.); #10415=VECTOR('',#38109,10.); #10416=VECTOR('',#38110,10.); #10417=VECTOR('',#38111,10.); #10418=VECTOR('',#38112,10.); #10419=VECTOR('',#38117,10.); #10420=VECTOR('',#38120,10.); #10421=VECTOR('',#38125,10.); #10422=VECTOR('',#38126,10.); #10423=VECTOR('',#38127,10.); #10424=VECTOR('',#38132,10.); #10425=VECTOR('',#38135,10.); #10426=VECTOR('',#38136,10.); #10427=VECTOR('',#38139,10.); #10428=VECTOR('',#38140,10.); #10429=VECTOR('',#38143,10.); #10430=VECTOR('',#38144,10.); #10431=VECTOR('',#38147,10.); #10432=VECTOR('',#38148,10.); #10433=VECTOR('',#38153,10.); #10434=VECTOR('',#38158,10.); #10435=VECTOR('',#38161,10.); #10436=VECTOR('',#38162,10.); #10437=VECTOR('',#38167,10.); #10438=VECTOR('',#38170,10.); #10439=VECTOR('',#38171,10.); #10440=VECTOR('',#38176,10.); #10441=VECTOR('',#38187,1.); #10442=VECTOR('',#38188,2.); #10443=VECTOR('',#38189,2.); #10444=VECTOR('',#38190,2.); #10445=VECTOR('',#38193,1.); #10446=VECTOR('',#38194,2.); #10447=VECTOR('',#38195,2.); #10448=VECTOR('',#38198,1.); #10449=VECTOR('',#38199,2.); #10450=VECTOR('',#38200,2.); #10451=VECTOR('',#38203,1.); #10452=VECTOR('',#38204,2.); #10453=VECTOR('',#38209,1.); #10454=VECTOR('',#38210,2.); #10455=VECTOR('',#38211,2.); #10456=VECTOR('',#38212,2.); #10457=VECTOR('',#38215,1.); #10458=VECTOR('',#38216,2.); #10459=VECTOR('',#38217,2.); #10460=VECTOR('',#38220,1.); #10461=VECTOR('',#38221,2.); #10462=VECTOR('',#38222,2.); #10463=VECTOR('',#38225,1.); #10464=VECTOR('',#38226,2.); #10465=VECTOR('',#38227,2.); #10466=VECTOR('',#38230,1.); #10467=VECTOR('',#38231,2.); #10468=VECTOR('',#38232,2.); #10469=VECTOR('',#38235,1.); #10470=VECTOR('',#38236,2.); #10471=VECTOR('',#38237,2.); #10472=VECTOR('',#38240,1.); #10473=VECTOR('',#38241,2.); #10474=VECTOR('',#38242,2.); #10475=VECTOR('',#38245,1.); #10476=VECTOR('',#38246,2.); #10477=VECTOR('',#38247,2.); #10478=VECTOR('',#38250,1.); #10479=VECTOR('',#38251,2.); #10480=VECTOR('',#38252,2.); #10481=VECTOR('',#38255,1.); #10482=VECTOR('',#38256,2.); #10483=VECTOR('',#38257,2.); #10484=VECTOR('',#38260,1.); #10485=VECTOR('',#38261,2.); #10486=VECTOR('',#38262,2.); #10487=VECTOR('',#38265,1.); #10488=VECTOR('',#38266,2.); #10489=VECTOR('',#38267,2.); #10490=VECTOR('',#38270,1.); #10491=VECTOR('',#38271,2.); #10492=VECTOR('',#38272,2.); #10493=VECTOR('',#38275,1.); #10494=VECTOR('',#38276,2.); #10495=VECTOR('',#38277,2.); #10496=VECTOR('',#38280,1.); #10497=VECTOR('',#38281,2.); #10498=VECTOR('',#38282,2.); #10499=VECTOR('',#38285,1.); #10500=VECTOR('',#38286,2.); #10501=VECTOR('',#38287,2.); #10502=VECTOR('',#38290,1.); #10503=VECTOR('',#38291,2.); #10504=VECTOR('',#38292,2.); #10505=VECTOR('',#38295,1.); #10506=VECTOR('',#38296,2.); #10507=VECTOR('',#38297,2.); #10508=VECTOR('',#38300,1.); #10509=VECTOR('',#38301,2.); #10510=VECTOR('',#38302,2.); #10511=VECTOR('',#38305,1.); #10512=VECTOR('',#38306,2.); #10513=VECTOR('',#38307,2.); #10514=VECTOR('',#38310,1.); #10515=VECTOR('',#38311,2.); #10516=VECTOR('',#38312,2.); #10517=VECTOR('',#38315,1.); #10518=VECTOR('',#38316,2.); #10519=VECTOR('',#38317,2.); #10520=VECTOR('',#38320,1.); #10521=VECTOR('',#38321,2.); #10522=VECTOR('',#38322,2.); #10523=VECTOR('',#38325,1.); #10524=VECTOR('',#38326,2.); #10525=VECTOR('',#38327,2.); #10526=VECTOR('',#38330,1.); #10527=VECTOR('',#38331,2.); #10528=VECTOR('',#38332,2.); #10529=VECTOR('',#38335,1.); #10530=VECTOR('',#38336,2.); #10531=VECTOR('',#38337,2.); #10532=VECTOR('',#38340,1.); #10533=VECTOR('',#38341,2.); #10534=VECTOR('',#38342,2.); #10535=VECTOR('',#38345,1.); #10536=VECTOR('',#38346,2.); #10537=VECTOR('',#38347,2.); #10538=VECTOR('',#38350,1.); #10539=VECTOR('',#38351,2.); #10540=VECTOR('',#38352,2.); #10541=VECTOR('',#38355,1.); #10542=VECTOR('',#38356,2.); #10543=VECTOR('',#38357,2.); #10544=VECTOR('',#38360,1.); #10545=VECTOR('',#38361,2.); #10546=VECTOR('',#38362,2.); #10547=VECTOR('',#38365,1.); #10548=VECTOR('',#38366,2.); #10549=VECTOR('',#38367,2.); #10550=VECTOR('',#38370,1.); #10551=VECTOR('',#38371,2.); #10552=VECTOR('',#38372,2.); #10553=VECTOR('',#38375,2.); #10554=VECTOR('',#38376,2.); #10555=VECTOR('',#38377,2.); #10556=VECTOR('',#38378,2.); #10557=VECTOR('',#38379,2.); #10558=VECTOR('',#38380,2.); #10559=VECTOR('',#38381,2.); #10560=VECTOR('',#38382,2.); #10561=VECTOR('',#38383,2.); #10562=VECTOR('',#38384,2.); #10563=VECTOR('',#38385,2.); #10564=VECTOR('',#38386,2.); #10565=VECTOR('',#38387,2.); #10566=VECTOR('',#38388,2.); #10567=VECTOR('',#38389,2.); #10568=VECTOR('',#38390,2.); #10569=VECTOR('',#38391,2.); #10570=VECTOR('',#38392,2.); #10571=VECTOR('',#38393,2.); #10572=VECTOR('',#38394,2.); #10573=VECTOR('',#38395,2.); #10574=VECTOR('',#38396,2.); #10575=VECTOR('',#38397,2.); #10576=VECTOR('',#38398,2.); #10577=VECTOR('',#38399,2.); #10578=VECTOR('',#38400,2.); #10579=VECTOR('',#38401,2.); #10580=VECTOR('',#38402,2.); #10581=VECTOR('',#38403,2.); #10582=VECTOR('',#38404,2.); #10583=VECTOR('',#38405,2.); #10584=VECTOR('',#38406,2.); #10585=VECTOR('',#38407,2.); #10586=VECTOR('',#38408,2.); #10587=VECTOR('',#38411,1.); #10588=VECTOR('',#38412,2.); #10589=VECTOR('',#38415,1.); #10590=VECTOR('',#38416,2.); #10591=VECTOR('',#38419,1.); #10592=VECTOR('',#38420,2.); #10593=VECTOR('',#38423,1.); #10594=VECTOR('',#38424,2.); #10595=VECTOR('',#38427,1.); #10596=VECTOR('',#38428,2.); #10597=VECTOR('',#38431,1.); #10598=VECTOR('',#38432,2.); #10599=VECTOR('',#38435,1.); #10600=VECTOR('',#38436,2.); #10601=VECTOR('',#38439,1.); #10602=VECTOR('',#38440,2.); #10603=VECTOR('',#38443,1.); #10604=VECTOR('',#38444,2.); #10605=VECTOR('',#38447,1.); #10606=VECTOR('',#38448,2.); #10607=VECTOR('',#38451,1.); #10608=VECTOR('',#38452,2.); #10609=VECTOR('',#38455,1.); #10610=VECTOR('',#38456,2.); #10611=VECTOR('',#38459,1.); #10612=VECTOR('',#38460,2.); #10613=VECTOR('',#38463,1.); #10614=VECTOR('',#38464,2.); #10615=VECTOR('',#38467,1.); #10616=VECTOR('',#38468,2.); #10617=VECTOR('',#38471,1.); #10618=VECTOR('',#38472,2.); #10619=VECTOR('',#38475,1.); #10620=VECTOR('',#38476,2.); #10621=VECTOR('',#38479,1.); #10622=VECTOR('',#38480,2.); #10623=VECTOR('',#38483,1.); #10624=VECTOR('',#38484,2.); #10625=VECTOR('',#38487,1.); #10626=VECTOR('',#38488,2.); #10627=VECTOR('',#38491,1.); #10628=VECTOR('',#38492,2.); #10629=VECTOR('',#38495,1.); #10630=VECTOR('',#38496,2.); #10631=VECTOR('',#38499,1.); #10632=VECTOR('',#38500,2.); #10633=VECTOR('',#38503,1.); #10634=VECTOR('',#38504,2.); #10635=VECTOR('',#38507,1.); #10636=VECTOR('',#38508,2.); #10637=VECTOR('',#38511,1.); #10638=VECTOR('',#38512,2.); #10639=VECTOR('',#38515,1.); #10640=VECTOR('',#38516,2.); #10641=VECTOR('',#38519,1.); #10642=VECTOR('',#38520,2.); #10643=VECTOR('',#38523,1.); #10644=VECTOR('',#38524,2.); #10645=VECTOR('',#38527,1.); #10646=VECTOR('',#38528,2.); #10647=VECTOR('',#38531,1.); #10648=VECTOR('',#38532,2.); #10649=VECTOR('',#38535,1.); #10650=VECTOR('',#38536,2.); #10651=VECTOR('',#38539,1.); #10652=VECTOR('',#38540,2.); #10653=VECTOR('',#38543,1.); #10654=VECTOR('',#38546,1.); #10655=VECTOR('',#38547,2.); #10656=VECTOR('',#38548,2.); #10657=VECTOR('',#38549,2.); #10658=VECTOR('',#38552,1.); #10659=VECTOR('',#38553,2.); #10660=VECTOR('',#38554,2.); #10661=VECTOR('',#38555,2.); #10662=VECTOR('',#38558,1.); #10663=VECTOR('',#38559,2.); #10664=VECTOR('',#38560,2.); #10665=VECTOR('',#38563,1.); #10666=VECTOR('',#38564,2.); #10667=VECTOR('',#38565,2.); #10668=VECTOR('',#38568,1.); #10669=VECTOR('',#38569,2.); #10670=VECTOR('',#38570,2.); #10671=VECTOR('',#38573,1.); #10672=VECTOR('',#38574,2.); #10673=VECTOR('',#38575,2.); #10674=VECTOR('',#38578,1.); #10675=VECTOR('',#38579,2.); #10676=VECTOR('',#38580,2.); #10677=VECTOR('',#38583,1.); #10678=VECTOR('',#38584,2.); #10679=VECTOR('',#38587,1.); #10680=VECTOR('',#38588,2.); #10681=VECTOR('',#38589,2.); #10682=VECTOR('',#38592,1.); #10683=VECTOR('',#38593,2.); #10684=VECTOR('',#38594,2.); #10685=VECTOR('',#38597,1.); #10686=VECTOR('',#38598,2.); #10687=VECTOR('',#38599,2.); #10688=VECTOR('',#38602,1.); #10689=VECTOR('',#38603,2.); #10690=VECTOR('',#38604,2.); #10691=VECTOR('',#38607,1.); #10692=VECTOR('',#38608,2.); #10693=VECTOR('',#38609,2.); #10694=VECTOR('',#38612,1.); #10695=VECTOR('',#38613,2.); #10696=VECTOR('',#38618,1.); #10697=VECTOR('',#38619,2.); #10698=VECTOR('',#38620,2.); #10699=VECTOR('',#38621,2.); #10700=VECTOR('',#38624,1.); #10701=VECTOR('',#38625,2.); #10702=VECTOR('',#38626,2.); #10703=VECTOR('',#38629,1.); #10704=VECTOR('',#38630,2.); #10705=VECTOR('',#38631,2.); #10706=VECTOR('',#38634,1.); #10707=VECTOR('',#38635,2.); #10708=VECTOR('',#38636,2.); #10709=VECTOR('',#38639,1.); #10710=VECTOR('',#38640,2.); #10711=VECTOR('',#38641,2.); #10712=VECTOR('',#38644,1.); #10713=VECTOR('',#38645,2.); #10714=VECTOR('',#38646,2.); #10715=VECTOR('',#38649,1.); #10716=VECTOR('',#38650,2.); #10717=VECTOR('',#38651,2.); #10718=VECTOR('',#38654,1.); #10719=VECTOR('',#38655,2.); #10720=VECTOR('',#38656,2.); #10721=VECTOR('',#38659,1.); #10722=VECTOR('',#38660,2.); #10723=VECTOR('',#38661,2.); #10724=VECTOR('',#38664,1.); #10725=VECTOR('',#38665,2.); #10726=VECTOR('',#38666,2.); #10727=VECTOR('',#38669,1.); #10728=VECTOR('',#38670,2.); #10729=VECTOR('',#38671,2.); #10730=VECTOR('',#38674,1.); #10731=VECTOR('',#38675,2.); #10732=VECTOR('',#38676,2.); #10733=VECTOR('',#38679,1.); #10734=VECTOR('',#38680,2.); #10735=VECTOR('',#38681,2.); #10736=VECTOR('',#38684,1.); #10737=VECTOR('',#38685,2.); #10738=VECTOR('',#38686,2.); #10739=VECTOR('',#38689,1.); #10740=VECTOR('',#38690,2.); #10741=VECTOR('',#38695,1.); #10742=VECTOR('',#38696,2.); #10743=VECTOR('',#38697,2.); #10744=VECTOR('',#38698,2.); #10745=VECTOR('',#38701,1.); #10746=VECTOR('',#38702,2.); #10747=VECTOR('',#38703,2.); #10748=VECTOR('',#38706,1.); #10749=VECTOR('',#38707,2.); #10750=VECTOR('',#38708,2.); #10751=VECTOR('',#38711,1.); #10752=VECTOR('',#38712,2.); #10753=VECTOR('',#38713,2.); #10754=VECTOR('',#38716,1.); #10755=VECTOR('',#38717,2.); #10756=VECTOR('',#38718,2.); #10757=VECTOR('',#38721,1.); #10758=VECTOR('',#38722,2.); #10759=VECTOR('',#38723,2.); #10760=VECTOR('',#38726,1.); #10761=VECTOR('',#38727,2.); #10762=VECTOR('',#38728,2.); #10763=VECTOR('',#38731,1.); #10764=VECTOR('',#38732,2.); #10765=VECTOR('',#38733,2.); #10766=VECTOR('',#38736,1.); #10767=VECTOR('',#38737,2.); #10768=VECTOR('',#38738,2.); #10769=VECTOR('',#38741,1.); #10770=VECTOR('',#38742,2.); #10771=VECTOR('',#38743,2.); #10772=VECTOR('',#38746,1.); #10773=VECTOR('',#38747,2.); #10774=VECTOR('',#38748,2.); #10775=VECTOR('',#38751,1.); #10776=VECTOR('',#38752,2.); #10777=VECTOR('',#38753,2.); #10778=VECTOR('',#38756,1.); #10779=VECTOR('',#38757,2.); #10780=VECTOR('',#38758,2.); #10781=VECTOR('',#38761,1.); #10782=VECTOR('',#38762,2.); #10783=VECTOR('',#38763,2.); #10784=VECTOR('',#38766,1.); #10785=VECTOR('',#38767,2.); #10786=VECTOR('',#38768,2.); #10787=VECTOR('',#38771,1.); #10788=VECTOR('',#38772,2.); #10789=VECTOR('',#38773,2.); #10790=VECTOR('',#38776,1.); #10791=VECTOR('',#38777,2.); #10792=VECTOR('',#38778,2.); #10793=VECTOR('',#38781,1.); #10794=VECTOR('',#38782,2.); #10795=VECTOR('',#38783,2.); #10796=VECTOR('',#38786,1.); #10797=VECTOR('',#38787,2.); #10798=VECTOR('',#38788,2.); #10799=VECTOR('',#38791,1.); #10800=VECTOR('',#38792,2.); #10801=VECTOR('',#38793,2.); #10802=VECTOR('',#38796,1.); #10803=VECTOR('',#38797,2.); #10804=VECTOR('',#38798,2.); #10805=VECTOR('',#38801,1.); #10806=VECTOR('',#38802,2.); #10807=VECTOR('',#38803,2.); #10808=VECTOR('',#38806,1.); #10809=VECTOR('',#38807,2.); #10810=VECTOR('',#38808,2.); #10811=VECTOR('',#38811,1.); #10812=VECTOR('',#38812,2.); #10813=VECTOR('',#38813,2.); #10814=VECTOR('',#38816,1.); #10815=VECTOR('',#38817,2.); #10816=VECTOR('',#38818,2.); #10817=VECTOR('',#38821,1.); #10818=VECTOR('',#38822,2.); #10819=VECTOR('',#38823,2.); #10820=VECTOR('',#38826,1.); #10821=VECTOR('',#38827,2.); #10822=VECTOR('',#38828,2.); #10823=VECTOR('',#38831,1.); #10824=VECTOR('',#38832,2.); #10825=VECTOR('',#38833,2.); #10826=VECTOR('',#38834,2.); #10827=VECTOR('',#38837,1.); #10828=VECTOR('',#38838,2.); #10829=VECTOR('',#38839,2.); #10830=VECTOR('',#38842,1.); #10831=VECTOR('',#38843,2.); #10832=VECTOR('',#38844,2.); #10833=VECTOR('',#38847,1.); #10834=VECTOR('',#38848,2.); #10835=VECTOR('',#38849,2.); #10836=VECTOR('',#38852,1.); #10837=VECTOR('',#38853,2.); #10838=VECTOR('',#38854,2.); #10839=VECTOR('',#38857,1.); #10840=VECTOR('',#38858,2.); #10841=VECTOR('',#38859,2.); #10842=VECTOR('',#38862,1.); #10843=VECTOR('',#38863,2.); #10844=VECTOR('',#38864,2.); #10845=VECTOR('',#38867,1.); #10846=VECTOR('',#38868,2.); #10847=VECTOR('',#38869,2.); #10848=VECTOR('',#38872,1.); #10849=VECTOR('',#38873,2.); #10850=VECTOR('',#38874,2.); #10851=VECTOR('',#38877,1.); #10852=VECTOR('',#38878,2.); #10853=VECTOR('',#38879,2.); #10854=VECTOR('',#38882,1.); #10855=VECTOR('',#38883,2.); #10856=VECTOR('',#38884,2.); #10857=VECTOR('',#38887,1.); #10858=VECTOR('',#38888,2.); #10859=VECTOR('',#38889,2.); #10860=VECTOR('',#38892,1.); #10861=VECTOR('',#38893,2.); #10862=VECTOR('',#38894,2.); #10863=VECTOR('',#38897,1.); #10864=VECTOR('',#38898,2.); #10865=VECTOR('',#38899,2.); #10866=VECTOR('',#38902,1.); #10867=VECTOR('',#38903,2.); #10868=VECTOR('',#38904,2.); #10869=VECTOR('',#38907,1.); #10870=VECTOR('',#38908,2.); #10871=VECTOR('',#38909,2.); #10872=VECTOR('',#38912,1.); #10873=VECTOR('',#38913,2.); #10874=VECTOR('',#38914,2.); #10875=VECTOR('',#38917,1.); #10876=VECTOR('',#38918,2.); #10877=VECTOR('',#38919,2.); #10878=VECTOR('',#38922,1.); #10879=VECTOR('',#38923,2.); #10880=VECTOR('',#38924,2.); #10881=VECTOR('',#38927,1.); #10882=VECTOR('',#38928,2.); #10883=VECTOR('',#38929,2.); #10884=VECTOR('',#38932,1.); #10885=VECTOR('',#38933,2.); #10886=VECTOR('',#38934,2.); #10887=VECTOR('',#38937,1.); #10888=VECTOR('',#38938,2.); #10889=VECTOR('',#38939,2.); #10890=VECTOR('',#38942,1.); #10891=VECTOR('',#38943,2.); #10892=VECTOR('',#38944,2.); #10893=VECTOR('',#38947,1.); #10894=VECTOR('',#38948,2.); #10895=VECTOR('',#38949,2.); #10896=VECTOR('',#38952,1.); #10897=VECTOR('',#38953,2.); #10898=VECTOR('',#38954,2.); #10899=VECTOR('',#38957,1.); #10900=VECTOR('',#38958,2.); #10901=VECTOR('',#38959,2.); #10902=VECTOR('',#38962,1.); #10903=VECTOR('',#38963,2.); #10904=VECTOR('',#38964,2.); #10905=VECTOR('',#38967,1.); #10906=VECTOR('',#38968,2.); #10907=VECTOR('',#38969,2.); #10908=VECTOR('',#38972,1.); #10909=VECTOR('',#38973,2.); #10910=VECTOR('',#38974,2.); #10911=VECTOR('',#38977,1.); #10912=VECTOR('',#38978,2.); #10913=VECTOR('',#38979,2.); #10914=VECTOR('',#38982,1.); #10915=VECTOR('',#38983,2.); #10916=VECTOR('',#38984,2.); #10917=VECTOR('',#38987,1.); #10918=VECTOR('',#38988,2.); #10919=VECTOR('',#38991,1.); #10920=VECTOR('',#38992,2.); #10921=VECTOR('',#38993,2.); #10922=VECTOR('',#38996,1.); #10923=VECTOR('',#38997,2.); #10924=VECTOR('',#38998,2.); #10925=VECTOR('',#39001,1.); #10926=VECTOR('',#39002,2.); #10927=VECTOR('',#39003,2.); #10928=VECTOR('',#39006,1.); #10929=VECTOR('',#39007,2.); #10930=VECTOR('',#39008,2.); #10931=VECTOR('',#39011,1.); #10932=VECTOR('',#39012,2.); #10933=VECTOR('',#39013,2.); #10934=VECTOR('',#39016,1.); #10935=VECTOR('',#39017,2.); #10936=VECTOR('',#39018,2.); #10937=VECTOR('',#39021,1.); #10938=VECTOR('',#39022,2.); #10939=VECTOR('',#39023,2.); #10940=VECTOR('',#39026,1.); #10941=VECTOR('',#39027,2.); #10942=VECTOR('',#39028,2.); #10943=VECTOR('',#39031,1.); #10944=VECTOR('',#39032,2.); #10945=VECTOR('',#39033,2.); #10946=VECTOR('',#39036,1.); #10947=VECTOR('',#39037,2.); #10948=VECTOR('',#39038,2.); #10949=VECTOR('',#39041,1.); #10950=VECTOR('',#39042,2.); #10951=VECTOR('',#39047,1.); #10952=VECTOR('',#39048,2.); #10953=VECTOR('',#39049,2.); #10954=VECTOR('',#39050,2.); #10955=VECTOR('',#39053,1.); #10956=VECTOR('',#39054,2.); #10957=VECTOR('',#39055,2.); #10958=VECTOR('',#39058,1.); #10959=VECTOR('',#39059,2.); #10960=VECTOR('',#39060,2.); #10961=VECTOR('',#39063,1.); #10962=VECTOR('',#39064,2.); #10963=VECTOR('',#39065,2.); #10964=VECTOR('',#39068,1.); #10965=VECTOR('',#39069,2.); #10966=VECTOR('',#39070,2.); #10967=VECTOR('',#39073,1.); #10968=VECTOR('',#39074,2.); #10969=VECTOR('',#39075,2.); #10970=VECTOR('',#39078,1.); #10971=VECTOR('',#39079,2.); #10972=VECTOR('',#39080,2.); #10973=VECTOR('',#39083,1.); #10974=VECTOR('',#39084,2.); #10975=VECTOR('',#39085,2.); #10976=VECTOR('',#39088,1.); #10977=VECTOR('',#39089,2.); #10978=VECTOR('',#39090,2.); #10979=VECTOR('',#39093,1.); #10980=VECTOR('',#39094,2.); #10981=VECTOR('',#39095,2.); #10982=VECTOR('',#39098,1.); #10983=VECTOR('',#39099,2.); #10984=VECTOR('',#39100,2.); #10985=VECTOR('',#39103,1.); #10986=VECTOR('',#39104,2.); #10987=VECTOR('',#39105,2.); #10988=VECTOR('',#39108,1.); #10989=VECTOR('',#39109,2.); #10990=VECTOR('',#39110,2.); #10991=VECTOR('',#39113,1.); #10992=VECTOR('',#39114,2.); #10993=VECTOR('',#39115,2.); #10994=VECTOR('',#39118,1.); #10995=VECTOR('',#39119,2.); #10996=VECTOR('',#39120,2.); #10997=VECTOR('',#39123,1.); #10998=VECTOR('',#39124,2.); #10999=VECTOR('',#39125,2.); #11000=VECTOR('',#39128,1.); #11001=VECTOR('',#39129,2.); #11002=VECTOR('',#39130,2.); #11003=VECTOR('',#39133,1.); #11004=VECTOR('',#39134,2.); #11005=VECTOR('',#39135,2.); #11006=VECTOR('',#39138,1.); #11007=VECTOR('',#39139,2.); #11008=VECTOR('',#39140,2.); #11009=VECTOR('',#39143,1.); #11010=VECTOR('',#39144,2.); #11011=VECTOR('',#39145,2.); #11012=VECTOR('',#39148,1.); #11013=VECTOR('',#39149,2.); #11014=VECTOR('',#39150,2.); #11015=VECTOR('',#39153,1.); #11016=VECTOR('',#39154,2.); #11017=VECTOR('',#39155,2.); #11018=VECTOR('',#39158,1.); #11019=VECTOR('',#39159,2.); #11020=VECTOR('',#39160,2.); #11021=VECTOR('',#39163,1.); #11022=VECTOR('',#39164,2.); #11023=VECTOR('',#39165,2.); #11024=VECTOR('',#39168,1.); #11025=VECTOR('',#39169,2.); #11026=VECTOR('',#39170,2.); #11027=VECTOR('',#39173,1.); #11028=VECTOR('',#39174,2.); #11029=VECTOR('',#39175,2.); #11030=VECTOR('',#39178,1.); #11031=VECTOR('',#39179,2.); #11032=VECTOR('',#39180,2.); #11033=VECTOR('',#39183,1.); #11034=VECTOR('',#39184,2.); #11035=VECTOR('',#39185,2.); #11036=VECTOR('',#39188,1.); #11037=VECTOR('',#39189,2.); #11038=VECTOR('',#39190,2.); #11039=VECTOR('',#39193,1.); #11040=VECTOR('',#39194,2.); #11041=VECTOR('',#39195,2.); #11042=VECTOR('',#39198,1.); #11043=VECTOR('',#39199,2.); #11044=VECTOR('',#39200,2.); #11045=VECTOR('',#39203,1.); #11046=VECTOR('',#39204,2.); #11047=VECTOR('',#39205,2.); #11048=VECTOR('',#39208,1.); #11049=VECTOR('',#39209,2.); #11050=VECTOR('',#39210,2.); #11051=VECTOR('',#39213,1.); #11052=VECTOR('',#39214,2.); #11053=VECTOR('',#39215,2.); #11054=VECTOR('',#39218,1.); #11055=VECTOR('',#39219,2.); #11056=VECTOR('',#39220,2.); #11057=VECTOR('',#39223,1.); #11058=VECTOR('',#39224,2.); #11059=VECTOR('',#39225,2.); #11060=VECTOR('',#39228,1.); #11061=VECTOR('',#39229,2.); #11062=VECTOR('',#39230,2.); #11063=VECTOR('',#39233,1.); #11064=VECTOR('',#39234,2.); #11065=VECTOR('',#39235,2.); #11066=VECTOR('',#39238,1.); #11067=VECTOR('',#39239,2.); #11068=VECTOR('',#39240,2.); #11069=VECTOR('',#39243,1.); #11070=VECTOR('',#39244,2.); #11071=VECTOR('',#39245,2.); #11072=VECTOR('',#39248,1.); #11073=VECTOR('',#39249,2.); #11074=VECTOR('',#39250,2.); #11075=VECTOR('',#39253,1.); #11076=VECTOR('',#39254,2.); #11077=VECTOR('',#39255,2.); #11078=VECTOR('',#39258,1.); #11079=VECTOR('',#39259,2.); #11080=VECTOR('',#39260,2.); #11081=VECTOR('',#39263,1.); #11082=VECTOR('',#39264,2.); #11083=VECTOR('',#39265,2.); #11084=VECTOR('',#39268,1.); #11085=VECTOR('',#39269,2.); #11086=VECTOR('',#39270,2.); #11087=VECTOR('',#39273,1.); #11088=VECTOR('',#39274,2.); #11089=VECTOR('',#39275,2.); #11090=VECTOR('',#39278,1.); #11091=VECTOR('',#39279,2.); #11092=VECTOR('',#39280,2.); #11093=VECTOR('',#39283,1.); #11094=VECTOR('',#39284,2.); #11095=VECTOR('',#39285,2.); #11096=VECTOR('',#39288,1.); #11097=VECTOR('',#39289,2.); #11098=VECTOR('',#39290,2.); #11099=VECTOR('',#39293,1.); #11100=VECTOR('',#39294,2.); #11101=VECTOR('',#39299,1.); #11102=VECTOR('',#39300,2.); #11103=VECTOR('',#39301,2.); #11104=VECTOR('',#39302,2.); #11105=VECTOR('',#39305,1.); #11106=VECTOR('',#39306,2.); #11107=VECTOR('',#39307,2.); #11108=VECTOR('',#39310,1.); #11109=VECTOR('',#39311,2.); #11110=VECTOR('',#39312,2.); #11111=VECTOR('',#39315,1.); #11112=VECTOR('',#39316,2.); #11113=VECTOR('',#39317,2.); #11114=VECTOR('',#39320,1.); #11115=VECTOR('',#39321,2.); #11116=VECTOR('',#39322,2.); #11117=VECTOR('',#39325,1.); #11118=VECTOR('',#39326,2.); #11119=VECTOR('',#39327,2.); #11120=VECTOR('',#39330,1.); #11121=VECTOR('',#39331,2.); #11122=VECTOR('',#39332,2.); #11123=VECTOR('',#39335,1.); #11124=VECTOR('',#39336,2.); #11125=VECTOR('',#39337,2.); #11126=VECTOR('',#39340,1.); #11127=VECTOR('',#39341,2.); #11128=VECTOR('',#39342,2.); #11129=VECTOR('',#39343,2.); #11130=VECTOR('',#39346,1.); #11131=VECTOR('',#39347,2.); #11132=VECTOR('',#39348,2.); #11133=VECTOR('',#39351,1.); #11134=VECTOR('',#39352,2.); #11135=VECTOR('',#39353,2.); #11136=VECTOR('',#39356,1.); #11137=VECTOR('',#39357,2.); #11138=VECTOR('',#39358,2.); #11139=VECTOR('',#39361,1.); #11140=VECTOR('',#39362,2.); #11141=VECTOR('',#39363,2.); #11142=VECTOR('',#39366,1.); #11143=VECTOR('',#39367,2.); #11144=VECTOR('',#39368,2.); #11145=VECTOR('',#39371,1.); #11146=VECTOR('',#39372,2.); #11147=VECTOR('',#39373,2.); #11148=VECTOR('',#39376,1.); #11149=VECTOR('',#39377,2.); #11150=VECTOR('',#39378,2.); #11151=VECTOR('',#39381,1.); #11152=VECTOR('',#39382,2.); #11153=VECTOR('',#39383,2.); #11154=VECTOR('',#39384,2.); #11155=VECTOR('',#39387,1.); #11156=VECTOR('',#39388,2.); #11157=VECTOR('',#39389,2.); #11158=VECTOR('',#39392,1.); #11159=VECTOR('',#39393,2.); #11160=VECTOR('',#39394,2.); #11161=VECTOR('',#39397,1.); #11162=VECTOR('',#39398,2.); #11163=VECTOR('',#39399,2.); #11164=VECTOR('',#39402,1.); #11165=VECTOR('',#39403,2.); #11166=VECTOR('',#39404,2.); #11167=VECTOR('',#39407,1.); #11168=VECTOR('',#39408,2.); #11169=VECTOR('',#39409,2.); #11170=VECTOR('',#39412,1.); #11171=VECTOR('',#39413,2.); #11172=VECTOR('',#39414,2.); #11173=VECTOR('',#39417,1.); #11174=VECTOR('',#39418,2.); #11175=VECTOR('',#39419,2.); #11176=VECTOR('',#39422,1.); #11177=VECTOR('',#39423,2.); #11178=VECTOR('',#39424,2.); #11179=VECTOR('',#39427,1.); #11180=VECTOR('',#39428,2.); #11181=VECTOR('',#39429,2.); #11182=VECTOR('',#39432,1.); #11183=VECTOR('',#39433,2.); #11184=VECTOR('',#39434,2.); #11185=VECTOR('',#39437,1.); #11186=VECTOR('',#39438,2.); #11187=VECTOR('',#39439,2.); #11188=VECTOR('',#39442,1.); #11189=VECTOR('',#39443,2.); #11190=VECTOR('',#39444,2.); #11191=VECTOR('',#39447,1.); #11192=VECTOR('',#39448,2.); #11193=VECTOR('',#39449,2.); #11194=VECTOR('',#39452,1.); #11195=VECTOR('',#39453,2.); #11196=VECTOR('',#39454,2.); #11197=VECTOR('',#39457,1.); #11198=VECTOR('',#39458,2.); #11199=VECTOR('',#39459,2.); #11200=VECTOR('',#39462,1.); #11201=VECTOR('',#39463,2.); #11202=VECTOR('',#39464,2.); #11203=VECTOR('',#39467,1.); #11204=VECTOR('',#39468,2.); #11205=VECTOR('',#39469,2.); #11206=VECTOR('',#39472,1.); #11207=VECTOR('',#39473,2.); #11208=VECTOR('',#39474,2.); #11209=VECTOR('',#39477,1.); #11210=VECTOR('',#39478,2.); #11211=VECTOR('',#39479,2.); #11212=VECTOR('',#39482,1.); #11213=VECTOR('',#39483,2.); #11214=VECTOR('',#39484,2.); #11215=VECTOR('',#39487,1.); #11216=VECTOR('',#39488,2.); #11217=VECTOR('',#39489,2.); #11218=VECTOR('',#39492,1.); #11219=VECTOR('',#39493,2.); #11220=VECTOR('',#39494,2.); #11221=VECTOR('',#39497,1.); #11222=VECTOR('',#39498,2.); #11223=VECTOR('',#39499,2.); #11224=VECTOR('',#39502,1.); #11225=VECTOR('',#39503,2.); #11226=VECTOR('',#39504,2.); #11227=VECTOR('',#39505,2.); #11228=VECTOR('',#39508,1.); #11229=VECTOR('',#39509,2.); #11230=VECTOR('',#39510,2.); #11231=VECTOR('',#39513,1.); #11232=VECTOR('',#39514,2.); #11233=VECTOR('',#39515,2.); #11234=VECTOR('',#39518,1.); #11235=VECTOR('',#39519,2.); #11236=VECTOR('',#39520,2.); #11237=VECTOR('',#39523,1.); #11238=VECTOR('',#39524,2.); #11239=VECTOR('',#39525,2.); #11240=VECTOR('',#39528,1.); #11241=VECTOR('',#39529,2.); #11242=VECTOR('',#39530,2.); #11243=VECTOR('',#39533,1.); #11244=VECTOR('',#39534,2.); #11245=VECTOR('',#39535,2.); #11246=VECTOR('',#39538,1.); #11247=VECTOR('',#39539,2.); #11248=VECTOR('',#39540,2.); #11249=VECTOR('',#39543,1.); #11250=VECTOR('',#39544,2.); #11251=VECTOR('',#39545,2.); #11252=VECTOR('',#39548,1.); #11253=VECTOR('',#39549,2.); #11254=VECTOR('',#39550,2.); #11255=VECTOR('',#39553,1.); #11256=VECTOR('',#39554,2.); #11257=VECTOR('',#39555,2.); #11258=VECTOR('',#39558,1.); #11259=VECTOR('',#39559,2.); #11260=VECTOR('',#39560,2.); #11261=VECTOR('',#39563,1.); #11262=VECTOR('',#39564,2.); #11263=VECTOR('',#39567,1.); #11264=VECTOR('',#39568,2.); #11265=VECTOR('',#39569,2.); #11266=VECTOR('',#39572,1.); #11267=VECTOR('',#39573,2.); #11268=VECTOR('',#39574,2.); #11269=VECTOR('',#39575,2.); #11270=VECTOR('',#39578,1.); #11271=VECTOR('',#39579,2.); #11272=VECTOR('',#39580,2.); #11273=VECTOR('',#39583,1.); #11274=VECTOR('',#39584,2.); #11275=VECTOR('',#39585,2.); #11276=VECTOR('',#39588,1.); #11277=VECTOR('',#39589,2.); #11278=VECTOR('',#39590,2.); #11279=VECTOR('',#39593,1.); #11280=VECTOR('',#39594,2.); #11281=VECTOR('',#39595,2.); #11282=VECTOR('',#39598,1.); #11283=VECTOR('',#39599,2.); #11284=VECTOR('',#39600,2.); #11285=VECTOR('',#39603,1.); #11286=VECTOR('',#39604,2.); #11287=VECTOR('',#39605,2.); #11288=VECTOR('',#39608,1.); #11289=VECTOR('',#39609,2.); #11290=VECTOR('',#39612,1.); #11291=VECTOR('',#39613,2.); #11292=VECTOR('',#39614,2.); #11293=VECTOR('',#39617,1.); #11294=VECTOR('',#39618,2.); #11295=VECTOR('',#39619,2.); #11296=VECTOR('',#39622,1.); #11297=VECTOR('',#39623,2.); #11298=VECTOR('',#39624,2.); #11299=VECTOR('',#39627,1.); #11300=VECTOR('',#39628,2.); #11301=VECTOR('',#39629,2.); #11302=VECTOR('',#39632,1.); #11303=VECTOR('',#39633,2.); #11304=VECTOR('',#39634,2.); #11305=VECTOR('',#39637,1.); #11306=VECTOR('',#39638,2.); #11307=VECTOR('',#39639,2.); #11308=VECTOR('',#39642,1.); #11309=VECTOR('',#39643,2.); #11310=VECTOR('',#39644,2.); #11311=VECTOR('',#39647,1.); #11312=VECTOR('',#39648,2.); #11313=VECTOR('',#39651,1.); #11314=VECTOR('',#39652,2.); #11315=VECTOR('',#39653,2.); #11316=VECTOR('',#39654,2.); #11317=VECTOR('',#39657,1.); #11318=VECTOR('',#39658,2.); #11319=VECTOR('',#39659,2.); #11320=VECTOR('',#39662,1.); #11321=VECTOR('',#39663,2.); #11322=VECTOR('',#39664,2.); #11323=VECTOR('',#39667,1.); #11324=VECTOR('',#39668,2.); #11325=VECTOR('',#39671,1.); #11326=VECTOR('',#39672,2.); #11327=VECTOR('',#39673,2.); #11328=VECTOR('',#39676,1.); #11329=VECTOR('',#39677,2.); #11330=VECTOR('',#39678,2.); #11331=VECTOR('',#39681,1.); #11332=VECTOR('',#39682,2.); #11333=VECTOR('',#39685,1.); #11334=VECTOR('',#39686,2.); #11335=VECTOR('',#39687,2.); #11336=VECTOR('',#39690,1.); #11337=VECTOR('',#39691,2.); #11338=VECTOR('',#39692,2.); #11339=VECTOR('',#39695,1.); #11340=VECTOR('',#39696,2.); #11341=VECTOR('',#39701,1.); #11342=VECTOR('',#39702,2.); #11343=VECTOR('',#39703,2.); #11344=VECTOR('',#39704,2.); #11345=VECTOR('',#39707,1.); #11346=VECTOR('',#39708,2.); #11347=VECTOR('',#39709,2.); #11348=VECTOR('',#39712,1.); #11349=VECTOR('',#39713,2.); #11350=VECTOR('',#39714,2.); #11351=VECTOR('',#39717,1.); #11352=VECTOR('',#39718,2.); #11353=VECTOR('',#39719,2.); #11354=VECTOR('',#39722,1.); #11355=VECTOR('',#39723,2.); #11356=VECTOR('',#39724,2.); #11357=VECTOR('',#39727,1.); #11358=VECTOR('',#39728,2.); #11359=VECTOR('',#39729,2.); #11360=VECTOR('',#39732,1.); #11361=VECTOR('',#39733,2.); #11362=VECTOR('',#39734,2.); #11363=VECTOR('',#39737,1.); #11364=VECTOR('',#39738,2.); #11365=VECTOR('',#39739,2.); #11366=VECTOR('',#39742,1.); #11367=VECTOR('',#39743,2.); #11368=VECTOR('',#39744,2.); #11369=VECTOR('',#39747,1.); #11370=VECTOR('',#39748,2.); #11371=VECTOR('',#39749,2.); #11372=VECTOR('',#39752,1.); #11373=VECTOR('',#39753,2.); #11374=VECTOR('',#39754,2.); #11375=VECTOR('',#39757,1.); #11376=VECTOR('',#39758,2.); #11377=VECTOR('',#39759,2.); #11378=VECTOR('',#39762,1.); #11379=VECTOR('',#39763,2.); #11380=VECTOR('',#39764,2.); #11381=VECTOR('',#39767,1.); #11382=VECTOR('',#39768,2.); #11383=VECTOR('',#39769,2.); #11384=VECTOR('',#39772,1.); #11385=VECTOR('',#39773,2.); #11386=VECTOR('',#39774,2.); #11387=VECTOR('',#39777,1.); #11388=VECTOR('',#39778,2.); #11389=VECTOR('',#39779,2.); #11390=VECTOR('',#39782,1.); #11391=VECTOR('',#39783,2.); #11392=VECTOR('',#39784,2.); #11393=VECTOR('',#39787,1.); #11394=VECTOR('',#39788,2.); #11395=VECTOR('',#39789,2.); #11396=VECTOR('',#39792,1.); #11397=VECTOR('',#39793,2.); #11398=VECTOR('',#39794,2.); #11399=VECTOR('',#39797,1.); #11400=VECTOR('',#39798,2.); #11401=VECTOR('',#39799,2.); #11402=VECTOR('',#39802,1.); #11403=VECTOR('',#39803,2.); #11404=VECTOR('',#39804,2.); #11405=VECTOR('',#39805,2.); #11406=VECTOR('',#39808,1.); #11407=VECTOR('',#39809,2.); #11408=VECTOR('',#39810,2.); #11409=VECTOR('',#39813,1.); #11410=VECTOR('',#39814,2.); #11411=VECTOR('',#39815,2.); #11412=VECTOR('',#39818,1.); #11413=VECTOR('',#39819,2.); #11414=VECTOR('',#39820,2.); #11415=VECTOR('',#39823,1.); #11416=VECTOR('',#39824,2.); #11417=VECTOR('',#39825,2.); #11418=VECTOR('',#39828,1.); #11419=VECTOR('',#39829,2.); #11420=VECTOR('',#39830,2.); #11421=VECTOR('',#39833,1.); #11422=VECTOR('',#39834,2.); #11423=VECTOR('',#39835,2.); #11424=VECTOR('',#39838,1.); #11425=VECTOR('',#39839,2.); #11426=VECTOR('',#39840,2.); #11427=VECTOR('',#39843,1.); #11428=VECTOR('',#39844,2.); #11429=VECTOR('',#39845,2.); #11430=VECTOR('',#39848,1.); #11431=VECTOR('',#39849,2.); #11432=VECTOR('',#39850,2.); #11433=VECTOR('',#39853,1.); #11434=VECTOR('',#39854,2.); #11435=VECTOR('',#39855,2.); #11436=VECTOR('',#39858,1.); #11437=VECTOR('',#39859,2.); #11438=VECTOR('',#39860,2.); #11439=VECTOR('',#39863,1.); #11440=VECTOR('',#39864,2.); #11441=VECTOR('',#39865,2.); #11442=VECTOR('',#39868,1.); #11443=VECTOR('',#39869,2.); #11444=VECTOR('',#39870,2.); #11445=VECTOR('',#39873,1.); #11446=VECTOR('',#39874,2.); #11447=VECTOR('',#39875,2.); #11448=VECTOR('',#39878,1.); #11449=VECTOR('',#39879,2.); #11450=VECTOR('',#39880,2.); #11451=VECTOR('',#39883,1.); #11452=VECTOR('',#39884,2.); #11453=VECTOR('',#39885,2.); #11454=VECTOR('',#39888,1.); #11455=VECTOR('',#39889,2.); #11456=VECTOR('',#39890,2.); #11457=VECTOR('',#39893,1.); #11458=VECTOR('',#39894,2.); #11459=VECTOR('',#39895,2.); #11460=VECTOR('',#39898,1.); #11461=VECTOR('',#39899,2.); #11462=VECTOR('',#39900,2.); #11463=VECTOR('',#39903,1.); #11464=VECTOR('',#39904,2.); #11465=VECTOR('',#39905,2.); #11466=VECTOR('',#39908,1.); #11467=VECTOR('',#39909,2.); #11468=VECTOR('',#39910,2.); #11469=VECTOR('',#39913,1.); #11470=VECTOR('',#39914,2.); #11471=VECTOR('',#39915,2.); #11472=VECTOR('',#39918,1.); #11473=VECTOR('',#39919,2.); #11474=VECTOR('',#39920,2.); #11475=VECTOR('',#39923,1.); #11476=VECTOR('',#39924,2.); #11477=VECTOR('',#39925,2.); #11478=VECTOR('',#39928,1.); #11479=VECTOR('',#39929,2.); #11480=VECTOR('',#39930,2.); #11481=VECTOR('',#39933,1.); #11482=VECTOR('',#39934,2.); #11483=VECTOR('',#39935,2.); #11484=VECTOR('',#39938,1.); #11485=VECTOR('',#39939,2.); #11486=VECTOR('',#39940,2.); #11487=VECTOR('',#39943,1.); #11488=VECTOR('',#39944,2.); #11489=VECTOR('',#39945,2.); #11490=VECTOR('',#39948,1.); #11491=VECTOR('',#39949,2.); #11492=VECTOR('',#39950,2.); #11493=VECTOR('',#39953,1.); #11494=VECTOR('',#39954,2.); #11495=VECTOR('',#39955,2.); #11496=VECTOR('',#39958,1.); #11497=VECTOR('',#39959,2.); #11498=VECTOR('',#39960,2.); #11499=VECTOR('',#39963,1.); #11500=VECTOR('',#39964,2.); #11501=VECTOR('',#39965,2.); #11502=VECTOR('',#39968,1.); #11503=VECTOR('',#39969,2.); #11504=VECTOR('',#39970,2.); #11505=VECTOR('',#39973,1.); #11506=VECTOR('',#39974,2.); #11507=VECTOR('',#39975,2.); #11508=VECTOR('',#39978,1.); #11509=VECTOR('',#39979,2.); #11510=VECTOR('',#39980,2.); #11511=VECTOR('',#39983,1.); #11512=VECTOR('',#39984,2.); #11513=VECTOR('',#39985,2.); #11514=VECTOR('',#39988,1.); #11515=VECTOR('',#39989,2.); #11516=VECTOR('',#39992,1.); #11517=VECTOR('',#39993,2.); #11518=VECTOR('',#39994,2.); #11519=VECTOR('',#39997,1.); #11520=VECTOR('',#39998,2.); #11521=VECTOR('',#39999,2.); #11522=VECTOR('',#40002,1.); #11523=VECTOR('',#40003,2.); #11524=VECTOR('',#40004,2.); #11525=VECTOR('',#40007,1.); #11526=VECTOR('',#40008,2.); #11527=VECTOR('',#40009,2.); #11528=VECTOR('',#40012,1.); #11529=VECTOR('',#40013,2.); #11530=VECTOR('',#40014,2.); #11531=VECTOR('',#40017,1.); #11532=VECTOR('',#40018,2.); #11533=VECTOR('',#40019,2.); #11534=VECTOR('',#40022,1.); #11535=VECTOR('',#40023,2.); #11536=VECTOR('',#40024,2.); #11537=VECTOR('',#40027,1.); #11538=VECTOR('',#40028,2.); #11539=VECTOR('',#40029,2.); #11540=VECTOR('',#40032,1.); #11541=VECTOR('',#40033,2.); #11542=VECTOR('',#40034,2.); #11543=VECTOR('',#40037,1.); #11544=VECTOR('',#40038,2.); #11545=VECTOR('',#40045,1.); #11546=VECTOR('',#40046,1.); #11547=VECTOR('',#40047,1.); #11548=VECTOR('',#40048,1.); #11549=VECTOR('',#40049,1.); #11550=VECTOR('',#40050,1.); #11551=VECTOR('',#40051,1.); #11552=VECTOR('',#40052,1.); #11553=VECTOR('',#40053,1.); #11554=VECTOR('',#40054,1.); #11555=VECTOR('',#40055,1.); #11556=VECTOR('',#40056,1.); #11557=VECTOR('',#40087,1.); #11558=VECTOR('',#40090,1.); #11559=VECTOR('',#40093,1.); #11560=VECTOR('',#40098,1.); #11561=VECTOR('',#40105,1.); #11562=VECTOR('',#40108,1.); #11563=VECTOR('',#40113,1.); #11564=VECTOR('',#40116,1.); #11565=VECTOR('',#40139,1.); #11566=VECTOR('',#40142,1.); #11567=VECTOR('',#40149,1.); #11568=VECTOR('',#40152,1.); #11569=VECTOR('',#40155,1.); #11570=VECTOR('',#40158,1.); #11571=VECTOR('',#40159,1.); #11572=VECTOR('',#40160,1.); #11573=VECTOR('',#40163,1.); #11574=VECTOR('',#40168,1.); #11575=VECTOR('',#40173,1.); #11576=VECTOR('',#40178,1.); #11577=VECTOR('',#40189,5.5); #11578=VECTOR('',#40192,3.30000000000001); #11579=VECTOR('',#40197,1.); #11580=VECTOR('',#40198,1.); #11581=VECTOR('',#40199,1.); #11582=VECTOR('',#40202,1.); #11583=VECTOR('',#40205,1.); #11584=VECTOR('',#40210,1.); #11585=VECTOR('',#40211,1.); #11586=VECTOR('',#40212,1.); #11587=VECTOR('',#40215,1.); #11588=VECTOR('',#40216,1.); #11589=VECTOR('',#40219,1.); #11590=VECTOR('',#40224,1.); #11591=VECTOR('',#40225,1.); #11592=VECTOR('',#40232,1.); #11593=VECTOR('',#40237,1.); #11594=VECTOR('',#40240,1.); #11595=VECTOR('',#40251,1.); #11596=VECTOR('',#40252,1.); #11597=VECTOR('',#40263,1.); #11598=VECTOR('',#40264,1.); #11599=VECTOR('',#40269,1.); #11600=VECTOR('',#40270,1.); #11601=VECTOR('',#40271,1.); #11602=VECTOR('',#40272,1.); #11603=VECTOR('',#40275,1.); #11604=VECTOR('',#40276,1.); #11605=VECTOR('',#40279,1.); #11606=VECTOR('',#40282,1.); #11607=VECTOR('',#40287,1.); #11608=VECTOR('',#40288,1.); #11609=VECTOR('',#40291,1.); #11610=VECTOR('',#40292,1.); #11611=VECTOR('',#40293,1.); #11612=VECTOR('',#40294,1.); #11613=VECTOR('',#40297,1.); #11614=VECTOR('',#40298,1.); #11615=VECTOR('',#40299,1.); #11616=VECTOR('',#40302,1.); #11617=VECTOR('',#40303,1.); #11618=VECTOR('',#40308,1.); #11619=VECTOR('',#40309,1.); #11620=VECTOR('',#40312,1.); #11621=VECTOR('',#40313,1.); #11622=VECTOR('',#40314,1.); #11623=VECTOR('',#40317,1.); #11624=VECTOR('',#40318,1.); #11625=VECTOR('',#40321,1.); #11626=VECTOR('',#40322,1.); #11627=VECTOR('',#40333,1.); #11628=VECTOR('',#40336,1.); #11629=VECTOR('',#40339,1.); #11630=VECTOR('',#40342,1.); #11631=VECTOR('',#40345,1.); #11632=VECTOR('',#40346,1.); #11633=VECTOR('',#40349,1.); #11634=VECTOR('',#40350,1.); #11635=VECTOR('',#40351,1.); #11636=VECTOR('',#40360,1.); #11637=VECTOR('',#40363,1.); #11638=VECTOR('',#40366,1.); #11639=VECTOR('',#40375,1.); #11640=VECTOR('',#40376,1.); #11641=VECTOR('',#40377,1.); #11642=VECTOR('',#40382,1.); #11643=VECTOR('',#40383,1.); #11644=VECTOR('',#40406,1.); #11645=VECTOR('',#40407,1.); #11646=VECTOR('',#40410,1.); #11647=VECTOR('',#40417,1.); #11648=VECTOR('',#40420,1.); #11649=VECTOR('',#40425,1.); #11650=VECTOR('',#40428,1.); #11651=VECTOR('',#40451,1.); #11652=VECTOR('',#40454,1.); #11653=VECTOR('',#40461,1.); #11654=VECTOR('',#40464,1.); #11655=VECTOR('',#40465,1.); #11656=VECTOR('',#40468,1.); #11657=VECTOR('',#40469,1.); #11658=VECTOR('',#40472,1.); #11659=VECTOR('',#40475,1.); #11660=VECTOR('',#40478,1.); #11661=VECTOR('',#40489,5.5); #11662=VECTOR('',#40492,3.30000000000001); #11663=VECTOR('',#40497,1.); #11664=VECTOR('',#40500,1.); #11665=VECTOR('',#40503,1.); #11666=VECTOR('',#40508,1.); #11667=VECTOR('',#40509,1.); #11668=VECTOR('',#40510,1.); #11669=VECTOR('',#40513,1.); #11670=VECTOR('',#40514,1.); #11671=VECTOR('',#40519,1.); #11672=VECTOR('',#40520,1.); #11673=VECTOR('',#40525,1.); #11674=VECTOR('',#40528,1.); #11675=VECTOR('',#40529,1.); #11676=VECTOR('',#40532,1.); #11677=VECTOR('',#40533,1.); #11678=VECTOR('',#40540,1.); #11679=VECTOR('',#40547,1.); #11680=VECTOR('',#40550,1.); #11681=VECTOR('',#40561,1.); #11682=VECTOR('',#40562,1.); #11683=VECTOR('',#40573,1.); #11684=VECTOR('',#40574,1.); #11685=VECTOR('',#40577,1.); #11686=VECTOR('',#40578,1.); #11687=VECTOR('',#40581,1.); #11688=VECTOR('',#40582,1.); #11689=VECTOR('',#40585,1.); #11690=VECTOR('',#40590,1.); #11691=VECTOR('',#40593,1.); #11692=VECTOR('',#40594,1.); #11693=VECTOR('',#40597,1.); #11694=VECTOR('',#40598,1.); #11695=VECTOR('',#40599,1.); #11696=VECTOR('',#40602,1.); #11697=VECTOR('',#40603,1.); #11698=VECTOR('',#40604,1.); #11699=VECTOR('',#40609,1.); #11700=VECTOR('',#40610,1.); #11701=VECTOR('',#40613,1.); #11702=VECTOR('',#40614,1.); #11703=VECTOR('',#40617,1.); #11704=VECTOR('',#40618,1.); #11705=VECTOR('',#40619,1.); #11706=VECTOR('',#40622,1.); #11707=VECTOR('',#40623,1.); #11708=VECTOR('',#40626,1.); #11709=VECTOR('',#40627,1.); #11710=VECTOR('',#40640,1.); #11711=VECTOR('',#40661,3.); #11712=VECTOR('',#40670,2.693275); #11713=VECTOR('',#40685,10.); #11714=VECTOR('',#40686,10.); #11715=VECTOR('',#40687,10.); #11716=VECTOR('',#40688,10.); #11717=VECTOR('',#40689,10.); #11718=VECTOR('',#40690,10.); #11719=VECTOR('',#40693,5.); #11720=VECTOR('',#40696,10.); #11721=VECTOR('',#40697,10.); #11722=VECTOR('',#40700,10.); #11723=VECTOR('',#40703,10.); #11724=VECTOR('',#40706,10.); #11725=VECTOR('',#40709,10.); #11726=VECTOR('',#40714,1.15470053837925); #11727=CIRCLE('',#30113,5.); #11728=CIRCLE('',#30114,5.); #11729=CIRCLE('',#30116,5.); #11730=CIRCLE('',#30117,5.); #11731=CIRCLE('',#30119,2.067); #11732=CIRCLE('',#30120,2.067); #11733=CIRCLE('',#30122,2.067); #11734=CIRCLE('',#30123,2.067); #11735=CIRCLE('',#30125,2.067); #11736=CIRCLE('',#30126,2.067); #11737=CIRCLE('',#30128,2.067); #11738=CIRCLE('',#30129,2.067); #11739=CIRCLE('',#30131,2.75); #11740=CIRCLE('',#30132,2.75); #11741=CIRCLE('',#30134,2.75); #11742=CIRCLE('',#30135,2.75); #11743=CIRCLE('',#30137,2.75); #11744=CIRCLE('',#30138,2.75); #11745=CIRCLE('',#30140,2.75); #11746=CIRCLE('',#30141,2.75); #11747=CIRCLE('',#30143,2.75); #11748=CIRCLE('',#30144,2.75); #11749=CIRCLE('',#30146,2.75); #11750=CIRCLE('',#30147,2.75); #11751=CIRCLE('',#30149,2.75); #11752=CIRCLE('',#30150,2.75); #11753=CIRCLE('',#30152,2.75); #11754=CIRCLE('',#30153,2.75); #11755=CIRCLE('',#30156,30.); #11756=CIRCLE('',#30157,30.); #11757=CIRCLE('',#30160,30.); #11758=CIRCLE('',#30161,30.); #11759=CIRCLE('',#30163,3.3235); #11760=CIRCLE('',#30164,3.3235); #11761=CIRCLE('',#30166,3.3235); #11762=CIRCLE('',#30167,3.3235); #11763=CIRCLE('',#30169,4.1); #11764=CIRCLE('',#30170,4.1); #11765=CIRCLE('',#30172,4.1); #11766=CIRCLE('',#30173,4.1); #11767=CIRCLE('',#30175,4.1); #11768=CIRCLE('',#30176,4.1); #11769=CIRCLE('',#30178,4.1); #11770=CIRCLE('',#30179,4.1); #11771=CIRCLE('',#30181,2.75); #11772=CIRCLE('',#30182,2.75); #11773=CIRCLE('',#30185,2.75); #11774=CIRCLE('',#30186,2.75); #11775=CIRCLE('',#30189,2.75000000000001); #11776=CIRCLE('',#30190,2.75000000000001); #11777=CIRCLE('',#30193,2.75); #11778=CIRCLE('',#30194,2.75); #11779=CIRCLE('',#30197,2.75); #11780=CIRCLE('',#30198,2.75); #11781=CIRCLE('',#30201,2.75); #11782=CIRCLE('',#30202,2.75); #11783=CIRCLE('',#30205,1.); #11784=CIRCLE('',#30206,1.); #11785=CIRCLE('',#30209,30.); #11786=CIRCLE('',#30210,2.5); #11787=CIRCLE('',#30211,1.99999999999999); #11788=CIRCLE('',#30212,74.); #11789=CIRCLE('',#30213,1.); #11790=CIRCLE('',#30214,4.); #11791=CIRCLE('',#30216,1.); #11792=CIRCLE('',#30219,4.); #11793=CIRCLE('',#30221,74.); #11794=CIRCLE('',#30222,1.99999999999999); #11795=CIRCLE('',#30223,2.5); #11796=CIRCLE('',#30224,30.); #11797=CIRCLE('',#30237,13.); #11798=CIRCLE('',#30238,13.); #11799=CIRCLE('',#30240,2.067); #11800=CIRCLE('',#30241,2.067); #11801=CIRCLE('',#30243,2.067); #11802=CIRCLE('',#30244,2.067); #11803=CIRCLE('',#30246,2.067); #11804=CIRCLE('',#30247,2.067); #11805=CIRCLE('',#30249,2.067); #11806=CIRCLE('',#30250,2.067); #11807=CIRCLE('',#30252,30.); #11808=CIRCLE('',#30254,30.); #11809=CIRCLE('',#30256,43.); #11810=CIRCLE('',#30258,43.); #11811=CIRCLE('',#30260,42.); #11812=CIRCLE('',#30262,32.); #11813=CIRCLE('',#30264,32.); #11814=CIRCLE('',#30266,31.); #11815=CIRCLE('',#30268,27.); #11816=CIRCLE('',#30270,26.); #11817=CIRCLE('',#30272,26.); #11818=CIRCLE('',#30274,23.); #11819=CIRCLE('',#30276,23.); #11820=CIRCLE('',#30280,16.450001); #11821=CIRCLE('',#30281,13.5); #11822=CIRCLE('',#30283,16.450001); #11823=CIRCLE('',#30284,13.5); #11824=CIRCLE('',#30286,12.5); #11825=CIRCLE('',#30287,0.999999999999993); #11826=CIRCLE('',#30288,12.5); #11827=CIRCLE('',#30290,12.5); #11828=CIRCLE('',#30291,12.5); #11829=CIRCLE('',#30293,0.999999999999997); #11830=CIRCLE('',#30295,16.450001); #11831=CIRCLE('',#30297,25.); #11832=CIRCLE('',#30298,22.575001); #11833=CIRCLE('',#30300,25.); #11834=CIRCLE('',#30301,22.575001); #11835=CIRCLE('',#30303,26.); #11836=CIRCLE('',#30304,26.); #11837=CIRCLE('',#30305,0.999999999999994); #11838=CIRCLE('',#30307,26.); #11839=CIRCLE('',#30308,26.); #11840=CIRCLE('',#30310,0.999999999999993); #11841=CIRCLE('',#30312,22.575001); #11842=CIRCLE('',#30314,22.575001); #11843=CIRCLE('',#30316,22.275001); #11844=CIRCLE('',#30318,21.750001); #11845=CIRCLE('',#30319,17.500001); #11846=CIRCLE('',#30321,16.750001); #11847=CIRCLE('',#30323,16.750001); #11848=CIRCLE('',#30324,16.750001); #11849=CIRCLE('',#30326,17.350001); #11850=CIRCLE('',#30327,0.335410196624967); #11851=CIRCLE('',#30328,17.350001); #11852=CIRCLE('',#30331,21.825001); #11853=CIRCLE('',#30332,21.825001); #11854=CIRCLE('',#30334,0.224999999999998); #11855=CIRCLE('',#30336,16.450001); #11856=CIRCLE('',#30338,22.275001); #11857=CIRCLE('',#30340,21.750001); #11858=CIRCLE('',#30341,17.500001); #11859=CIRCLE('',#30343,16.750001); #11860=CIRCLE('',#30345,16.750001); #11861=CIRCLE('',#30346,16.750001); #11862=CIRCLE('',#30348,17.350001); #11863=CIRCLE('',#30349,17.350001); #11864=CIRCLE('',#30350,0.335410196624967); #11865=CIRCLE('',#30353,21.825001); #11866=CIRCLE('',#30354,21.825001); #11867=CIRCLE('',#30356,0.224999999999998); #11868=CIRCLE('',#30358,21.7544770294731); #11869=CIRCLE('',#30359,21.375001); #11870=CIRCLE('',#30361,16.450001); #11871=CIRCLE('',#30362,16.750001); #11872=CIRCLE('',#30363,16.450001); #11873=CIRCLE('',#30365,17.950001); #11874=CIRCLE('',#30366,17.350001); #11875=CIRCLE('',#30368,21.075001); #11876=CIRCLE('',#30372,21.754477029568); #11877=CIRCLE('',#30373,21.754477029568); #11878=CIRCLE('',#30374,21.375001); #11879=CIRCLE('',#30376,16.450001); #11880=CIRCLE('',#30377,16.750001); #11881=CIRCLE('',#30379,17.950001); #11882=CIRCLE('',#30380,17.350001); #11883=CIRCLE('',#30382,21.075001); #11884=CIRCLE('',#30386,1.15532852470628); #11885=CIRCLE('',#30387,3.572); #11886=CIRCLE('',#30388,1.15532852470628); #11887=CIRCLE('',#30389,3.572); #11888=CIRCLE('',#30390,0.851945824950854); #11889=CIRCLE('',#30392,1.15532852470628); #11890=CIRCLE('',#30393,3.572); #11891=CIRCLE('',#30394,1.15532852470628); #11892=CIRCLE('',#30395,3.572); #11893=CIRCLE('',#30396,0.851945824950871); #11894=CIRCLE('',#30398,1.15532852470628); #11895=CIRCLE('',#30399,3.572); #11896=CIRCLE('',#30400,1.15532852470628); #11897=CIRCLE('',#30401,3.572); #11898=CIRCLE('',#30402,0.851945824950687); #11899=CIRCLE('',#30404,1.15532852470628); #11900=CIRCLE('',#30405,3.572); #11901=CIRCLE('',#30406,1.15532852470628); #11902=CIRCLE('',#30407,3.572); #11903=CIRCLE('',#30408,0.851945824950504); #11904=CIRCLE('',#30410,1.15532852470628); #11905=CIRCLE('',#30411,3.572); #11906=CIRCLE('',#30412,1.15532852470628); #11907=CIRCLE('',#30413,3.572); #11908=CIRCLE('',#30414,0.851945824950854); #11909=CIRCLE('',#30416,0.851945824950687); #11910=CIRCLE('',#30417,3.572); #11911=CIRCLE('',#30418,1.15532852470628); #11912=CIRCLE('',#30419,3.572); #11913=CIRCLE('',#30420,1.15532852470628); #11914=CIRCLE('',#30422,1.15532852470628); #11915=CIRCLE('',#30423,3.572); #11916=CIRCLE('',#30424,1.15532852470628); #11917=CIRCLE('',#30425,3.572); #11918=CIRCLE('',#30426,0.851945824950904); #11919=CIRCLE('',#30428,1.15532852470628); #11920=CIRCLE('',#30429,3.572); #11921=CIRCLE('',#30430,1.15532852470628); #11922=CIRCLE('',#30431,3.572); #11923=CIRCLE('',#30432,0.851945824950871); #11924=CIRCLE('',#30434,1.15532852470628); #11925=CIRCLE('',#30435,3.572); #11926=CIRCLE('',#30436,1.15532852470628); #11927=CIRCLE('',#30437,3.572); #11928=CIRCLE('',#30438,0.851945824950687); #11929=CIRCLE('',#30440,1.15532852470628); #11930=CIRCLE('',#30441,3.572); #11931=CIRCLE('',#30442,1.15532852470628); #11932=CIRCLE('',#30443,3.572); #11933=CIRCLE('',#30444,0.851945824950871); #11934=CIRCLE('',#30446,1.15532852470628); #11935=CIRCLE('',#30447,3.572); #11936=CIRCLE('',#30448,1.15532852470628); #11937=CIRCLE('',#30449,3.572); #11938=CIRCLE('',#30450,0.851945824950871); #11939=CIRCLE('',#30452,1.15532852470628); #11940=CIRCLE('',#30453,3.572); #11941=CIRCLE('',#30454,0.851945824950687); #11942=CIRCLE('',#30455,1.15532852470628); #11943=CIRCLE('',#30456,3.572); #11944=CIRCLE('',#30458,3.572); #11945=CIRCLE('',#30459,0.851945824685201); #11946=CIRCLE('',#30461,3.572); #11947=CIRCLE('',#30462,0.851945824685235); #11948=CIRCLE('',#30464,3.572); #11949=CIRCLE('',#30465,0.851945824685018); #11950=CIRCLE('',#30467,3.572); #11951=CIRCLE('',#30468,0.851945824684851); #11952=CIRCLE('',#30470,3.572); #11953=CIRCLE('',#30471,0.851945824685201); #11954=CIRCLE('',#30473,0.851945824685018); #11955=CIRCLE('',#30474,0.851945824685018); #11956=CIRCLE('',#30475,3.572); #11957=CIRCLE('',#30477,3.572); #11958=CIRCLE('',#30478,0.851945824685268); #11959=CIRCLE('',#30480,3.572); #11960=CIRCLE('',#30481,0.851945824685235); #11961=CIRCLE('',#30483,3.572); #11962=CIRCLE('',#30484,0.851945824685018); #11963=CIRCLE('',#30486,3.572); #11964=CIRCLE('',#30487,0.851945824685235); #11965=CIRCLE('',#30489,3.572); #11966=CIRCLE('',#30490,0.851945824685235); #11967=CIRCLE('',#30492,0.851945824685018); #11968=CIRCLE('',#30493,0.851945824685018); #11969=CIRCLE('',#30494,3.572); #11970=CIRCLE('',#30496,22.9150010015335); #11971=CIRCLE('',#30497,22.9150010015335); #11972=CIRCLE('',#30498,22.9150010015335); #11973=CIRCLE('',#30499,22.9150010015335); #11974=CIRCLE('',#30501,16.450001); #11975=CIRCLE('',#30502,16.450001); #11976=CIRCLE('',#30503,3.57199999999999); #11977=CIRCLE('',#30504,16.450001); #11978=CIRCLE('',#30505,16.450001); #11979=CIRCLE('',#30507,16.450001); #11980=CIRCLE('',#30508,16.450001); #11981=CIRCLE('',#30509,3.57200000000002); #11982=CIRCLE('',#30510,16.450001); #11983=CIRCLE('',#30511,16.450001); #11984=CIRCLE('',#30517,3.); #11985=CIRCLE('',#30518,3.); #11986=CIRCLE('',#30521,14.3); #11987=CIRCLE('',#30522,3.); #11988=CIRCLE('',#30523,16.8833333333333); #11989=CIRCLE('',#30524,1.); #11990=CIRCLE('',#30525,1.); #11991=CIRCLE('',#30527,3.); #11992=CIRCLE('',#30530,1.); #11993=CIRCLE('',#30532,1.); #11994=CIRCLE('',#30536,14.3); #11995=CIRCLE('',#30540,16.8833333333333); #11996=CIRCLE('',#30544,74.5); #11997=CIRCLE('',#30545,71.5); #11998=CIRCLE('',#30546,74.5); #11999=CIRCLE('',#30547,71.5); #12000=CIRCLE('',#30548,74.5); #12001=CIRCLE('',#30549,71.5); #12002=CIRCLE('',#30550,74.5); #12003=CIRCLE('',#30551,71.5); #12004=CIRCLE('',#30552,74.5); #12005=CIRCLE('',#30553,71.5); #12006=CIRCLE('',#30554,74.5); #12007=CIRCLE('',#30555,71.5); #12008=CIRCLE('',#30556,74.5); #12009=CIRCLE('',#30557,71.5); #12010=CIRCLE('',#30558,74.5); #12011=CIRCLE('',#30559,71.5); #12012=CIRCLE('',#30560,74.5); #12013=CIRCLE('',#30561,71.5); #12014=CIRCLE('',#30562,74.5); #12015=CIRCLE('',#30563,71.5); #12016=CIRCLE('',#30564,74.5); #12017=CIRCLE('',#30565,71.5); #12018=CIRCLE('',#30566,74.5); #12019=CIRCLE('',#30567,71.5); #12020=CIRCLE('',#30568,49.); #12021=CIRCLE('',#30571,74.5); #12022=CIRCLE('',#30573,74.5); #12023=CIRCLE('',#30575,74.5); #12024=CIRCLE('',#30577,74.5); #12025=CIRCLE('',#30579,74.5); #12026=CIRCLE('',#30581,74.5); #12027=CIRCLE('',#30583,74.5); #12028=CIRCLE('',#30585,74.5); #12029=CIRCLE('',#30587,74.5); #12030=CIRCLE('',#30589,74.5); #12031=CIRCLE('',#30591,74.5); #12032=CIRCLE('',#30593,74.5); #12033=CIRCLE('',#30595,71.5); #12034=CIRCLE('',#30596,71.5); #12035=CIRCLE('',#30597,71.5); #12036=CIRCLE('',#30598,71.5); #12037=CIRCLE('',#30599,71.5); #12038=CIRCLE('',#30600,71.5); #12039=CIRCLE('',#30601,71.5); #12040=CIRCLE('',#30602,71.5); #12041=CIRCLE('',#30603,71.5); #12042=CIRCLE('',#30604,71.5); #12043=CIRCLE('',#30605,71.5); #12044=CIRCLE('',#30606,71.5); #12045=CIRCLE('',#30607,0.63); #12046=CIRCLE('',#30608,0.63); #12047=CIRCLE('',#30609,0.63); #12048=CIRCLE('',#30610,0.63); #12049=CIRCLE('',#30611,49.); #12050=CIRCLE('',#30659,0.63); #12051=CIRCLE('',#30662,0.63); #12052=CIRCLE('',#30665,0.63); #12053=CIRCLE('',#30668,0.63); #12054=CIRCLE('',#30927,45.); #12055=CIRCLE('',#30928,45.); #12056=CIRCLE('',#30929,4.); #12057=CIRCLE('',#30931,45.); #12058=CIRCLE('',#30932,45.); #12059=CIRCLE('',#30933,45.); #12060=CIRCLE('',#30934,45.); #12061=CIRCLE('',#30936,45.); #12062=CIRCLE('',#30937,45.); #12063=CIRCLE('',#30939,5.); #12064=CIRCLE('',#30940,40.); #12065=CIRCLE('',#30942,15.99997715291); #12066=CIRCLE('',#30946,15.99997584438); #12067=CIRCLE('',#30948,40.); #12068=CIRCLE('',#30953,5.); #12069=CIRCLE('',#30955,4.); #12070=CIRCLE('',#30959,4.); #12071=CIRCLE('',#30960,4.); #12072=CIRCLE('',#30963,4.); #12073=CIRCLE('',#30964,4.); #12074=CIRCLE('',#30969,2.4585); #12075=CIRCLE('',#30971,2.4585); #12076=CIRCLE('',#30973,9.); #12077=CIRCLE('',#30974,10.); #12078=CIRCLE('',#30976,10.); #12079=CIRCLE('',#30979,11.5); #12080=CIRCLE('',#30980,12.5); #12081=CIRCLE('',#30982,12.5); #12082=CIRCLE('',#30985,11.5); #12083=CIRCLE('',#30986,12.5); #12084=CIRCLE('',#30988,12.5); #12085=CIRCLE('',#30991,3.); #12086=CIRCLE('',#30992,3.); #12087=CIRCLE('',#30998,4.); #12088=CIRCLE('',#31001,4.); #12089=CIRCLE('',#31005,14.3); #12090=CIRCLE('',#31006,14.3); #12091=CIRCLE('',#31008,15.); #12092=CIRCLE('',#31010,15.); #12093=CIRCLE('',#31012,15.); #12094=CIRCLE('',#31014,14.3); #12095=CIRCLE('',#31015,14.3); #12096=CIRCLE('',#31017,15.); #12097=CIRCLE('',#31019,15.); #12098=CIRCLE('',#31022,15.); #12099=CIRCLE('',#31027,2.6); #12100=CIRCLE('',#31028,0.1); #12101=CIRCLE('',#31029,2.5); #12102=CIRCLE('',#31030,2.5); #12103=CIRCLE('',#31032,2.5); #12104=CIRCLE('',#31033,2.5); #12105=CIRCLE('',#31035,4.25); #12106=CIRCLE('',#31037,2.00924); #12107=CIRCLE('',#31040,4.25); #12108=CIRCLE('',#31041,4.25); #12109=CIRCLE('',#31042,0.5); #12110=CIRCLE('',#31043,3.75); #12111=CIRCLE('',#31055,4.1); #12112=CIRCLE('',#31056,0.1); #12113=CIRCLE('',#31057,4.); #12114=CIRCLE('',#31058,4.); #12115=CIRCLE('',#31060,4.); #12116=CIRCLE('',#31061,4.); #12117=CIRCLE('',#31063,6.5); #12118=CIRCLE('',#31065,3.2331875); #12119=CIRCLE('',#31068,6.5); #12120=CIRCLE('',#31069,6.5); #12121=CIRCLE('',#31070,0.8); #12122=CIRCLE('',#31071,5.7); #12123=CIRCLE('',#31083,3.3235); #12124=CIRCLE('',#31084,3.3235); #12125=CIRCLE('',#31086,3.3235); #12126=CIRCLE('',#31087,3.3235); #12127=CIRCLE('',#31106,5.); #12128=CIRCLE('',#31107,2.75); #12129=CIRCLE('',#31109,5.); #12130=CIRCLE('',#31111,2.75); #12131=CIRCLE('',#31113,5.); #12132=CIRCLE('',#31114,2.75); #12133=CIRCLE('',#31116,5.); #12134=CIRCLE('',#31118,2.75); #12135=CIRCLE('',#31120,5.); #12136=CIRCLE('',#31121,2.75); #12137=CIRCLE('',#31123,5.); #12138=CIRCLE('',#31125,2.75); #12139=CIRCLE('',#31127,5.); #12140=CIRCLE('',#31128,2.75); #12141=CIRCLE('',#31130,5.); #12142=CIRCLE('',#31132,2.75); #12143=CIRCLE('',#31135,67.5); #12144=CIRCLE('',#31136,67.5); #12145=CIRCLE('',#31139,10.); #12146=CIRCLE('',#31140,10.); #12147=CIRCLE('',#31141,54.); #12148=CIRCLE('',#31144,10.); #12149=CIRCLE('',#31147,54.); #12150=CIRCLE('',#31149,10.); #12151=CIRCLE('',#31155,0.999999999999995); #12152=CIRCLE('',#31156,0.999999999999995); #12153=CIRCLE('',#31159,50.0000000000002); #12154=CIRCLE('',#31160,50.0000000000002); #12155=CIRCLE('',#31162,74.); #12156=CIRCLE('',#31163,74.); #12157=CIRCLE('',#31168,5.); #12158=CIRCLE('',#31169,2.75); #12159=CIRCLE('',#31171,5.); #12160=CIRCLE('',#31173,2.75); #12161=CIRCLE('',#31175,5.); #12162=CIRCLE('',#31176,2.75); #12163=CIRCLE('',#31178,5.); #12164=CIRCLE('',#31180,2.75); #12165=CIRCLE('',#31182,5.); #12166=CIRCLE('',#31183,2.75); #12167=CIRCLE('',#31185,5.); #12168=CIRCLE('',#31187,2.75); #12169=CIRCLE('',#31189,5.); #12170=CIRCLE('',#31190,2.75); #12171=CIRCLE('',#31192,5.); #12172=CIRCLE('',#31194,2.75); #12173=CIRCLE('',#31197,67.5); #12174=CIRCLE('',#31198,67.5); #12175=CIRCLE('',#31201,10.); #12176=CIRCLE('',#31202,54.); #12177=CIRCLE('',#31203,10.); #12178=CIRCLE('',#31206,10.); #12179=CIRCLE('',#31209,54.); #12180=CIRCLE('',#31211,10.); #12181=CIRCLE('',#31217,0.999999999999995); #12182=CIRCLE('',#31218,0.999999999999995); #12183=CIRCLE('',#31221,50.0000000000002); #12184=CIRCLE('',#31222,50.0000000000002); #12185=CIRCLE('',#31224,74.); #12186=CIRCLE('',#31225,74.); #12187=CIRCLE('',#31230,2.4585); #12188=CIRCLE('',#31232,2.4585); #12189=CIRCLE('',#31234,2.4585); #12190=CIRCLE('',#31236,2.4585); #12191=CIRCLE('',#31243,3.3); #12192=CIRCLE('',#31244,3.3); #12193=CIRCLE('',#31245,3.3); #12194=CIRCLE('',#31246,3.3); #12195=CIRCLE('',#31247,4.5); #12196=CIRCLE('',#31248,4.5); #12197=CIRCLE('',#31249,4.5); #12198=CIRCLE('',#31250,4.5); #12199=CIRCLE('',#31253,7.5); #12200=CIRCLE('',#31254,4.5); #12201=CIRCLE('',#31256,7.5); #12202=CIRCLE('',#31259,7.5); #12203=CIRCLE('',#31260,4.5); #12204=CIRCLE('',#31262,7.5); #12205=CIRCLE('',#31265,7.5); #12206=CIRCLE('',#31266,4.5); #12207=CIRCLE('',#31268,7.5); #12208=CIRCLE('',#31271,7.5); #12209=CIRCLE('',#31272,4.5); #12210=CIRCLE('',#31274,7.5); #12211=CIRCLE('',#31288,5.5); #12212=CIRCLE('',#31289,5.5); #12213=CIRCLE('',#31291,5.5); #12214=CIRCLE('',#31292,5.5); #12215=CIRCLE('',#31293,5.5); #12216=CIRCLE('',#31294,5.5); #12217=CIRCLE('',#31297,5.5); #12218=CIRCLE('',#31298,5.5); #12219=CIRCLE('',#31300,5.); #12220=CIRCLE('',#31301,5.); #12221=CIRCLE('',#31303,5.); #12222=CIRCLE('',#31305,5.); #12223=CIRCLE('',#31306,5.); #12224=CIRCLE('',#31308,5.); #12225=CIRCLE('',#31310,5.); #12226=CIRCLE('',#31311,5.); #12227=CIRCLE('',#31313,5.); #12228=CIRCLE('',#31315,5.); #12229=CIRCLE('',#31316,5.); #12230=CIRCLE('',#31318,5.); #12231=CIRCLE('',#31320,4.188); #12232=CIRCLE('',#31322,4.188); #12233=CIRCLE('',#31325,4.188); #12234=CIRCLE('',#31327,4.188); #12235=CIRCLE('',#31330,4.188); #12236=CIRCLE('',#31332,4.188); #12237=CIRCLE('',#31335,4.188); #12238=CIRCLE('',#31337,4.188); #12239=CIRCLE('',#31340,5.5); #12240=CIRCLE('',#31341,3.3); #12241=CIRCLE('',#31345,5.5); #12242=CIRCLE('',#31346,3.3); #12243=CIRCLE('',#31350,5.5); #12244=CIRCLE('',#31351,3.3); #12245=CIRCLE('',#31355,5.5); #12246=CIRCLE('',#31356,3.3); #12247=CIRCLE('',#31361,4.1); #12248=CIRCLE('',#31362,0.1); #12249=CIRCLE('',#31363,4.); #12250=CIRCLE('',#31364,4.); #12251=CIRCLE('',#31366,4.); #12252=CIRCLE('',#31367,4.); #12253=CIRCLE('',#31369,6.5); #12254=CIRCLE('',#31371,3.2331875); #12255=CIRCLE('',#31374,6.5); #12256=CIRCLE('',#31375,6.5); #12257=CIRCLE('',#31376,0.8); #12258=CIRCLE('',#31377,5.7); #12259=CIRCLE('',#31389,2.75); #12260=CIRCLE('',#31390,2.75); #12261=CIRCLE('',#31393,2.75); #12262=CIRCLE('',#31394,2.75); #12263=CIRCLE('',#31397,2.75); #12264=CIRCLE('',#31398,2.75); #12265=CIRCLE('',#31401,2.75); #12266=CIRCLE('',#31402,2.75); #12267=CIRCLE('',#31405,2.75); #12268=CIRCLE('',#31406,2.75); #12269=CIRCLE('',#31409,2.75); #12270=CIRCLE('',#31410,2.75); #12271=CIRCLE('',#31413,3.00000000000002); #12272=CIRCLE('',#31414,3.00000000000002); #12273=CIRCLE('',#31417,3.00000000000002); #12274=CIRCLE('',#31418,3.00000000000002); #12275=CIRCLE('',#31421,3.00000000000002); #12276=CIRCLE('',#31422,3.00000000000002); #12277=CIRCLE('',#31425,3.00000000000002); #12278=CIRCLE('',#31426,3.00000000000002); #12279=CIRCLE('',#31430,3.); #12280=CIRCLE('',#31431,3.); #12281=CIRCLE('',#31434,3.); #12282=CIRCLE('',#31435,3.); #12283=CIRCLE('',#31438,2.99999999999998); #12284=CIRCLE('',#31439,2.99999999999998); #12285=CIRCLE('',#31442,2.99999999999998); #12286=CIRCLE('',#31443,2.99999999999998); #12287=CIRCLE('',#31447,3.00000000000002); #12288=CIRCLE('',#31448,3.00000000000002); #12289=CIRCLE('',#31451,3.00000000000002); #12290=CIRCLE('',#31452,3.00000000000002); #12291=CIRCLE('',#31455,3.00000000000004); #12292=CIRCLE('',#31456,3.00000000000004); #12293=CIRCLE('',#31459,3.00000000000003); #12294=CIRCLE('',#31460,3.00000000000003); #12295=CIRCLE('',#31464,3.00000000000002); #12296=CIRCLE('',#31465,3.00000000000002); #12297=CIRCLE('',#31468,3.00000000000002); #12298=CIRCLE('',#31469,3.00000000000002); #12299=CIRCLE('',#31472,3.00000000000002); #12300=CIRCLE('',#31473,3.00000000000002); #12301=CIRCLE('',#31476,3.00000000000002); #12302=CIRCLE('',#31477,3.00000000000002); #12303=CIRCLE('',#31481,3.); #12304=CIRCLE('',#31482,3.); #12305=CIRCLE('',#31485,3.); #12306=CIRCLE('',#31486,3.); #12307=CIRCLE('',#31489,2.99999999999998); #12308=CIRCLE('',#31490,2.99999999999998); #12309=CIRCLE('',#31493,2.99999999999998); #12310=CIRCLE('',#31494,2.99999999999998); #12311=CIRCLE('',#31498,3.00000000000002); #12312=CIRCLE('',#31499,3.00000000000002); #12313=CIRCLE('',#31502,3.00000000000002); #12314=CIRCLE('',#31503,3.00000000000002); #12315=CIRCLE('',#31506,3.00000000000004); #12316=CIRCLE('',#31507,3.00000000000004); #12317=CIRCLE('',#31510,3.00000000000003); #12318=CIRCLE('',#31511,3.00000000000003); #12319=CIRCLE('',#31515,16.0000000000002); #12320=CIRCLE('',#31516,1.99999999999996); #12321=CIRCLE('',#31517,2.); #12322=CIRCLE('',#31518,5.00000000000001); #12323=CIRCLE('',#31519,200.); #12324=CIRCLE('',#31520,120.); #12325=CIRCLE('',#31522,16.0000000000002); #12326=CIRCLE('',#31525,1.99999999999996); #12327=CIRCLE('',#31528,2.); #12328=CIRCLE('',#31531,5.00000000000001); #12329=CIRCLE('',#31534,200.); #12330=CIRCLE('',#31537,120.); #12331=CIRCLE('',#31550,2.067); #12332=CIRCLE('',#31551,2.067); #12333=CIRCLE('',#31554,5.); #12334=CIRCLE('',#31558,2.65); #12335=CIRCLE('',#31559,2.65); #12336=CIRCLE('',#31561,5.); #12337=CIRCLE('',#31562,5.); #12338=CIRCLE('',#31567,2.6); #12339=CIRCLE('',#31568,0.1); #12340=CIRCLE('',#31569,2.5); #12341=CIRCLE('',#31570,2.5); #12342=CIRCLE('',#31572,2.5); #12343=CIRCLE('',#31573,2.5); #12344=CIRCLE('',#31575,4.25); #12345=CIRCLE('',#31577,2.00924); #12346=CIRCLE('',#31580,4.25); #12347=CIRCLE('',#31581,4.25); #12348=CIRCLE('',#31582,0.5); #12349=CIRCLE('',#31583,3.75); #12350=CIRCLE('',#31602,3.59999999999993); #12351=CIRCLE('',#31603,0.599999999999929); #12352=CIRCLE('',#31604,3.); #12353=CIRCLE('',#31605,3.); #12354=CIRCLE('',#31607,3.); #12355=CIRCLE('',#31608,3.); #12356=CIRCLE('',#31610,0.599999999998008); #12357=CIRCLE('',#31611,3.0803847577293); #12358=CIRCLE('',#31612,3.0803847577293); #12359=CIRCLE('',#31614,4.); #12360=CIRCLE('',#31616,4.); #12361=CIRCLE('',#31618,3.2); #12362=CIRCLE('',#31621,8.); #12363=CIRCLE('',#31622,8.); #12364=CIRCLE('',#31623,0.600000000000098); #12365=CIRCLE('',#31624,7.4); #12366=CIRCLE('',#31626,8.); #12367=CIRCLE('',#31628,5.4); #12368=CIRCLE('',#31630,0.600000000000047); #12369=CIRCLE('',#31631,4.8); #12370=CIRCLE('',#31632,4.8); #12371=CIRCLE('',#31634,4.8); #12372=CIRCLE('',#31635,4.8); #12373=CIRCLE('',#31637,0.599999999996378); #12374=CIRCLE('',#31638,4.8204445042266); #12375=CIRCLE('',#31639,4.8204445042266); #12376=CIRCLE('',#31641,5.); #12377=CIRCLE('',#31643,5.); #12378=CIRCLE('',#31649,3.); #12379=CIRCLE('',#31650,3.); #12380=CIRCLE('',#31653,3.); #12381=CIRCLE('',#31654,3.); #12382=CIRCLE('',#31660,5.); #12383=CIRCLE('',#31662,5.); #12384=CIRCLE('',#31663,5.); #12385=CIRCLE('',#31664,5.); #12386=CIRCLE('',#31665,5.); #12387=CIRCLE('',#31666,5.); #12388=CIRCLE('',#31668,4.7); #12389=CIRCLE('',#31670,4.7); #12390=CIRCLE('',#31674,2.5); #12391=CIRCLE('',#31676,4.7); #12392=CIRCLE('',#31678,2.067); #12393=CIRCLE('',#31680,2.067); #12394=CIRCLE('',#31683,2.1); #12395=CIRCLE('',#31684,2.5); #12396=CIRCLE('',#31689,5.2); #12397=CIRCLE('',#31690,5.2); #12398=CIRCLE('',#31692,5.2); #12399=CIRCLE('',#31693,5.2); #12400=CIRCLE('',#31695,5.2); #12401=CIRCLE('',#31696,5.2); #12402=CIRCLE('',#31698,5.2); #12403=CIRCLE('',#31699,5.2); #12404=CIRCLE('',#31701,54.); #12405=CIRCLE('',#31702,10.); #12406=CIRCLE('',#31703,74.); #12407=CIRCLE('',#31704,49.9999999999999); #12408=CIRCLE('',#31705,0.999999999999998); #12409=CIRCLE('',#31706,10.); #12410=CIRCLE('',#31709,10.); #12411=CIRCLE('',#31715,74.); #12412=CIRCLE('',#31717,49.9999999999999); #12413=CIRCLE('',#31720,0.999999999999998); #12414=CIRCLE('',#31723,10.); #12415=CIRCLE('',#31725,54.); #12416=CIRCLE('',#31729,5.2); #12417=CIRCLE('',#31730,5.2); #12418=CIRCLE('',#31732,5.2); #12419=CIRCLE('',#31733,5.2); #12420=CIRCLE('',#31735,5.2); #12421=CIRCLE('',#31736,5.2); #12422=CIRCLE('',#31738,5.2); #12423=CIRCLE('',#31739,5.2); #12424=CIRCLE('',#31741,54.); #12425=CIRCLE('',#31742,10.); #12426=CIRCLE('',#31743,74.); #12427=CIRCLE('',#31744,49.9999999999999); #12428=CIRCLE('',#31745,0.999999999999998); #12429=CIRCLE('',#31746,10.); #12430=CIRCLE('',#31749,10.); #12431=CIRCLE('',#31755,74.); #12432=CIRCLE('',#31757,49.9999999999999); #12433=CIRCLE('',#31760,0.999999999999998); #12434=CIRCLE('',#31763,10.); #12435=CIRCLE('',#31765,54.); #12436=CIRCLE('',#31769,4.1); #12437=CIRCLE('',#31770,0.1); #12438=CIRCLE('',#31771,4.); #12439=CIRCLE('',#31772,4.); #12440=CIRCLE('',#31774,4.); #12441=CIRCLE('',#31775,4.); #12442=CIRCLE('',#31777,6.5); #12443=CIRCLE('',#31779,3.2331875); #12444=CIRCLE('',#31782,6.5); #12445=CIRCLE('',#31783,6.5); #12446=CIRCLE('',#31784,0.8); #12447=CIRCLE('',#31785,5.7); #12448=CIRCLE('',#31798,1.9); #12449=CIRCLE('',#31799,1.8); #12450=CIRCLE('',#31801,1.9); #12451=CIRCLE('',#31805,1.9); #12452=CIRCLE('',#31806,1.9); #12453=CIRCLE('',#31809,1.8); #12454=CIRCLE('',#31810,3.00000000000001); #12455=CIRCLE('',#31811,3.10000000000001); #12456=CIRCLE('',#31814,3.10000000000001); #12457=CIRCLE('',#31815,3.00000000000001); #12458=CIRCLE('',#31816,1.8); #12459=CIRCLE('',#31818,1.); #12460=CIRCLE('',#31819,1.); #12461=CIRCLE('',#31822,4.1); #12462=CIRCLE('',#31823,1.); #12463=CIRCLE('',#31825,1.); #12464=CIRCLE('',#31827,4.1); #12465=CIRCLE('',#31834,1.8); #12466=CIRCLE('',#31847,3.00000000000001); #12467=CIRCLE('',#31848,3.00000000000001); #12468=CIRCLE('',#31850,3.3235); #12469=CIRCLE('',#31851,3.3235); #12470=CIRCLE('',#31854,3.3235); #12471=CIRCLE('',#31855,3.3235); #12472=CIRCLE('',#31858,3.3235); #12473=CIRCLE('',#31860,3.); #12474=CIRCLE('',#31861,3.); #12475=CIRCLE('',#31871,0.289999999999998); #12476=CIRCLE('',#31874,0.3); #12477=CIRCLE('',#31875,0.300000000000001); #12478=CIRCLE('',#31876,0.300000000000001); #12479=CIRCLE('',#31877,0.3); #12480=CIRCLE('',#31878,0.289999999999998); #12481=CIRCLE('',#31879,0.300000000000001); #12482=CIRCLE('',#31880,0.3); #12483=CIRCLE('',#31894,0.289999999999998); #12484=CIRCLE('',#31902,0.289999999999998); #12485=CIRCLE('',#31909,0.3); #12486=CIRCLE('',#31911,0.3); #12487=CIRCLE('',#31914,0.300000000000001); #12488=CIRCLE('',#31917,0.300000000000001); #12489=CIRCLE('',#31920,0.3); #12490=CIRCLE('',#31922,0.300000000000001); #12491=CIRCLE('',#31926,5.2); #12492=CIRCLE('',#31927,5.2); #12493=CIRCLE('',#31929,5.2); #12494=CIRCLE('',#31930,5.2); #12495=CIRCLE('',#31932,5.2); #12496=CIRCLE('',#31933,5.2); #12497=CIRCLE('',#31935,5.2); #12498=CIRCLE('',#31936,5.2); #12499=CIRCLE('',#31938,54.); #12500=CIRCLE('',#31939,10.); #12501=CIRCLE('',#31940,74.); #12502=CIRCLE('',#31941,49.9999999999999); #12503=CIRCLE('',#31942,0.999999999999998); #12504=CIRCLE('',#31943,10.); #12505=CIRCLE('',#31946,10.); #12506=CIRCLE('',#31952,74.); #12507=CIRCLE('',#31954,49.9999999999999); #12508=CIRCLE('',#31957,0.999999999999998); #12509=CIRCLE('',#31960,10.); #12510=CIRCLE('',#31962,54.); #12511=CIRCLE('',#32347,1.9999999999999); #12512=CIRCLE('',#32348,10.5); #12513=CIRCLE('',#32349,2.00000000000009); #12514=CIRCLE('',#32350,8.5); #12515=CIRCLE('',#32352,1.99999999999999); #12516=CIRCLE('',#32353,1.99999999999999); #12517=CIRCLE('',#32355,2.); #12518=CIRCLE('',#32356,1.99999999999993); #12519=CIRCLE('',#32358,2.); #12520=CIRCLE('',#32360,8.5); #12521=CIRCLE('',#32362,2.); #12522=CIRCLE('',#32364,2.); #12523=CIRCLE('',#32367,2.); #12524=CIRCLE('',#32368,1.99999999999999); #12525=CIRCLE('',#32370,2.00000000000015); #12526=CIRCLE('',#32371,10.5); #12527=CIRCLE('',#32372,2.00000000000009); #12528=CIRCLE('',#32373,8.5); #12529=CIRCLE('',#32375,1.99999999999999); #12530=CIRCLE('',#32377,2.00000000000002); #12531=CIRCLE('',#32379,2.); #12532=CIRCLE('',#32380,2.); #12533=CIRCLE('',#32382,1.99999999999993); #12534=CIRCLE('',#32385,2.); #12535=CIRCLE('',#32388,2.); #12536=CIRCLE('',#32390,8.5); #12537=CIRCLE('',#32392,2.); #12538=CIRCLE('',#32395,5.5); #12539=CIRCLE('',#32396,3.30000000000001); #12540=CIRCLE('',#32398,5.5); #12541=CIRCLE('',#32400,3.30000000000001); #12542=CIRCLE('',#32403,2.); #12543=CIRCLE('',#32404,2.); #12544=CIRCLE('',#32412,2.); #12545=CIRCLE('',#32414,1.); #12546=CIRCLE('',#32415,1.00000000000033); #12547=CIRCLE('',#32416,1.00000000000003); #12548=CIRCLE('',#32420,0.999999999999984); #12549=CIRCLE('',#32422,0.999999999999864); #12550=CIRCLE('',#32423,5.70020753820967); #12551=CIRCLE('',#32424,4.76051491742377); #12552=CIRCLE('',#32436,7.10062261462906); #12553=CIRCLE('',#32444,2.); #12554=CIRCLE('',#32448,2.); #12555=CIRCLE('',#32451,2.); #12556=CIRCLE('',#32452,8.5); #12557=CIRCLE('',#32453,2.); #12558=CIRCLE('',#32454,2.); #12559=CIRCLE('',#32455,2.); #12560=CIRCLE('',#32456,2.); #12561=CIRCLE('',#32457,2.); #12562=CIRCLE('',#32458,8.5); #12563=CIRCLE('',#32459,3.30000000000001); #12564=CIRCLE('',#32461,5.5); #12565=CIRCLE('',#32464,1.9999999999999); #12566=CIRCLE('',#32465,8.5); #12567=CIRCLE('',#32466,2.00000000000009); #12568=CIRCLE('',#32467,10.5); #12569=CIRCLE('',#32469,1.99999999999999); #12570=CIRCLE('',#32470,1.99999999999999); #12571=CIRCLE('',#32472,1.99999999999993); #12572=CIRCLE('',#32477,2.); #12573=CIRCLE('',#32480,2.); #12574=CIRCLE('',#32481,1.99999999999999); #12575=CIRCLE('',#32483,2.00000000000015); #12576=CIRCLE('',#32484,8.5); #12577=CIRCLE('',#32485,2.00000000000009); #12578=CIRCLE('',#32486,10.5); #12579=CIRCLE('',#32488,1.99999999999999); #12580=CIRCLE('',#32490,2.00000000000002); #12581=CIRCLE('',#32492,2.); #12582=CIRCLE('',#32493,2.); #12583=CIRCLE('',#32495,1.99999999999993); #12584=CIRCLE('',#32504,5.5); #12585=CIRCLE('',#32505,3.30000000000001); #12586=CIRCLE('',#32515,2.); #12587=CIRCLE('',#32524,2.); #12588=CIRCLE('',#32526,1.); #12589=CIRCLE('',#32527,1.00000000000033); #12590=CIRCLE('',#32528,1.00000000000003); #12591=CIRCLE('',#32532,0.999999999999984); #12592=CIRCLE('',#32534,0.999999999999864); #12593=CIRCLE('',#32535,4.76051491742377); #12594=CIRCLE('',#32536,5.70020753820967); #12595=CIRCLE('',#32547,7.10062261462906); #12596=CIRCLE('',#32555,2.); #12597=CIRCLE('',#32561,3.1); #12598=CIRCLE('',#32562,0.1); #12599=CIRCLE('',#32563,3.); #12600=CIRCLE('',#32564,3.); #12601=CIRCLE('',#32566,3.); #12602=CIRCLE('',#32567,3.); #12603=CIRCLE('',#32569,5.); #12604=CIRCLE('',#32571,2.38655); #12605=CIRCLE('',#32574,5.); #12606=CIRCLE('',#32575,5.); #12607=CIRCLE('',#32576,0.6); #12608=CIRCLE('',#32577,4.4); #12609=VERTEX_POINT('',#40910); #12610=VERTEX_POINT('',#40912); #12611=VERTEX_POINT('',#40916); #12612=VERTEX_POINT('',#40918); #12613=VERTEX_POINT('',#40922); #12614=VERTEX_POINT('',#40924); #12615=VERTEX_POINT('',#40928); #12616=VERTEX_POINT('',#40930); #12617=VERTEX_POINT('',#40934); #12618=VERTEX_POINT('',#40936); #12619=VERTEX_POINT('',#40940); #12620=VERTEX_POINT('',#40942); #12621=VERTEX_POINT('',#40946); #12622=VERTEX_POINT('',#40948); #12623=VERTEX_POINT('',#40952); #12624=VERTEX_POINT('',#40954); #12625=VERTEX_POINT('',#40958); #12626=VERTEX_POINT('',#40960); #12627=VERTEX_POINT('',#40964); #12628=VERTEX_POINT('',#40966); #12629=VERTEX_POINT('',#40970); #12630=VERTEX_POINT('',#40972); #12631=VERTEX_POINT('',#40976); #12632=VERTEX_POINT('',#40978); #12633=VERTEX_POINT('',#40982); #12634=VERTEX_POINT('',#40984); #12635=VERTEX_POINT('',#40988); #12636=VERTEX_POINT('',#40990); #12637=VERTEX_POINT('',#40994); #12638=VERTEX_POINT('',#40995); #12639=VERTEX_POINT('',#40997); #12640=VERTEX_POINT('',#40999); #12641=VERTEX_POINT('',#41003); #12642=VERTEX_POINT('',#41005); #12643=VERTEX_POINT('',#41009); #12644=VERTEX_POINT('',#41011); #12645=VERTEX_POINT('',#41018); #12646=VERTEX_POINT('',#41020); #12647=VERTEX_POINT('',#41024); #12648=VERTEX_POINT('',#41026); #12649=VERTEX_POINT('',#41030); #12650=VERTEX_POINT('',#41032); #12651=VERTEX_POINT('',#41036); #12652=VERTEX_POINT('',#41038); #12653=VERTEX_POINT('',#41042); #12654=VERTEX_POINT('',#41044); #12655=VERTEX_POINT('',#41048); #12656=VERTEX_POINT('',#41050); #12657=VERTEX_POINT('',#41054); #12658=VERTEX_POINT('',#41055); #12659=VERTEX_POINT('',#41057); #12660=VERTEX_POINT('',#41059); #12661=VERTEX_POINT('',#41063); #12662=VERTEX_POINT('',#41065); #12663=VERTEX_POINT('',#41069); #12664=VERTEX_POINT('',#41071); #12665=VERTEX_POINT('',#41078); #12666=VERTEX_POINT('',#41079); #12667=VERTEX_POINT('',#41081); #12668=VERTEX_POINT('',#41083); #12669=VERTEX_POINT('',#41087); #12670=VERTEX_POINT('',#41089); #12671=VERTEX_POINT('',#41093); #12672=VERTEX_POINT('',#41095); #12673=VERTEX_POINT('',#41102); #12674=VERTEX_POINT('',#41103); #12675=VERTEX_POINT('',#41105); #12676=VERTEX_POINT('',#41107); #12677=VERTEX_POINT('',#41111); #12678=VERTEX_POINT('',#41113); #12679=VERTEX_POINT('',#41117); #12680=VERTEX_POINT('',#41119); #12681=VERTEX_POINT('',#41126); #12682=VERTEX_POINT('',#41127); #12683=VERTEX_POINT('',#41129); #12684=VERTEX_POINT('',#41131); #12685=VERTEX_POINT('',#41135); #12686=VERTEX_POINT('',#41137); #12687=VERTEX_POINT('',#41141); #12688=VERTEX_POINT('',#41143); #12689=VERTEX_POINT('',#41145); #12690=VERTEX_POINT('',#41147); #12691=VERTEX_POINT('',#41149); #12692=VERTEX_POINT('',#41151); #12693=VERTEX_POINT('',#41153); #12694=VERTEX_POINT('',#41155); #12695=VERTEX_POINT('',#41157); #12696=VERTEX_POINT('',#41159); #12697=VERTEX_POINT('',#41161); #12698=VERTEX_POINT('',#41163); #12699=VERTEX_POINT('',#41167); #12700=VERTEX_POINT('',#41169); #12701=VERTEX_POINT('',#41173); #12702=VERTEX_POINT('',#41179); #12703=VERTEX_POINT('',#41181); #12704=VERTEX_POINT('',#41183); #12705=VERTEX_POINT('',#41185); #12706=VERTEX_POINT('',#41187); #12707=VERTEX_POINT('',#41189); #12708=VERTEX_POINT('',#41191); #12709=VERTEX_POINT('',#41193); #12710=VERTEX_POINT('',#41195); #12711=VERTEX_POINT('',#41219); #12712=VERTEX_POINT('',#41221); #12713=VERTEX_POINT('',#41225); #12714=VERTEX_POINT('',#41227); #12715=VERTEX_POINT('',#41231); #12716=VERTEX_POINT('',#41233); #12717=VERTEX_POINT('',#41237); #12718=VERTEX_POINT('',#41239); #12719=VERTEX_POINT('',#41243); #12720=VERTEX_POINT('',#41245); #12721=VERTEX_POINT('',#41249); #12722=VERTEX_POINT('',#41252); #12723=VERTEX_POINT('',#41256); #12724=VERTEX_POINT('',#41259); #12725=VERTEX_POINT('',#41263); #12726=VERTEX_POINT('',#41267); #12727=VERTEX_POINT('',#41270); #12728=VERTEX_POINT('',#41274); #12729=VERTEX_POINT('',#41278); #12730=VERTEX_POINT('',#41281); #12731=VERTEX_POINT('',#41285); #12732=VERTEX_POINT('',#41289); #12733=VERTEX_POINT('',#41292); #12734=VERTEX_POINT('',#41298); #12735=VERTEX_POINT('',#41300); #12736=VERTEX_POINT('',#41303); #12737=VERTEX_POINT('',#41305); #12738=VERTEX_POINT('',#41308); #12739=VERTEX_POINT('',#41309); #12740=VERTEX_POINT('',#41314); #12741=VERTEX_POINT('',#41316); #12742=VERTEX_POINT('',#41322); #12743=VERTEX_POINT('',#41326); #12744=VERTEX_POINT('',#41328); #12745=VERTEX_POINT('',#41331); #12746=VERTEX_POINT('',#41333); #12747=VERTEX_POINT('',#41336); #12748=VERTEX_POINT('',#41337); #12749=VERTEX_POINT('',#41342); #12750=VERTEX_POINT('',#41344); #12751=VERTEX_POINT('',#41350); #12752=VERTEX_POINT('',#41354); #12753=VERTEX_POINT('',#41358); #12754=VERTEX_POINT('',#41361); #12755=VERTEX_POINT('',#41363); #12756=VERTEX_POINT('',#41366); #12757=VERTEX_POINT('',#41369); #12758=VERTEX_POINT('',#41371); #12759=VERTEX_POINT('',#41375); #12760=VERTEX_POINT('',#41376); #12761=VERTEX_POINT('',#41383); #12762=VERTEX_POINT('',#41384); #12763=VERTEX_POINT('',#41391); #12764=VERTEX_POINT('',#41395); #12765=VERTEX_POINT('',#41398); #12766=VERTEX_POINT('',#41400); #12767=VERTEX_POINT('',#41403); #12768=VERTEX_POINT('',#41406); #12769=VERTEX_POINT('',#41408); #12770=VERTEX_POINT('',#41412); #12771=VERTEX_POINT('',#41413); #12772=VERTEX_POINT('',#41420); #12773=VERTEX_POINT('',#41421); #12774=VERTEX_POINT('',#41428); #12775=VERTEX_POINT('',#41430); #12776=VERTEX_POINT('',#41433); #12777=VERTEX_POINT('',#41434); #12778=VERTEX_POINT('',#41436); #12779=VERTEX_POINT('',#41441); #12780=VERTEX_POINT('',#41443); #12781=VERTEX_POINT('',#41447); #12782=VERTEX_POINT('',#41453); #12783=VERTEX_POINT('',#41454); #12784=VERTEX_POINT('',#41457); #12785=VERTEX_POINT('',#41460); #12786=VERTEX_POINT('',#41462); #12787=VERTEX_POINT('',#41466); #12788=VERTEX_POINT('',#41468); #12789=VERTEX_POINT('',#41472); #12790=VERTEX_POINT('',#41478); #12791=VERTEX_POINT('',#41479); #12792=VERTEX_POINT('',#41481); #12793=VERTEX_POINT('',#41484); #12794=VERTEX_POINT('',#41486); #12795=VERTEX_POINT('',#41489); #12796=VERTEX_POINT('',#41490); #12797=VERTEX_POINT('',#41492); #12798=VERTEX_POINT('',#41495); #12799=VERTEX_POINT('',#41497); #12800=VERTEX_POINT('',#41500); #12801=VERTEX_POINT('',#41501); #12802=VERTEX_POINT('',#41503); #12803=VERTEX_POINT('',#41506); #12804=VERTEX_POINT('',#41508); #12805=VERTEX_POINT('',#41511); #12806=VERTEX_POINT('',#41512); #12807=VERTEX_POINT('',#41514); #12808=VERTEX_POINT('',#41517); #12809=VERTEX_POINT('',#41519); #12810=VERTEX_POINT('',#41522); #12811=VERTEX_POINT('',#41523); #12812=VERTEX_POINT('',#41525); #12813=VERTEX_POINT('',#41528); #12814=VERTEX_POINT('',#41530); #12815=VERTEX_POINT('',#41533); #12816=VERTEX_POINT('',#41535); #12817=VERTEX_POINT('',#41537); #12818=VERTEX_POINT('',#41539); #12819=VERTEX_POINT('',#41543); #12820=VERTEX_POINT('',#41544); #12821=VERTEX_POINT('',#41546); #12822=VERTEX_POINT('',#41549); #12823=VERTEX_POINT('',#41551); #12824=VERTEX_POINT('',#41554); #12825=VERTEX_POINT('',#41555); #12826=VERTEX_POINT('',#41557); #12827=VERTEX_POINT('',#41560); #12828=VERTEX_POINT('',#41562); #12829=VERTEX_POINT('',#41565); #12830=VERTEX_POINT('',#41566); #12831=VERTEX_POINT('',#41568); #12832=VERTEX_POINT('',#41571); #12833=VERTEX_POINT('',#41573); #12834=VERTEX_POINT('',#41576); #12835=VERTEX_POINT('',#41577); #12836=VERTEX_POINT('',#41579); #12837=VERTEX_POINT('',#41582); #12838=VERTEX_POINT('',#41584); #12839=VERTEX_POINT('',#41587); #12840=VERTEX_POINT('',#41588); #12841=VERTEX_POINT('',#41590); #12842=VERTEX_POINT('',#41593); #12843=VERTEX_POINT('',#41595); #12844=VERTEX_POINT('',#41598); #12845=VERTEX_POINT('',#41599); #12846=VERTEX_POINT('',#41601); #12847=VERTEX_POINT('',#41605); #12848=VERTEX_POINT('',#41608); #12849=VERTEX_POINT('',#41609); #12850=VERTEX_POINT('',#41611); #12851=VERTEX_POINT('',#41614); #12852=VERTEX_POINT('',#41615); #12853=VERTEX_POINT('',#41617); #12854=VERTEX_POINT('',#41620); #12855=VERTEX_POINT('',#41621); #12856=VERTEX_POINT('',#41623); #12857=VERTEX_POINT('',#41626); #12858=VERTEX_POINT('',#41627); #12859=VERTEX_POINT('',#41629); #12860=VERTEX_POINT('',#41632); #12861=VERTEX_POINT('',#41633); #12862=VERTEX_POINT('',#41635); #12863=VERTEX_POINT('',#41638); #12864=VERTEX_POINT('',#41639); #12865=VERTEX_POINT('',#41642); #12866=VERTEX_POINT('',#41645); #12867=VERTEX_POINT('',#41646); #12868=VERTEX_POINT('',#41648); #12869=VERTEX_POINT('',#41651); #12870=VERTEX_POINT('',#41652); #12871=VERTEX_POINT('',#41654); #12872=VERTEX_POINT('',#41657); #12873=VERTEX_POINT('',#41658); #12874=VERTEX_POINT('',#41660); #12875=VERTEX_POINT('',#41663); #12876=VERTEX_POINT('',#41664); #12877=VERTEX_POINT('',#41666); #12878=VERTEX_POINT('',#41669); #12879=VERTEX_POINT('',#41670); #12880=VERTEX_POINT('',#41672); #12881=VERTEX_POINT('',#41675); #12882=VERTEX_POINT('',#41676); #12883=VERTEX_POINT('',#41679); #12884=VERTEX_POINT('',#41684); #12885=VERTEX_POINT('',#41686); #12886=VERTEX_POINT('',#41689); #12887=VERTEX_POINT('',#41690); #12888=VERTEX_POINT('',#41693); #12889=VERTEX_POINT('',#41695); #12890=VERTEX_POINT('',#41699); #12891=VERTEX_POINT('',#41700); #12892=VERTEX_POINT('',#41703); #12893=VERTEX_POINT('',#41705); #12894=VERTEX_POINT('',#41716); #12895=VERTEX_POINT('',#41717); #12896=VERTEX_POINT('',#41719); #12897=VERTEX_POINT('',#41721); #12898=VERTEX_POINT('',#41725); #12899=VERTEX_POINT('',#41727); #12900=VERTEX_POINT('',#41731); #12901=VERTEX_POINT('',#41733); #12902=VERTEX_POINT('',#41735); #12903=VERTEX_POINT('',#41737); #12904=VERTEX_POINT('',#41739); #12905=VERTEX_POINT('',#41741); #12906=VERTEX_POINT('',#41743); #12907=VERTEX_POINT('',#41746); #12908=VERTEX_POINT('',#41748); #12909=VERTEX_POINT('',#41751); #12910=VERTEX_POINT('',#41752); #12911=VERTEX_POINT('',#41757); #12912=VERTEX_POINT('',#41761); #12913=VERTEX_POINT('',#41765); #12914=VERTEX_POINT('',#41769); #12915=VERTEX_POINT('',#41773); #12916=VERTEX_POINT('',#41777); #12917=VERTEX_POINT('',#41781); #12918=VERTEX_POINT('',#41791); #12919=VERTEX_POINT('',#41792); #12920=VERTEX_POINT('',#41794); #12921=VERTEX_POINT('',#41796); #12922=VERTEX_POINT('',#41798); #12923=VERTEX_POINT('',#41800); #12924=VERTEX_POINT('',#41802); #12925=VERTEX_POINT('',#41804); #12926=VERTEX_POINT('',#41806); #12927=VERTEX_POINT('',#41808); #12928=VERTEX_POINT('',#41810); #12929=VERTEX_POINT('',#41812); #12930=VERTEX_POINT('',#41814); #12931=VERTEX_POINT('',#41816); #12932=VERTEX_POINT('',#41818); #12933=VERTEX_POINT('',#41820); #12934=VERTEX_POINT('',#41822); #12935=VERTEX_POINT('',#41824); #12936=VERTEX_POINT('',#41826); #12937=VERTEX_POINT('',#41828); #12938=VERTEX_POINT('',#41830); #12939=VERTEX_POINT('',#41832); #12940=VERTEX_POINT('',#41834); #12941=VERTEX_POINT('',#41836); #12942=VERTEX_POINT('',#41838); #12943=VERTEX_POINT('',#41840); #12944=VERTEX_POINT('',#41842); #12945=VERTEX_POINT('',#41844); #12946=VERTEX_POINT('',#41846); #12947=VERTEX_POINT('',#41848); #12948=VERTEX_POINT('',#41850); #12949=VERTEX_POINT('',#41852); #12950=VERTEX_POINT('',#41854); #12951=VERTEX_POINT('',#41856); #12952=VERTEX_POINT('',#41858); #12953=VERTEX_POINT('',#41860); #12954=VERTEX_POINT('',#41862); #12955=VERTEX_POINT('',#41864); #12956=VERTEX_POINT('',#41866); #12957=VERTEX_POINT('',#41868); #12958=VERTEX_POINT('',#41870); #12959=VERTEX_POINT('',#41872); #12960=VERTEX_POINT('',#41874); #12961=VERTEX_POINT('',#41876); #12962=VERTEX_POINT('',#41878); #12963=VERTEX_POINT('',#41880); #12964=VERTEX_POINT('',#41882); #12965=VERTEX_POINT('',#41884); #12966=VERTEX_POINT('',#41887); #12967=VERTEX_POINT('',#41890); #12968=VERTEX_POINT('',#41892); #12969=VERTEX_POINT('',#41896); #12970=VERTEX_POINT('',#41897); #12971=VERTEX_POINT('',#41902); #12972=VERTEX_POINT('',#41903); #12973=VERTEX_POINT('',#41908); #12974=VERTEX_POINT('',#41909); #12975=VERTEX_POINT('',#41914); #12976=VERTEX_POINT('',#41915); #12977=VERTEX_POINT('',#41920); #12978=VERTEX_POINT('',#41921); #12979=VERTEX_POINT('',#41926); #12980=VERTEX_POINT('',#41927); #12981=VERTEX_POINT('',#41932); #12982=VERTEX_POINT('',#41933); #12983=VERTEX_POINT('',#41938); #12984=VERTEX_POINT('',#41939); #12985=VERTEX_POINT('',#41944); #12986=VERTEX_POINT('',#41946); #12987=VERTEX_POINT('',#41950); #12988=VERTEX_POINT('',#41952); #12989=VERTEX_POINT('',#41956); #12990=VERTEX_POINT('',#41960); #12991=VERTEX_POINT('',#41962); #12992=VERTEX_POINT('',#41966); #12993=VERTEX_POINT('',#41969); #12994=VERTEX_POINT('',#41971); #12995=VERTEX_POINT('',#41974); #12996=VERTEX_POINT('',#41976); #12997=VERTEX_POINT('',#41979); #12998=VERTEX_POINT('',#41981); #12999=VERTEX_POINT('',#41984); #13000=VERTEX_POINT('',#41986); #13001=VERTEX_POINT('',#41989); #13002=VERTEX_POINT('',#41991); #13003=VERTEX_POINT('',#41994); #13004=VERTEX_POINT('',#41996); #13005=VERTEX_POINT('',#41999); #13006=VERTEX_POINT('',#42001); #13007=VERTEX_POINT('',#42004); #13008=VERTEX_POINT('',#42006); #13009=VERTEX_POINT('',#42009); #13010=VERTEX_POINT('',#42011); #13011=VERTEX_POINT('',#42014); #13012=VERTEX_POINT('',#42016); #13013=VERTEX_POINT('',#42019); #13014=VERTEX_POINT('',#42021); #13015=VERTEX_POINT('',#42024); #13016=VERTEX_POINT('',#42025); #13017=VERTEX_POINT('',#42027); #13018=VERTEX_POINT('',#42029); #13019=VERTEX_POINT('',#42031); #13020=VERTEX_POINT('',#42033); #13021=VERTEX_POINT('',#42035); #13022=VERTEX_POINT('',#42037); #13023=VERTEX_POINT('',#42040); #13024=VERTEX_POINT('',#42043); #13025=VERTEX_POINT('',#42044); #13026=VERTEX_POINT('',#42046); #13027=VERTEX_POINT('',#42048); #13028=VERTEX_POINT('',#42050); #13029=VERTEX_POINT('',#42052); #13030=VERTEX_POINT('',#42054); #13031=VERTEX_POINT('',#42056); #13032=VERTEX_POINT('',#42058); #13033=VERTEX_POINT('',#42060); #13034=VERTEX_POINT('',#42062); #13035=VERTEX_POINT('',#42064); #13036=VERTEX_POINT('',#42066); #13037=VERTEX_POINT('',#42068); #13038=VERTEX_POINT('',#42070); #13039=VERTEX_POINT('',#42072); #13040=VERTEX_POINT('',#42074); #13041=VERTEX_POINT('',#42076); #13042=VERTEX_POINT('',#42078); #13043=VERTEX_POINT('',#42080); #13044=VERTEX_POINT('',#42082); #13045=VERTEX_POINT('',#42084); #13046=VERTEX_POINT('',#42086); #13047=VERTEX_POINT('',#42088); #13048=VERTEX_POINT('',#42090); #13049=VERTEX_POINT('',#42092); #13050=VERTEX_POINT('',#42094); #13051=VERTEX_POINT('',#42096); #13052=VERTEX_POINT('',#42098); #13053=VERTEX_POINT('',#42100); #13054=VERTEX_POINT('',#42102); #13055=VERTEX_POINT('',#42104); #13056=VERTEX_POINT('',#42106); #13057=VERTEX_POINT('',#42108); #13058=VERTEX_POINT('',#42110); #13059=VERTEX_POINT('',#42112); #13060=VERTEX_POINT('',#42114); #13061=VERTEX_POINT('',#42116); #13062=VERTEX_POINT('',#42118); #13063=VERTEX_POINT('',#42120); #13064=VERTEX_POINT('',#42122); #13065=VERTEX_POINT('',#42124); #13066=VERTEX_POINT('',#42126); #13067=VERTEX_POINT('',#42128); #13068=VERTEX_POINT('',#42130); #13069=VERTEX_POINT('',#42132); #13070=VERTEX_POINT('',#42134); #13071=VERTEX_POINT('',#42136); #13072=VERTEX_POINT('',#42138); #13073=VERTEX_POINT('',#42140); #13074=VERTEX_POINT('',#42142); #13075=VERTEX_POINT('',#42144); #13076=VERTEX_POINT('',#42146); #13077=VERTEX_POINT('',#42148); #13078=VERTEX_POINT('',#42152); #13079=VERTEX_POINT('',#42153); #13080=VERTEX_POINT('',#42155); #13081=VERTEX_POINT('',#42157); #13082=VERTEX_POINT('',#42159); #13083=VERTEX_POINT('',#42161); #13084=VERTEX_POINT('',#42163); #13085=VERTEX_POINT('',#42165); #13086=VERTEX_POINT('',#42167); #13087=VERTEX_POINT('',#42171); #13088=VERTEX_POINT('',#42172); #13089=VERTEX_POINT('',#42174); #13090=VERTEX_POINT('',#42176); #13091=VERTEX_POINT('',#42178); #13092=VERTEX_POINT('',#42180); #13093=VERTEX_POINT('',#42182); #13094=VERTEX_POINT('',#42184); #13095=VERTEX_POINT('',#42186); #13096=VERTEX_POINT('',#42188); #13097=VERTEX_POINT('',#42190); #13098=VERTEX_POINT('',#42192); #13099=VERTEX_POINT('',#42194); #13100=VERTEX_POINT('',#42196); #13101=VERTEX_POINT('',#42198); #13102=VERTEX_POINT('',#42200); #13103=VERTEX_POINT('',#42202); #13104=VERTEX_POINT('',#42204); #13105=VERTEX_POINT('',#42206); #13106=VERTEX_POINT('',#42208); #13107=VERTEX_POINT('',#42210); #13108=VERTEX_POINT('',#42212); #13109=VERTEX_POINT('',#42214); #13110=VERTEX_POINT('',#42216); #13111=VERTEX_POINT('',#42218); #13112=VERTEX_POINT('',#42220); #13113=VERTEX_POINT('',#42222); #13114=VERTEX_POINT('',#42224); #13115=VERTEX_POINT('',#42226); #13116=VERTEX_POINT('',#42228); #13117=VERTEX_POINT('',#42230); #13118=VERTEX_POINT('',#42232); #13119=VERTEX_POINT('',#42234); #13120=VERTEX_POINT('',#42236); #13121=VERTEX_POINT('',#42238); #13122=VERTEX_POINT('',#42240); #13123=VERTEX_POINT('',#42242); #13124=VERTEX_POINT('',#42244); #13125=VERTEX_POINT('',#42246); #13126=VERTEX_POINT('',#42248); #13127=VERTEX_POINT('',#42250); #13128=VERTEX_POINT('',#42252); #13129=VERTEX_POINT('',#42254); #13130=VERTEX_POINT('',#42256); #13131=VERTEX_POINT('',#42258); #13132=VERTEX_POINT('',#42260); #13133=VERTEX_POINT('',#42262); #13134=VERTEX_POINT('',#42264); #13135=VERTEX_POINT('',#42266); #13136=VERTEX_POINT('',#42268); #13137=VERTEX_POINT('',#42270); #13138=VERTEX_POINT('',#42272); #13139=VERTEX_POINT('',#42274); #13140=VERTEX_POINT('',#42276); #13141=VERTEX_POINT('',#42280); #13142=VERTEX_POINT('',#42281); #13143=VERTEX_POINT('',#42283); #13144=VERTEX_POINT('',#42285); #13145=VERTEX_POINT('',#42287); #13146=VERTEX_POINT('',#42289); #13147=VERTEX_POINT('',#42291); #13148=VERTEX_POINT('',#42293); #13149=VERTEX_POINT('',#42297); #13150=VERTEX_POINT('',#42298); #13151=VERTEX_POINT('',#42300); #13152=VERTEX_POINT('',#42302); #13153=VERTEX_POINT('',#42304); #13154=VERTEX_POINT('',#42306); #13155=VERTEX_POINT('',#42308); #13156=VERTEX_POINT('',#42310); #13157=VERTEX_POINT('',#42312); #13158=VERTEX_POINT('',#42314); #13159=VERTEX_POINT('',#42316); #13160=VERTEX_POINT('',#42318); #13161=VERTEX_POINT('',#42322); #13162=VERTEX_POINT('',#42323); #13163=VERTEX_POINT('',#42325); #13164=VERTEX_POINT('',#42327); #13165=VERTEX_POINT('',#42329); #13166=VERTEX_POINT('',#42331); #13167=VERTEX_POINT('',#42333); #13168=VERTEX_POINT('',#42335); #13169=VERTEX_POINT('',#42337); #13170=VERTEX_POINT('',#42339); #13171=VERTEX_POINT('',#42341); #13172=VERTEX_POINT('',#42343); #13173=VERTEX_POINT('',#42345); #13174=VERTEX_POINT('',#42349); #13175=VERTEX_POINT('',#42350); #13176=VERTEX_POINT('',#42352); #13177=VERTEX_POINT('',#42354); #13178=VERTEX_POINT('',#42356); #13179=VERTEX_POINT('',#42358); #13180=VERTEX_POINT('',#42360); #13181=VERTEX_POINT('',#42362); #13182=VERTEX_POINT('',#42364); #13183=VERTEX_POINT('',#42366); #13184=VERTEX_POINT('',#42368); #13185=VERTEX_POINT('',#42370); #13186=VERTEX_POINT('',#42372); #13187=VERTEX_POINT('',#42374); #13188=VERTEX_POINT('',#42377); #13189=VERTEX_POINT('',#42378); #13190=VERTEX_POINT('',#42380); #13191=VERTEX_POINT('',#42382); #13192=VERTEX_POINT('',#42384); #13193=VERTEX_POINT('',#42386); #13194=VERTEX_POINT('',#42388); #13195=VERTEX_POINT('',#42390); #13196=VERTEX_POINT('',#42392); #13197=VERTEX_POINT('',#42394); #13198=VERTEX_POINT('',#42398); #13199=VERTEX_POINT('',#42399); #13200=VERTEX_POINT('',#42401); #13201=VERTEX_POINT('',#42403); #13202=VERTEX_POINT('',#42405); #13203=VERTEX_POINT('',#42407); #13204=VERTEX_POINT('',#42411); #13205=VERTEX_POINT('',#42412); #13206=VERTEX_POINT('',#42414); #13207=VERTEX_POINT('',#42416); #13208=VERTEX_POINT('',#42418); #13209=VERTEX_POINT('',#42420); #13210=VERTEX_POINT('',#42422); #13211=VERTEX_POINT('',#42424); #13212=VERTEX_POINT('',#42427); #13213=VERTEX_POINT('',#42428); #13214=VERTEX_POINT('',#42430); #13215=VERTEX_POINT('',#42434); #13216=VERTEX_POINT('',#42435); #13217=VERTEX_POINT('',#42437); #13218=VERTEX_POINT('',#42439); #13219=VERTEX_POINT('',#42441); #13220=VERTEX_POINT('',#42443); #13221=VERTEX_POINT('',#42445); #13222=VERTEX_POINT('',#42447); #13223=VERTEX_POINT('',#42449); #13224=VERTEX_POINT('',#42451); #13225=VERTEX_POINT('',#42453); #13226=VERTEX_POINT('',#42455); #13227=VERTEX_POINT('',#42457); #13228=VERTEX_POINT('',#42459); #13229=VERTEX_POINT('',#42461); #13230=VERTEX_POINT('',#42463); #13231=VERTEX_POINT('',#42465); #13232=VERTEX_POINT('',#42467); #13233=VERTEX_POINT('',#42469); #13234=VERTEX_POINT('',#42471); #13235=VERTEX_POINT('',#42473); #13236=VERTEX_POINT('',#42475); #13237=VERTEX_POINT('',#42477); #13238=VERTEX_POINT('',#42479); #13239=VERTEX_POINT('',#42481); #13240=VERTEX_POINT('',#42483); #13241=VERTEX_POINT('',#42485); #13242=VERTEX_POINT('',#42487); #13243=VERTEX_POINT('',#42489); #13244=VERTEX_POINT('',#42491); #13245=VERTEX_POINT('',#42493); #13246=VERTEX_POINT('',#42495); #13247=VERTEX_POINT('',#42497); #13248=VERTEX_POINT('',#42499); #13249=VERTEX_POINT('',#42501); #13250=VERTEX_POINT('',#42503); #13251=VERTEX_POINT('',#42505); #13252=VERTEX_POINT('',#42507); #13253=VERTEX_POINT('',#42509); #13254=VERTEX_POINT('',#42511); #13255=VERTEX_POINT('',#42513); #13256=VERTEX_POINT('',#42515); #13257=VERTEX_POINT('',#42517); #13258=VERTEX_POINT('',#42519); #13259=VERTEX_POINT('',#42521); #13260=VERTEX_POINT('',#42523); #13261=VERTEX_POINT('',#42525); #13262=VERTEX_POINT('',#42527); #13263=VERTEX_POINT('',#42529); #13264=VERTEX_POINT('',#42531); #13265=VERTEX_POINT('',#42533); #13266=VERTEX_POINT('',#42535); #13267=VERTEX_POINT('',#42537); #13268=VERTEX_POINT('',#42539); #13269=VERTEX_POINT('',#42543); #13270=VERTEX_POINT('',#42544); #13271=VERTEX_POINT('',#42546); #13272=VERTEX_POINT('',#42548); #13273=VERTEX_POINT('',#42550); #13274=VERTEX_POINT('',#42552); #13275=VERTEX_POINT('',#42554); #13276=VERTEX_POINT('',#42556); #13277=VERTEX_POINT('',#42618); #13278=VERTEX_POINT('',#42620); #13279=VERTEX_POINT('',#42624); #13280=VERTEX_POINT('',#42628); #13281=VERTEX_POINT('',#42632); #13282=VERTEX_POINT('',#42636); #13283=VERTEX_POINT('',#42640); #13284=VERTEX_POINT('',#42644); #13285=VERTEX_POINT('',#42650); #13286=VERTEX_POINT('',#42651); #13287=VERTEX_POINT('',#42653); #13288=VERTEX_POINT('',#42655); #13289=VERTEX_POINT('',#42657); #13290=VERTEX_POINT('',#42659); #13291=VERTEX_POINT('',#42661); #13292=VERTEX_POINT('',#42663); #13293=VERTEX_POINT('',#42665); #13294=VERTEX_POINT('',#42667); #13295=VERTEX_POINT('',#42669); #13296=VERTEX_POINT('',#42671); #13297=VERTEX_POINT('',#42673); #13298=VERTEX_POINT('',#42675); #13299=VERTEX_POINT('',#42677); #13300=VERTEX_POINT('',#42679); #13301=VERTEX_POINT('',#42681); #13302=VERTEX_POINT('',#42683); #13303=VERTEX_POINT('',#42685); #13304=VERTEX_POINT('',#42687); #13305=VERTEX_POINT('',#42689); #13306=VERTEX_POINT('',#42691); #13307=VERTEX_POINT('',#42693); #13308=VERTEX_POINT('',#42695); #13309=VERTEX_POINT('',#42697); #13310=VERTEX_POINT('',#42699); #13311=VERTEX_POINT('',#42701); #13312=VERTEX_POINT('',#42703); #13313=VERTEX_POINT('',#42705); #13314=VERTEX_POINT('',#42707); #13315=VERTEX_POINT('',#42709); #13316=VERTEX_POINT('',#42711); #13317=VERTEX_POINT('',#42713); #13318=VERTEX_POINT('',#42715); #13319=VERTEX_POINT('',#42717); #13320=VERTEX_POINT('',#42719); #13321=VERTEX_POINT('',#42721); #13322=VERTEX_POINT('',#42723); #13323=VERTEX_POINT('',#42725); #13324=VERTEX_POINT('',#42727); #13325=VERTEX_POINT('',#42729); #13326=VERTEX_POINT('',#42731); #13327=VERTEX_POINT('',#42733); #13328=VERTEX_POINT('',#42735); #13329=VERTEX_POINT('',#42737); #13330=VERTEX_POINT('',#42739); #13331=VERTEX_POINT('',#42741); #13332=VERTEX_POINT('',#42743); #13333=VERTEX_POINT('',#42745); #13334=VERTEX_POINT('',#42747); #13335=VERTEX_POINT('',#42749); #13336=VERTEX_POINT('',#42751); #13337=VERTEX_POINT('',#42753); #13338=VERTEX_POINT('',#42755); #13339=VERTEX_POINT('',#42758); #13340=VERTEX_POINT('',#42759); #13341=VERTEX_POINT('',#42761); #13342=VERTEX_POINT('',#42763); #13343=VERTEX_POINT('',#42765); #13344=VERTEX_POINT('',#42767); #13345=VERTEX_POINT('',#42769); #13346=VERTEX_POINT('',#42771); #13347=VERTEX_POINT('',#42773); #13348=VERTEX_POINT('',#42776); #13349=VERTEX_POINT('',#42777); #13350=VERTEX_POINT('',#42779); #13351=VERTEX_POINT('',#42781); #13352=VERTEX_POINT('',#42783); #13353=VERTEX_POINT('',#42785); #13354=VERTEX_POINT('',#42787); #13355=VERTEX_POINT('',#42789); #13356=VERTEX_POINT('',#42791); #13357=VERTEX_POINT('',#42793); #13358=VERTEX_POINT('',#42795); #13359=VERTEX_POINT('',#42797); #13360=VERTEX_POINT('',#42799); #13361=VERTEX_POINT('',#42801); #13362=VERTEX_POINT('',#42803); #13363=VERTEX_POINT('',#42805); #13364=VERTEX_POINT('',#42807); #13365=VERTEX_POINT('',#42809); #13366=VERTEX_POINT('',#42811); #13367=VERTEX_POINT('',#42813); #13368=VERTEX_POINT('',#42815); #13369=VERTEX_POINT('',#42817); #13370=VERTEX_POINT('',#42819); #13371=VERTEX_POINT('',#42821); #13372=VERTEX_POINT('',#42823); #13373=VERTEX_POINT('',#42825); #13374=VERTEX_POINT('',#42827); #13375=VERTEX_POINT('',#42829); #13376=VERTEX_POINT('',#42831); #13377=VERTEX_POINT('',#42833); #13378=VERTEX_POINT('',#42835); #13379=VERTEX_POINT('',#42837); #13380=VERTEX_POINT('',#42839); #13381=VERTEX_POINT('',#42841); #13382=VERTEX_POINT('',#42843); #13383=VERTEX_POINT('',#42845); #13384=VERTEX_POINT('',#42847); #13385=VERTEX_POINT('',#42849); #13386=VERTEX_POINT('',#42851); #13387=VERTEX_POINT('',#42853); #13388=VERTEX_POINT('',#42855); #13389=VERTEX_POINT('',#42857); #13390=VERTEX_POINT('',#42859); #13391=VERTEX_POINT('',#42861); #13392=VERTEX_POINT('',#42863); #13393=VERTEX_POINT('',#42865); #13394=VERTEX_POINT('',#42867); #13395=VERTEX_POINT('',#42869); #13396=VERTEX_POINT('',#42871); #13397=VERTEX_POINT('',#42873); #13398=VERTEX_POINT('',#42875); #13399=VERTEX_POINT('',#42877); #13400=VERTEX_POINT('',#42879); #13401=VERTEX_POINT('',#42881); #13402=VERTEX_POINT('',#42884); #13403=VERTEX_POINT('',#42885); #13404=VERTEX_POINT('',#42887); #13405=VERTEX_POINT('',#42889); #13406=VERTEX_POINT('',#42891); #13407=VERTEX_POINT('',#42893); #13408=VERTEX_POINT('',#42895); #13409=VERTEX_POINT('',#42897); #13410=VERTEX_POINT('',#42900); #13411=VERTEX_POINT('',#42901); #13412=VERTEX_POINT('',#42903); #13413=VERTEX_POINT('',#42905); #13414=VERTEX_POINT('',#42907); #13415=VERTEX_POINT('',#42909); #13416=VERTEX_POINT('',#42911); #13417=VERTEX_POINT('',#42913); #13418=VERTEX_POINT('',#42915); #13419=VERTEX_POINT('',#42917); #13420=VERTEX_POINT('',#42919); #13421=VERTEX_POINT('',#42921); #13422=VERTEX_POINT('',#42924); #13423=VERTEX_POINT('',#42925); #13424=VERTEX_POINT('',#42927); #13425=VERTEX_POINT('',#42929); #13426=VERTEX_POINT('',#42931); #13427=VERTEX_POINT('',#42933); #13428=VERTEX_POINT('',#42935); #13429=VERTEX_POINT('',#42937); #13430=VERTEX_POINT('',#42939); #13431=VERTEX_POINT('',#42941); #13432=VERTEX_POINT('',#42943); #13433=VERTEX_POINT('',#42945); #13434=VERTEX_POINT('',#42947); #13435=VERTEX_POINT('',#42950); #13436=VERTEX_POINT('',#42951); #13437=VERTEX_POINT('',#42953); #13438=VERTEX_POINT('',#42955); #13439=VERTEX_POINT('',#42957); #13440=VERTEX_POINT('',#42959); #13441=VERTEX_POINT('',#42961); #13442=VERTEX_POINT('',#42963); #13443=VERTEX_POINT('',#42965); #13444=VERTEX_POINT('',#42967); #13445=VERTEX_POINT('',#42969); #13446=VERTEX_POINT('',#42971); #13447=VERTEX_POINT('',#42973); #13448=VERTEX_POINT('',#42975); #13449=VERTEX_POINT('',#42978); #13450=VERTEX_POINT('',#42979); #13451=VERTEX_POINT('',#42981); #13452=VERTEX_POINT('',#42983); #13453=VERTEX_POINT('',#42985); #13454=VERTEX_POINT('',#42987); #13455=VERTEX_POINT('',#42990); #13456=VERTEX_POINT('',#42991); #13457=VERTEX_POINT('',#42993); #13458=VERTEX_POINT('',#42995); #13459=VERTEX_POINT('',#42997); #13460=VERTEX_POINT('',#42999); #13461=VERTEX_POINT('',#43001); #13462=VERTEX_POINT('',#43003); #13463=VERTEX_POINT('',#43006); #13464=VERTEX_POINT('',#43007); #13465=VERTEX_POINT('',#43009); #13466=VERTEX_POINT('',#43011); #13467=VERTEX_POINT('',#43013); #13468=VERTEX_POINT('',#43015); #13469=VERTEX_POINT('',#43017); #13470=VERTEX_POINT('',#43019); #13471=VERTEX_POINT('',#43021); #13472=VERTEX_POINT('',#43023); #13473=VERTEX_POINT('',#43025); #13474=VERTEX_POINT('',#43027); #13475=VERTEX_POINT('',#43029); #13476=VERTEX_POINT('',#43031); #13477=VERTEX_POINT('',#43033); #13478=VERTEX_POINT('',#43035); #13479=VERTEX_POINT('',#43037); #13480=VERTEX_POINT('',#43039); #13481=VERTEX_POINT('',#43041); #13482=VERTEX_POINT('',#43043); #13483=VERTEX_POINT('',#43045); #13484=VERTEX_POINT('',#43047); #13485=VERTEX_POINT('',#43049); #13486=VERTEX_POINT('',#43051); #13487=VERTEX_POINT('',#43053); #13488=VERTEX_POINT('',#43055); #13489=VERTEX_POINT('',#43057); #13490=VERTEX_POINT('',#43059); #13491=VERTEX_POINT('',#43061); #13492=VERTEX_POINT('',#43063); #13493=VERTEX_POINT('',#43065); #13494=VERTEX_POINT('',#43067); #13495=VERTEX_POINT('',#43069); #13496=VERTEX_POINT('',#43071); #13497=VERTEX_POINT('',#43073); #13498=VERTEX_POINT('',#43075); #13499=VERTEX_POINT('',#43077); #13500=VERTEX_POINT('',#43079); #13501=VERTEX_POINT('',#43081); #13502=VERTEX_POINT('',#43083); #13503=VERTEX_POINT('',#43085); #13504=VERTEX_POINT('',#43087); #13505=VERTEX_POINT('',#43089); #13506=VERTEX_POINT('',#43091); #13507=VERTEX_POINT('',#43093); #13508=VERTEX_POINT('',#43095); #13509=VERTEX_POINT('',#43097); #13510=VERTEX_POINT('',#43099); #13511=VERTEX_POINT('',#43101); #13512=VERTEX_POINT('',#43103); #13513=VERTEX_POINT('',#43105); #13514=VERTEX_POINT('',#43107); #13515=VERTEX_POINT('',#43109); #13516=VERTEX_POINT('',#43111); #13517=VERTEX_POINT('',#43114); #13518=VERTEX_POINT('',#43115); #13519=VERTEX_POINT('',#43117); #13520=VERTEX_POINT('',#43119); #13521=VERTEX_POINT('',#43121); #13522=VERTEX_POINT('',#43123); #13523=VERTEX_POINT('',#43125); #13524=VERTEX_POINT('',#43127); #13525=VERTEX_POINT('',#43131); #13526=VERTEX_POINT('',#43132); #13527=VERTEX_POINT('',#43134); #13528=VERTEX_POINT('',#43136); #13529=VERTEX_POINT('',#43138); #13530=VERTEX_POINT('',#43140); #13531=VERTEX_POINT('',#43142); #13532=VERTEX_POINT('',#43144); #13533=VERTEX_POINT('',#43146); #13534=VERTEX_POINT('',#43148); #13535=VERTEX_POINT('',#43152); #13536=VERTEX_POINT('',#43153); #13537=VERTEX_POINT('',#43155); #13538=VERTEX_POINT('',#43665); #13539=VERTEX_POINT('',#43666); #13540=VERTEX_POINT('',#43671); #13541=VERTEX_POINT('',#43672); #13542=VERTEX_POINT('',#43675); #13543=VERTEX_POINT('',#43677); #13544=VERTEX_POINT('',#43681); #13545=VERTEX_POINT('',#43682); #13546=VERTEX_POINT('',#43687); #13547=VERTEX_POINT('',#43691); #13548=VERTEX_POINT('',#43692); #13549=VERTEX_POINT('',#43694); #13550=VERTEX_POINT('',#43696); #13551=VERTEX_POINT('',#43700); #13552=VERTEX_POINT('',#43704); #13553=VERTEX_POINT('',#43744); #13554=VERTEX_POINT('',#43745); #13555=VERTEX_POINT('',#43749); #13556=VERTEX_POINT('',#43754); #13557=VERTEX_POINT('',#43756); #13558=VERTEX_POINT('',#43796); #13559=VERTEX_POINT('',#43798); #13560=VERTEX_POINT('',#43812); #13561=VERTEX_POINT('',#43813); #13562=VERTEX_POINT('',#43815); #13563=VERTEX_POINT('',#43817); #13564=VERTEX_POINT('',#43821); #13565=VERTEX_POINT('',#43823); #13566=VERTEX_POINT('',#43827); #13567=VERTEX_POINT('',#43829); #13568=VERTEX_POINT('',#43839); #13569=VERTEX_POINT('',#43841); #13570=VERTEX_POINT('',#43844); #13571=VERTEX_POINT('',#43848); #13572=VERTEX_POINT('',#43850); #13573=VERTEX_POINT('',#43854); #13574=VERTEX_POINT('',#43857); #13575=VERTEX_POINT('',#43858); #13576=VERTEX_POINT('',#43860); #13577=VERTEX_POINT('',#43871); #13578=VERTEX_POINT('',#43882); #13579=VERTEX_POINT('',#43884); #13580=VERTEX_POINT('',#43907); #13581=VERTEX_POINT('',#43909); #13582=VERTEX_POINT('',#43913); #13583=VERTEX_POINT('',#43918); #13584=VERTEX_POINT('',#43920); #13585=VERTEX_POINT('',#43924); #13586=VERTEX_POINT('',#43929); #13587=VERTEX_POINT('',#43930); #13588=VERTEX_POINT('',#43932); #13589=VERTEX_POINT('',#43934); #13590=VERTEX_POINT('',#43946); #13591=VERTEX_POINT('',#43947); #13592=VERTEX_POINT('',#43949); #13593=VERTEX_POINT('',#43951); #13594=VERTEX_POINT('',#43962); #13595=VERTEX_POINT('',#43975); #13596=VERTEX_POINT('',#43977); #13597=VERTEX_POINT('',#43981); #13598=VERTEX_POINT('',#43985); #13599=VERTEX_POINT('',#43987); #13600=VERTEX_POINT('',#44011); #13601=VERTEX_POINT('',#44013); #13602=VERTEX_POINT('',#44017); #13603=VERTEX_POINT('',#44020); #13604=VERTEX_POINT('',#44023); #13605=VERTEX_POINT('',#44027); #13606=VERTEX_POINT('',#44029); #13607=VERTEX_POINT('',#44033); #13608=VERTEX_POINT('',#44036); #13609=VERTEX_POINT('',#44041); #13610=VERTEX_POINT('',#44048); #13611=VERTEX_POINT('',#44050); #13612=VERTEX_POINT('',#44052); #13613=VERTEX_POINT('',#44056); #13614=VERTEX_POINT('',#44057); #13615=VERTEX_POINT('',#44062); #13616=VERTEX_POINT('',#44065); #13617=VERTEX_POINT('',#44070); #13618=VERTEX_POINT('',#44071); #13619=VERTEX_POINT('',#44074); #13620=VERTEX_POINT('',#44078); #13621=VERTEX_POINT('',#44079); #13622=VERTEX_POINT('',#44081); #13623=VERTEX_POINT('',#44083); #13624=VERTEX_POINT('',#44085); #13625=VERTEX_POINT('',#44087); #13626=VERTEX_POINT('',#44093); #13627=VERTEX_POINT('',#44094); #13628=VERTEX_POINT('',#44101); #13629=VERTEX_POINT('',#44107); #13630=VERTEX_POINT('',#44113); #13631=VERTEX_POINT('',#44119); #13632=VERTEX_POINT('',#44123); #13633=VERTEX_POINT('',#44133); #13634=VERTEX_POINT('',#44137); #13635=VERTEX_POINT('',#44139); #13636=VERTEX_POINT('',#44141); #13637=VERTEX_POINT('',#44145); #13638=VERTEX_POINT('',#44146); #13639=VERTEX_POINT('',#44151); #13640=VERTEX_POINT('',#44154); #13641=VERTEX_POINT('',#44159); #13642=VERTEX_POINT('',#44160); #13643=VERTEX_POINT('',#44163); #13644=VERTEX_POINT('',#44167); #13645=VERTEX_POINT('',#44168); #13646=VERTEX_POINT('',#44170); #13647=VERTEX_POINT('',#44172); #13648=VERTEX_POINT('',#44174); #13649=VERTEX_POINT('',#44176); #13650=VERTEX_POINT('',#44182); #13651=VERTEX_POINT('',#44183); #13652=VERTEX_POINT('',#44190); #13653=VERTEX_POINT('',#44196); #13654=VERTEX_POINT('',#44202); #13655=VERTEX_POINT('',#44208); #13656=VERTEX_POINT('',#44212); #13657=VERTEX_POINT('',#44222); #13658=VERTEX_POINT('',#44226); #13659=VERTEX_POINT('',#44228); #13660=VERTEX_POINT('',#44232); #13661=VERTEX_POINT('',#44234); #13662=VERTEX_POINT('',#44238); #13663=VERTEX_POINT('',#44239); #13664=VERTEX_POINT('',#44241); #13665=VERTEX_POINT('',#44243); #13666=VERTEX_POINT('',#44245); #13667=VERTEX_POINT('',#44247); #13668=VERTEX_POINT('',#44249); #13669=VERTEX_POINT('',#44251); #13670=VERTEX_POINT('',#44253); #13671=VERTEX_POINT('',#44255); #13672=VERTEX_POINT('',#44257); #13673=VERTEX_POINT('',#44259); #13674=VERTEX_POINT('',#44261); #13675=VERTEX_POINT('',#44263); #13676=VERTEX_POINT('',#44267); #13677=VERTEX_POINT('',#44268); #13678=VERTEX_POINT('',#44273); #13679=VERTEX_POINT('',#44277); #13680=VERTEX_POINT('',#44281); #13681=VERTEX_POINT('',#44285); #13682=VERTEX_POINT('',#44289); #13683=VERTEX_POINT('',#44293); #13684=VERTEX_POINT('',#44297); #13685=VERTEX_POINT('',#44301); #13686=VERTEX_POINT('',#44305); #13687=VERTEX_POINT('',#44309); #13688=VERTEX_POINT('',#44313); #13689=VERTEX_POINT('',#44317); #13690=VERTEX_POINT('',#44325); #13691=VERTEX_POINT('',#44327); #13692=VERTEX_POINT('',#44330); #13693=VERTEX_POINT('',#44334); #13694=VERTEX_POINT('',#44338); #13695=VERTEX_POINT('',#44340); #13696=VERTEX_POINT('',#44343); #13697=VERTEX_POINT('',#44347); #13698=VERTEX_POINT('',#44351); #13699=VERTEX_POINT('',#44353); #13700=VERTEX_POINT('',#44356); #13701=VERTEX_POINT('',#44360); #13702=VERTEX_POINT('',#44364); #13703=VERTEX_POINT('',#44366); #13704=VERTEX_POINT('',#44369); #13705=VERTEX_POINT('',#44373); #13706=VERTEX_POINT('',#44377); #13707=VERTEX_POINT('',#44378); #13708=VERTEX_POINT('',#44380); #13709=VERTEX_POINT('',#44382); #13710=VERTEX_POINT('',#44386); #13711=VERTEX_POINT('',#44388); #13712=VERTEX_POINT('',#44392); #13713=VERTEX_POINT('',#44394); #13714=VERTEX_POINT('',#44398); #13715=VERTEX_POINT('',#44399); #13716=VERTEX_POINT('',#44402); #13717=VERTEX_POINT('',#44404); #13718=VERTEX_POINT('',#44406); #13719=VERTEX_POINT('',#44408); #13720=VERTEX_POINT('',#44412); #13721=VERTEX_POINT('',#44414); #13722=VERTEX_POINT('',#44418); #13723=VERTEX_POINT('',#44422); #13724=VERTEX_POINT('',#44426); #13725=VERTEX_POINT('',#44430); #13726=VERTEX_POINT('',#44434); #13727=VERTEX_POINT('',#44435); #13728=VERTEX_POINT('',#44437); #13729=VERTEX_POINT('',#44439); #13730=VERTEX_POINT('',#44443); #13731=VERTEX_POINT('',#44445); #13732=VERTEX_POINT('',#44452); #13733=VERTEX_POINT('',#44454); #13734=VERTEX_POINT('',#44458); #13735=VERTEX_POINT('',#44460); #13736=VERTEX_POINT('',#44464); #13737=VERTEX_POINT('',#44466); #13738=VERTEX_POINT('',#44470); #13739=VERTEX_POINT('',#44472); #13740=VERTEX_POINT('',#44482); #13741=VERTEX_POINT('',#44484); #13742=VERTEX_POINT('',#44487); #13743=VERTEX_POINT('',#44491); #13744=VERTEX_POINT('',#44495); #13745=VERTEX_POINT('',#44497); #13746=VERTEX_POINT('',#44500); #13747=VERTEX_POINT('',#44504); #13748=VERTEX_POINT('',#44508); #13749=VERTEX_POINT('',#44510); #13750=VERTEX_POINT('',#44513); #13751=VERTEX_POINT('',#44517); #13752=VERTEX_POINT('',#44521); #13753=VERTEX_POINT('',#44523); #13754=VERTEX_POINT('',#44526); #13755=VERTEX_POINT('',#44530); #13756=VERTEX_POINT('',#44534); #13757=VERTEX_POINT('',#44535); #13758=VERTEX_POINT('',#44537); #13759=VERTEX_POINT('',#44539); #13760=VERTEX_POINT('',#44543); #13761=VERTEX_POINT('',#44545); #13762=VERTEX_POINT('',#44549); #13763=VERTEX_POINT('',#44551); #13764=VERTEX_POINT('',#44555); #13765=VERTEX_POINT('',#44556); #13766=VERTEX_POINT('',#44558); #13767=VERTEX_POINT('',#44560); #13768=VERTEX_POINT('',#44562); #13769=VERTEX_POINT('',#44564); #13770=VERTEX_POINT('',#44569); #13771=VERTEX_POINT('',#44571); #13772=VERTEX_POINT('',#44575); #13773=VERTEX_POINT('',#44579); #13774=VERTEX_POINT('',#44583); #13775=VERTEX_POINT('',#44587); #13776=VERTEX_POINT('',#44591); #13777=VERTEX_POINT('',#44592); #13778=VERTEX_POINT('',#44594); #13779=VERTEX_POINT('',#44596); #13780=VERTEX_POINT('',#44600); #13781=VERTEX_POINT('',#44602); #13782=VERTEX_POINT('',#44609); #13783=VERTEX_POINT('',#44611); #13784=VERTEX_POINT('',#44615); #13785=VERTEX_POINT('',#44617); #13786=VERTEX_POINT('',#44621); #13787=VERTEX_POINT('',#44623); #13788=VERTEX_POINT('',#44627); #13789=VERTEX_POINT('',#44629); #13790=VERTEX_POINT('',#44639); #13791=VERTEX_POINT('',#44641); #13792=VERTEX_POINT('',#44644); #13793=VERTEX_POINT('',#44648); #13794=VERTEX_POINT('',#44650); #13795=VERTEX_POINT('',#44653); #13796=VERTEX_POINT('',#44657); #13797=VERTEX_POINT('',#44658); #13798=VERTEX_POINT('',#44660); #13799=VERTEX_POINT('',#44662); #13800=VERTEX_POINT('',#44664); #13801=VERTEX_POINT('',#44666); #13802=VERTEX_POINT('',#44670); #13803=VERTEX_POINT('',#44671); #13804=VERTEX_POINT('',#44673); #13805=VERTEX_POINT('',#44675); #13806=VERTEX_POINT('',#44677); #13807=VERTEX_POINT('',#44679); #13808=VERTEX_POINT('',#44686); #13809=VERTEX_POINT('',#44688); #13810=VERTEX_POINT('',#44692); #13811=VERTEX_POINT('',#44696); #13812=VERTEX_POINT('',#44698); #13813=VERTEX_POINT('',#44700); #13814=VERTEX_POINT('',#44702); #13815=VERTEX_POINT('',#44704); #13816=VERTEX_POINT('',#44706); #13817=VERTEX_POINT('',#44708); #13818=VERTEX_POINT('',#44711); #13819=VERTEX_POINT('',#44713); #13820=VERTEX_POINT('',#44715); #13821=VERTEX_POINT('',#44717); #13822=VERTEX_POINT('',#44719); #13823=VERTEX_POINT('',#44721); #13824=VERTEX_POINT('',#44723); #13825=VERTEX_POINT('',#44725); #13826=VERTEX_POINT('',#44728); #13827=VERTEX_POINT('',#44732); #13828=VERTEX_POINT('',#44734); #13829=VERTEX_POINT('',#44737); #13830=VERTEX_POINT('',#44743); #13831=VERTEX_POINT('',#44745); #13832=VERTEX_POINT('',#44748); #13833=VERTEX_POINT('',#44754); #13834=VERTEX_POINT('',#44756); #13835=VERTEX_POINT('',#44759); #13836=VERTEX_POINT('',#44765); #13837=VERTEX_POINT('',#44767); #13838=VERTEX_POINT('',#44770); #13839=VERTEX_POINT('',#44776); #13840=VERTEX_POINT('',#44777); #13841=VERTEX_POINT('',#44779); #13842=VERTEX_POINT('',#44782); #13843=VERTEX_POINT('',#44786); #13844=VERTEX_POINT('',#44790); #13845=VERTEX_POINT('',#44791); #13846=VERTEX_POINT('',#44793); #13847=VERTEX_POINT('',#44798); #13848=VERTEX_POINT('',#44800); #13849=VERTEX_POINT('',#44804); #13850=VERTEX_POINT('',#44806); #13851=VERTEX_POINT('',#44810); #13852=VERTEX_POINT('',#44814); #13853=VERTEX_POINT('',#44820); #13854=VERTEX_POINT('',#44822); #13855=VERTEX_POINT('',#44826); #13856=VERTEX_POINT('',#44833); #13857=VERTEX_POINT('',#44835); #13858=VERTEX_POINT('',#44839); #13859=VERTEX_POINT('',#44841); #13860=VERTEX_POINT('',#44843); #13861=VERTEX_POINT('',#44845); #13862=VERTEX_POINT('',#44850); #13863=VERTEX_POINT('',#44852); #13864=VERTEX_POINT('',#44855); #13865=VERTEX_POINT('',#44857); #13866=VERTEX_POINT('',#44861); #13867=VERTEX_POINT('',#44865); #13868=VERTEX_POINT('',#44867); #13869=VERTEX_POINT('',#44871); #13870=VERTEX_POINT('',#44875); #13871=VERTEX_POINT('',#44877); #13872=VERTEX_POINT('',#44881); #13873=VERTEX_POINT('',#44885); #13874=VERTEX_POINT('',#44887); #13875=VERTEX_POINT('',#44891); #13876=VERTEX_POINT('',#44895); #13877=VERTEX_POINT('',#44897); #13878=VERTEX_POINT('',#44900); #13879=VERTEX_POINT('',#44905); #13880=VERTEX_POINT('',#44907); #13881=VERTEX_POINT('',#44910); #13882=VERTEX_POINT('',#44915); #13883=VERTEX_POINT('',#44917); #13884=VERTEX_POINT('',#44920); #13885=VERTEX_POINT('',#44925); #13886=VERTEX_POINT('',#44927); #13887=VERTEX_POINT('',#44930); #13888=VERTEX_POINT('',#44935); #13889=VERTEX_POINT('',#44937); #13890=VERTEX_POINT('',#44944); #13891=VERTEX_POINT('',#44946); #13892=VERTEX_POINT('',#44953); #13893=VERTEX_POINT('',#44955); #13894=VERTEX_POINT('',#44962); #13895=VERTEX_POINT('',#44964); #13896=VERTEX_POINT('',#44972); #13897=VERTEX_POINT('',#44974); #13898=VERTEX_POINT('',#44976); #13899=VERTEX_POINT('',#44980); #13900=VERTEX_POINT('',#44981); #13901=VERTEX_POINT('',#44986); #13902=VERTEX_POINT('',#44989); #13903=VERTEX_POINT('',#44994); #13904=VERTEX_POINT('',#44995); #13905=VERTEX_POINT('',#44998); #13906=VERTEX_POINT('',#45002); #13907=VERTEX_POINT('',#45003); #13908=VERTEX_POINT('',#45005); #13909=VERTEX_POINT('',#45007); #13910=VERTEX_POINT('',#45009); #13911=VERTEX_POINT('',#45011); #13912=VERTEX_POINT('',#45017); #13913=VERTEX_POINT('',#45018); #13914=VERTEX_POINT('',#45025); #13915=VERTEX_POINT('',#45031); #13916=VERTEX_POINT('',#45037); #13917=VERTEX_POINT('',#45043); #13918=VERTEX_POINT('',#45047); #13919=VERTEX_POINT('',#45057); #13920=VERTEX_POINT('',#45061); #13921=VERTEX_POINT('',#45062); #13922=VERTEX_POINT('',#45064); #13923=VERTEX_POINT('',#45066); #13924=VERTEX_POINT('',#45070); #13925=VERTEX_POINT('',#45072); #13926=VERTEX_POINT('',#45076); #13927=VERTEX_POINT('',#45078); #13928=VERTEX_POINT('',#45085); #13929=VERTEX_POINT('',#45086); #13930=VERTEX_POINT('',#45088); #13931=VERTEX_POINT('',#45090); #13932=VERTEX_POINT('',#45094); #13933=VERTEX_POINT('',#45096); #13934=VERTEX_POINT('',#45100); #13935=VERTEX_POINT('',#45102); #13936=VERTEX_POINT('',#45109); #13937=VERTEX_POINT('',#45110); #13938=VERTEX_POINT('',#45112); #13939=VERTEX_POINT('',#45114); #13940=VERTEX_POINT('',#45118); #13941=VERTEX_POINT('',#45120); #13942=VERTEX_POINT('',#45124); #13943=VERTEX_POINT('',#45126); #13944=VERTEX_POINT('',#45133); #13945=VERTEX_POINT('',#45134); #13946=VERTEX_POINT('',#45136); #13947=VERTEX_POINT('',#45138); #13948=VERTEX_POINT('',#45142); #13949=VERTEX_POINT('',#45144); #13950=VERTEX_POINT('',#45148); #13951=VERTEX_POINT('',#45150); #13952=VERTEX_POINT('',#45154); #13953=VERTEX_POINT('',#45156); #13954=VERTEX_POINT('',#45160); #13955=VERTEX_POINT('',#45162); #13956=VERTEX_POINT('',#45166); #13957=VERTEX_POINT('',#45168); #13958=VERTEX_POINT('',#45172); #13959=VERTEX_POINT('',#45174); #13960=VERTEX_POINT('',#45182); #13961=VERTEX_POINT('',#45183); #13962=VERTEX_POINT('',#45185); #13963=VERTEX_POINT('',#45187); #13964=VERTEX_POINT('',#45191); #13965=VERTEX_POINT('',#45193); #13966=VERTEX_POINT('',#45197); #13967=VERTEX_POINT('',#45199); #13968=VERTEX_POINT('',#45203); #13969=VERTEX_POINT('',#45205); #13970=VERTEX_POINT('',#45209); #13971=VERTEX_POINT('',#45211); #13972=VERTEX_POINT('',#45215); #13973=VERTEX_POINT('',#45217); #13974=VERTEX_POINT('',#45221); #13975=VERTEX_POINT('',#45223); #13976=VERTEX_POINT('',#45231); #13977=VERTEX_POINT('',#45232); #13978=VERTEX_POINT('',#45234); #13979=VERTEX_POINT('',#45236); #13980=VERTEX_POINT('',#45240); #13981=VERTEX_POINT('',#45242); #13982=VERTEX_POINT('',#45246); #13983=VERTEX_POINT('',#45248); #13984=VERTEX_POINT('',#45252); #13985=VERTEX_POINT('',#45254); #13986=VERTEX_POINT('',#45258); #13987=VERTEX_POINT('',#45260); #13988=VERTEX_POINT('',#45264); #13989=VERTEX_POINT('',#45266); #13990=VERTEX_POINT('',#45270); #13991=VERTEX_POINT('',#45272); #13992=VERTEX_POINT('',#45280); #13993=VERTEX_POINT('',#45281); #13994=VERTEX_POINT('',#45283); #13995=VERTEX_POINT('',#45285); #13996=VERTEX_POINT('',#45289); #13997=VERTEX_POINT('',#45291); #13998=VERTEX_POINT('',#45295); #13999=VERTEX_POINT('',#45297); #14000=VERTEX_POINT('',#45301); #14001=VERTEX_POINT('',#45303); #14002=VERTEX_POINT('',#45307); #14003=VERTEX_POINT('',#45309); #14004=VERTEX_POINT('',#45313); #14005=VERTEX_POINT('',#45315); #14006=VERTEX_POINT('',#45319); #14007=VERTEX_POINT('',#45321); #14008=VERTEX_POINT('',#45329); #14009=VERTEX_POINT('',#45330); #14010=VERTEX_POINT('',#45332); #14011=VERTEX_POINT('',#45334); #14012=VERTEX_POINT('',#45338); #14013=VERTEX_POINT('',#45340); #14014=VERTEX_POINT('',#45344); #14015=VERTEX_POINT('',#45346); #14016=VERTEX_POINT('',#45350); #14017=VERTEX_POINT('',#45352); #14018=VERTEX_POINT('',#45356); #14019=VERTEX_POINT('',#45358); #14020=VERTEX_POINT('',#45362); #14021=VERTEX_POINT('',#45364); #14022=VERTEX_POINT('',#45368); #14023=VERTEX_POINT('',#45370); #14024=VERTEX_POINT('',#45378); #14025=VERTEX_POINT('',#45379); #14026=VERTEX_POINT('',#45381); #14027=VERTEX_POINT('',#45383); #14028=VERTEX_POINT('',#45387); #14029=VERTEX_POINT('',#45389); #14030=VERTEX_POINT('',#45393); #14031=VERTEX_POINT('',#45395); #14032=VERTEX_POINT('',#45399); #14033=VERTEX_POINT('',#45401); #14034=VERTEX_POINT('',#45405); #14035=VERTEX_POINT('',#45407); #14036=VERTEX_POINT('',#45411); #14037=VERTEX_POINT('',#45413); #14038=VERTEX_POINT('',#45417); #14039=VERTEX_POINT('',#45419); #14040=VERTEX_POINT('',#45427); #14041=VERTEX_POINT('',#45428); #14042=VERTEX_POINT('',#45430); #14043=VERTEX_POINT('',#45432); #14044=VERTEX_POINT('',#45434); #14045=VERTEX_POINT('',#45436); #14046=VERTEX_POINT('',#45438); #14047=VERTEX_POINT('',#45440); #14048=VERTEX_POINT('',#45442); #14049=VERTEX_POINT('',#45444); #14050=VERTEX_POINT('',#45446); #14051=VERTEX_POINT('',#45448); #14052=VERTEX_POINT('',#45452); #14053=VERTEX_POINT('',#45454); #14054=VERTEX_POINT('',#45458); #14055=VERTEX_POINT('',#45462); #14056=VERTEX_POINT('',#45466); #14057=VERTEX_POINT('',#45470); #14058=VERTEX_POINT('',#45474); #14059=VERTEX_POINT('',#45478); #14060=VERTEX_POINT('',#45482); #14061=VERTEX_POINT('',#45486); #14062=VERTEX_POINT('',#45490); #14063=VERTEX_POINT('',#45494); #14064=VERTEX_POINT('',#45502); #14065=VERTEX_POINT('',#45503); #14066=VERTEX_POINT('',#45505); #14067=VERTEX_POINT('',#45507); #14068=VERTEX_POINT('',#45513); #14069=VERTEX_POINT('',#45514); #14070=VERTEX_POINT('',#45521); #14071=VERTEX_POINT('',#45523); #14072=VERTEX_POINT('',#45529); #14073=VERTEX_POINT('',#45531); #14074=VERTEX_POINT('',#45537); #14075=VERTEX_POINT('',#45538); #14076=VERTEX_POINT('',#45545); #14077=VERTEX_POINT('',#45546); #14078=VERTEX_POINT('',#45553); #14079=VERTEX_POINT('',#45554); #14080=VERTEX_POINT('',#45556); #14081=VERTEX_POINT('',#45570); #14082=VERTEX_POINT('',#45572); #14083=VERTEX_POINT('',#45577); #14084=VERTEX_POINT('',#45583); #14085=VERTEX_POINT('',#45585); #14086=VERTEX_POINT('',#45589); #14087=VERTEX_POINT('',#45591); #14088=VERTEX_POINT('',#45598); #14089=VERTEX_POINT('',#45600); #14090=VERTEX_POINT('',#45602); #14091=VERTEX_POINT('',#45606); #14092=VERTEX_POINT('',#45607); #14093=VERTEX_POINT('',#45612); #14094=VERTEX_POINT('',#45615); #14095=VERTEX_POINT('',#45620); #14096=VERTEX_POINT('',#45621); #14097=VERTEX_POINT('',#45624); #14098=VERTEX_POINT('',#45628); #14099=VERTEX_POINT('',#45629); #14100=VERTEX_POINT('',#45631); #14101=VERTEX_POINT('',#45633); #14102=VERTEX_POINT('',#45635); #14103=VERTEX_POINT('',#45637); #14104=VERTEX_POINT('',#45643); #14105=VERTEX_POINT('',#45644); #14106=VERTEX_POINT('',#45651); #14107=VERTEX_POINT('',#45657); #14108=VERTEX_POINT('',#45663); #14109=VERTEX_POINT('',#45669); #14110=VERTEX_POINT('',#45673); #14111=VERTEX_POINT('',#45683); #14112=VERTEX_POINT('',#45687); #14113=VERTEX_POINT('',#45688); #14114=VERTEX_POINT('',#45690); #14115=VERTEX_POINT('',#45692); #14116=VERTEX_POINT('',#45696); #14117=VERTEX_POINT('',#45698); #14118=VERTEX_POINT('',#45702); #14119=VERTEX_POINT('',#45704); #14120=VERTEX_POINT('',#45708); #14121=VERTEX_POINT('',#45710); #14122=VERTEX_POINT('',#45714); #14123=VERTEX_POINT('',#45716); #14124=VERTEX_POINT('',#45724); #14125=VERTEX_POINT('',#45726); #14126=VERTEX_POINT('',#45728); #14127=VERTEX_POINT('',#45732); #14128=VERTEX_POINT('',#45733); #14129=VERTEX_POINT('',#45738); #14130=VERTEX_POINT('',#45740); #14131=VERTEX_POINT('',#45744); #14132=VERTEX_POINT('',#45748); #14133=VERTEX_POINT('',#45752); #14134=VERTEX_POINT('',#45757); #14135=VERTEX_POINT('',#45758); #14136=VERTEX_POINT('',#45761); #14137=VERTEX_POINT('',#45765); #14138=VERTEX_POINT('',#45769); #14139=VERTEX_POINT('',#45772); #14140=VERTEX_POINT('',#45774); #14141=VERTEX_POINT('',#45778); #14142=VERTEX_POINT('',#45779); #14143=VERTEX_POINT('',#45784); #14144=VERTEX_POINT('',#45786); #14145=VERTEX_POINT('',#45790); #14146=VERTEX_POINT('',#45794); #14147=VERTEX_POINT('',#45801); #14148=VERTEX_POINT('',#45802); #14149=VERTEX_POINT('',#45804); #14150=VERTEX_POINT('',#45806); #14151=VERTEX_POINT('',#45810); #14152=VERTEX_POINT('',#45812); #14153=VERTEX_POINT('',#45816); #14154=VERTEX_POINT('',#45818); #14155=VERTEX_POINT('',#45828); #14156=VERTEX_POINT('',#45829); #14157=VERTEX_POINT('',#45833); #14158=VERTEX_POINT('',#45835); #14159=VERTEX_POINT('',#45839); #14160=VERTEX_POINT('',#45841); #14161=VERTEX_POINT('',#45847); #14162=VERTEX_POINT('',#45849); #14163=VERTEX_POINT('',#45851); #14164=VERTEX_POINT('',#45853); #14165=VERTEX_POINT('',#45856); #14166=VERTEX_POINT('',#45858); #14167=VERTEX_POINT('',#45862); #14168=VERTEX_POINT('',#45868); #14169=VERTEX_POINT('',#45878); #14170=VERTEX_POINT('',#45881); #14171=VERTEX_POINT('',#45885); #14172=VERTEX_POINT('',#45888); #14173=VERTEX_POINT('',#45890); #14174=VERTEX_POINT('',#45895); #14175=VERTEX_POINT('',#45897); #14176=VERTEX_POINT('',#45905); #14177=VERTEX_POINT('',#45907); #14178=VERTEX_POINT('',#45911); #14179=VERTEX_POINT('',#45913); #14180=VERTEX_POINT('',#45917); #14181=VERTEX_POINT('',#45919); #14182=VERTEX_POINT('',#45923); #14183=VERTEX_POINT('',#45925); #14184=VERTEX_POINT('',#45929); #14185=VERTEX_POINT('',#45930); #14186=VERTEX_POINT('',#45932); #14187=VERTEX_POINT('',#45934); #14188=VERTEX_POINT('',#45936); #14189=VERTEX_POINT('',#45938); #14190=VERTEX_POINT('',#45940); #14191=VERTEX_POINT('',#45942); #14192=VERTEX_POINT('',#45944); #14193=VERTEX_POINT('',#45946); #14194=VERTEX_POINT('',#45948); #14195=VERTEX_POINT('',#45950); #14196=VERTEX_POINT('',#45952); #14197=VERTEX_POINT('',#45956); #14198=VERTEX_POINT('',#45958); #14199=VERTEX_POINT('',#45962); #14200=VERTEX_POINT('',#45966); #14201=VERTEX_POINT('',#45970); #14202=VERTEX_POINT('',#45974); #14203=VERTEX_POINT('',#45978); #14204=VERTEX_POINT('',#45982); #14205=VERTEX_POINT('',#45986); #14206=VERTEX_POINT('',#45990); #14207=VERTEX_POINT('',#45994); #14208=VERTEX_POINT('',#45998); #14209=VERTEX_POINT('',#46002); #14210=VERTEX_POINT('',#46010); #14211=VERTEX_POINT('',#46012); #14212=VERTEX_POINT('',#46016); #14213=VERTEX_POINT('',#46018); #14214=VERTEX_POINT('',#46022); #14215=VERTEX_POINT('',#46024); #14216=VERTEX_POINT('',#46028); #14217=VERTEX_POINT('',#46030); #14218=VERTEX_POINT('',#46034); #14219=VERTEX_POINT('',#46035); #14220=VERTEX_POINT('',#46037); #14221=VERTEX_POINT('',#46039); #14222=VERTEX_POINT('',#46041); #14223=VERTEX_POINT('',#46043); #14224=VERTEX_POINT('',#46045); #14225=VERTEX_POINT('',#46047); #14226=VERTEX_POINT('',#46049); #14227=VERTEX_POINT('',#46051); #14228=VERTEX_POINT('',#46053); #14229=VERTEX_POINT('',#46055); #14230=VERTEX_POINT('',#46057); #14231=VERTEX_POINT('',#46061); #14232=VERTEX_POINT('',#46063); #14233=VERTEX_POINT('',#46067); #14234=VERTEX_POINT('',#46071); #14235=VERTEX_POINT('',#46075); #14236=VERTEX_POINT('',#46079); #14237=VERTEX_POINT('',#46083); #14238=VERTEX_POINT('',#46087); #14239=VERTEX_POINT('',#46091); #14240=VERTEX_POINT('',#46095); #14241=VERTEX_POINT('',#46099); #14242=VERTEX_POINT('',#46103); #14243=VERTEX_POINT('',#46107); #14244=VERTEX_POINT('',#46115); #14245=VERTEX_POINT('',#46117); #14246=VERTEX_POINT('',#46119); #14247=VERTEX_POINT('',#46123); #14248=VERTEX_POINT('',#46124); #14249=VERTEX_POINT('',#46129); #14250=VERTEX_POINT('',#46132); #14251=VERTEX_POINT('',#46137); #14252=VERTEX_POINT('',#46138); #14253=VERTEX_POINT('',#46141); #14254=VERTEX_POINT('',#46145); #14255=VERTEX_POINT('',#46146); #14256=VERTEX_POINT('',#46148); #14257=VERTEX_POINT('',#46150); #14258=VERTEX_POINT('',#46152); #14259=VERTEX_POINT('',#46154); #14260=VERTEX_POINT('',#46160); #14261=VERTEX_POINT('',#46161); #14262=VERTEX_POINT('',#46168); #14263=VERTEX_POINT('',#46174); #14264=VERTEX_POINT('',#46180); #14265=VERTEX_POINT('',#46186); #14266=VERTEX_POINT('',#46190); #14267=VERTEX_POINT('',#46200); #14268=VERTEX_POINT('',#46204); #14269=VERTEX_POINT('',#46205); #14270=VERTEX_POINT('',#46216); #14271=VERTEX_POINT('',#46218); #14272=VERTEX_POINT('',#46231); #14273=VERTEX_POINT('',#46233); #14274=VERTEX_POINT('',#46235); #14275=VERTEX_POINT('',#46237); #14276=VERTEX_POINT('',#46241); #14277=VERTEX_POINT('',#46243); #14278=VERTEX_POINT('',#46254); #14279=VERTEX_POINT('',#46258); #14280=VERTEX_POINT('',#46259); #14281=VERTEX_POINT('',#46270); #14282=VERTEX_POINT('',#46272); #14283=VERTEX_POINT('',#46285); #14284=VERTEX_POINT('',#46287); #14285=VERTEX_POINT('',#46291); #14286=VERTEX_POINT('',#46293); #14287=VERTEX_POINT('',#46295); #14288=VERTEX_POINT('',#46308); #14289=VERTEX_POINT('',#46310); #14290=VERTEX_POINT('',#46323); #14291=VERTEX_POINT('',#46325); #14292=VERTEX_POINT('',#46327); #14293=VERTEX_POINT('',#46329); #14294=VERTEX_POINT('',#46331); #14295=VERTEX_POINT('',#46333); #14296=VERTEX_POINT('',#46335); #14297=VERTEX_POINT('',#46339); #14298=VERTEX_POINT('',#46352); #14299=VERTEX_POINT('',#46354); #14300=VERTEX_POINT('',#46356); #14301=VERTEX_POINT('',#46358); #14302=VERTEX_POINT('',#46360); #14303=VERTEX_POINT('',#46362); #14304=VERTEX_POINT('',#46364); #14305=VERTEX_POINT('',#46368); #14306=VERTEX_POINT('',#46370); #14307=VERTEX_POINT('',#46374); #14308=VERTEX_POINT('',#46376); #14309=VERTEX_POINT('',#46380); #14310=VERTEX_POINT('',#46382); #14311=VERTEX_POINT('',#46389); #14312=VERTEX_POINT('',#46390); #14313=VERTEX_POINT('',#46392); #14314=VERTEX_POINT('',#46404); #14315=VERTEX_POINT('',#46416); #14316=VERTEX_POINT('',#46427); #14317=VERTEX_POINT('',#46429); #14318=VERTEX_POINT('',#46431); #14319=VERTEX_POINT('',#46446); #14320=VERTEX_POINT('',#46467); #14321=VERTEX_POINT('',#46469); #14322=VERTEX_POINT('',#46473); #14323=VERTEX_POINT('',#46475); #14324=VERTEX_POINT('',#46479); #14325=VERTEX_POINT('',#46481); #14326=VERTEX_POINT('',#46483); #14327=VERTEX_POINT('',#46494); #14328=VERTEX_POINT('',#46501); #14329=VERTEX_POINT('',#46512); #14330=VERTEX_POINT('',#46516); #14331=VERTEX_POINT('',#46518); #14332=VERTEX_POINT('',#46520); #14333=VERTEX_POINT('',#46531); #14334=VERTEX_POINT('',#46533); #14335=VERTEX_POINT('',#46535); #14336=VERTEX_POINT('',#46538); #14337=VERTEX_POINT('',#46540); #14338=VERTEX_POINT('',#46542); #14339=VERTEX_POINT('',#46544); #14340=VERTEX_POINT('',#46557); #14341=VERTEX_POINT('',#46559); #14342=VERTEX_POINT('',#46561); #14343=VERTEX_POINT('',#46563); #14344=VERTEX_POINT('',#46567); #14345=VERTEX_POINT('',#46571); #14346=VERTEX_POINT('',#46575); #14347=VERTEX_POINT('',#46581); #14348=VERTEX_POINT('',#46582); #14349=VERTEX_POINT('',#46584); #14350=VERTEX_POINT('',#46586); #14351=VERTEX_POINT('',#46588); #14352=VERTEX_POINT('',#46592); #14353=VERTEX_POINT('',#46596); #14354=VERTEX_POINT('',#46600); #14355=VERTEX_POINT('',#46602); #14356=VERTEX_POINT('',#46604); #14357=VERTEX_POINT('',#46606); #14358=VERTEX_POINT('',#46608); #14359=VERTEX_POINT('',#46610); #14360=VERTEX_POINT('',#46612); #14361=VERTEX_POINT('',#46614); #14362=VERTEX_POINT('',#46616); #14363=VERTEX_POINT('',#46618); #14364=VERTEX_POINT('',#46620); #14365=VERTEX_POINT('',#46622); #14366=VERTEX_POINT('',#46624); #14367=VERTEX_POINT('',#46627); #14368=VERTEX_POINT('',#46629); #14369=VERTEX_POINT('',#46631); #14370=VERTEX_POINT('',#46634); #14371=VERTEX_POINT('',#46636); #14372=VERTEX_POINT('',#46640); #14373=VERTEX_POINT('',#46642); #14374=VERTEX_POINT('',#46644); #14375=VERTEX_POINT('',#46646); #14376=VERTEX_POINT('',#46648); #14377=VERTEX_POINT('',#46652); #14378=VERTEX_POINT('',#46657); #14379=VERTEX_POINT('',#46660); #14380=VERTEX_POINT('',#46665); #14381=VERTEX_POINT('',#46667); #14382=VERTEX_POINT('',#46669); #14383=VERTEX_POINT('',#46674); #14384=VERTEX_POINT('',#46678); #14385=VERTEX_POINT('',#46679); #14386=VERTEX_POINT('',#46681); #14387=VERTEX_POINT('',#46683); #14388=VERTEX_POINT('',#46685); #14389=VERTEX_POINT('',#46689); #14390=VERTEX_POINT('',#46693); #14391=VERTEX_POINT('',#46696); #14392=VERTEX_POINT('',#46700); #14393=VERTEX_POINT('',#46711); #14394=VERTEX_POINT('',#46717); #14395=VERTEX_POINT('',#46721); #14396=VERTEX_POINT('',#46725); #14397=VERTEX_POINT('',#46729); #14398=VERTEX_POINT('',#46733); #14399=VERTEX_POINT('',#46737); #14400=VERTEX_POINT('',#46747); #14401=VERTEX_POINT('',#46749); #14402=VERTEX_POINT('',#46753); #14403=VERTEX_POINT('',#46755); #14404=VERTEX_POINT('',#46759); #14405=VERTEX_POINT('',#46761); #14406=VERTEX_POINT('',#46765); #14407=VERTEX_POINT('',#46767); #14408=VERTEX_POINT('',#46771); #14409=VERTEX_POINT('',#46772); #14410=VERTEX_POINT('',#46774); #14411=VERTEX_POINT('',#46776); #14412=VERTEX_POINT('',#46778); #14413=VERTEX_POINT('',#46780); #14414=VERTEX_POINT('',#46782); #14415=VERTEX_POINT('',#46784); #14416=VERTEX_POINT('',#46786); #14417=VERTEX_POINT('',#46788); #14418=VERTEX_POINT('',#46790); #14419=VERTEX_POINT('',#46792); #14420=VERTEX_POINT('',#46794); #14421=VERTEX_POINT('',#46798); #14422=VERTEX_POINT('',#46800); #14423=VERTEX_POINT('',#46804); #14424=VERTEX_POINT('',#46808); #14425=VERTEX_POINT('',#46812); #14426=VERTEX_POINT('',#46816); #14427=VERTEX_POINT('',#46820); #14428=VERTEX_POINT('',#46824); #14429=VERTEX_POINT('',#46828); #14430=VERTEX_POINT('',#46832); #14431=VERTEX_POINT('',#46836); #14432=VERTEX_POINT('',#46840); #14433=VERTEX_POINT('',#46844); #14434=VERTEX_POINT('',#46852); #14435=VERTEX_POINT('',#46853); #14436=VERTEX_POINT('',#46855); #14437=VERTEX_POINT('',#46857); #14438=VERTEX_POINT('',#46861); #14439=VERTEX_POINT('',#46863); #14440=VERTEX_POINT('',#46867); #14441=VERTEX_POINT('',#46869); #14442=VERTEX_POINT('',#46877); #14443=VERTEX_POINT('',#46878); #14444=VERTEX_POINT('',#46880); #14445=VERTEX_POINT('',#46882); #14446=VERTEX_POINT('',#46886); #14447=VERTEX_POINT('',#46888); #14448=VERTEX_POINT('',#46892); #14449=VERTEX_POINT('',#46894); #14450=VERTEX_POINT('',#46898); #14451=VERTEX_POINT('',#46900); #14452=VERTEX_POINT('',#46904); #14453=VERTEX_POINT('',#46906); #14454=VERTEX_POINT('',#46910); #14455=VERTEX_POINT('',#46912); #14456=VERTEX_POINT('',#46916); #14457=VERTEX_POINT('',#46918); #14458=VERTEX_POINT('',#46922); #14459=VERTEX_POINT('',#46924); #14460=VERTEX_POINT('',#46928); #14461=VERTEX_POINT('',#46930); #14462=VERTEX_POINT('',#46934); #14463=VERTEX_POINT('',#46936); #14464=VERTEX_POINT('',#46940); #14465=VERTEX_POINT('',#46942); #14466=VERTEX_POINT('',#46946); #14467=VERTEX_POINT('',#46948); #14468=VERTEX_POINT('',#46952); #14469=VERTEX_POINT('',#46954); #14470=VERTEX_POINT('',#46958); #14471=VERTEX_POINT('',#46960); #14472=VERTEX_POINT('',#46964); #14473=VERTEX_POINT('',#46966); #14474=VERTEX_POINT('',#46970); #14475=VERTEX_POINT('',#46972); #14476=VERTEX_POINT('',#46976); #14477=VERTEX_POINT('',#46978); #14478=VERTEX_POINT('',#46982); #14479=VERTEX_POINT('',#46984); #14480=VERTEX_POINT('',#46988); #14481=VERTEX_POINT('',#46990); #14482=VERTEX_POINT('',#46994); #14483=VERTEX_POINT('',#46996); #14484=VERTEX_POINT('',#47000); #14485=VERTEX_POINT('',#47002); #14486=VERTEX_POINT('',#47006); #14487=VERTEX_POINT('',#47008); #14488=VERTEX_POINT('',#47012); #14489=VERTEX_POINT('',#47014); #14490=VERTEX_POINT('',#47018); #14491=VERTEX_POINT('',#47020); #14492=VERTEX_POINT('',#47024); #14493=VERTEX_POINT('',#47026); #14494=VERTEX_POINT('',#47030); #14495=VERTEX_POINT('',#47032); #14496=VERTEX_POINT('',#47036); #14497=VERTEX_POINT('',#47038); #14498=VERTEX_POINT('',#47042); #14499=VERTEX_POINT('',#47044); #14500=VERTEX_POINT('',#47048); #14501=VERTEX_POINT('',#47050); #14502=VERTEX_POINT('',#47054); #14503=VERTEX_POINT('',#47056); #14504=VERTEX_POINT('',#47060); #14505=VERTEX_POINT('',#47062); #14506=VERTEX_POINT('',#47066); #14507=VERTEX_POINT('',#47068); #14508=VERTEX_POINT('',#47072); #14509=VERTEX_POINT('',#47074); #14510=VERTEX_POINT('',#47078); #14511=VERTEX_POINT('',#47079); #14512=VERTEX_POINT('',#47081); #14513=VERTEX_POINT('',#47083); #14514=VERTEX_POINT('',#47085); #14515=VERTEX_POINT('',#47087); #14516=VERTEX_POINT('',#47089); #14517=VERTEX_POINT('',#47091); #14518=VERTEX_POINT('',#47093); #14519=VERTEX_POINT('',#47095); #14520=VERTEX_POINT('',#47097); #14521=VERTEX_POINT('',#47100); #14522=VERTEX_POINT('',#47102); #14523=VERTEX_POINT('',#47104); #14524=VERTEX_POINT('',#47106); #14525=VERTEX_POINT('',#47108); #14526=VERTEX_POINT('',#47110); #14527=VERTEX_POINT('',#47112); #14528=VERTEX_POINT('',#47114); #14529=VERTEX_POINT('',#47116); #14530=VERTEX_POINT('',#47118); #14531=VERTEX_POINT('',#47120); #14532=VERTEX_POINT('',#47122); #14533=VERTEX_POINT('',#47124); #14534=VERTEX_POINT('',#47126); #14535=VERTEX_POINT('',#47128); #14536=VERTEX_POINT('',#47130); #14537=VERTEX_POINT('',#47132); #14538=VERTEX_POINT('',#47134); #14539=VERTEX_POINT('',#47136); #14540=VERTEX_POINT('',#47138); #14541=VERTEX_POINT('',#47140); #14542=VERTEX_POINT('',#47142); #14543=VERTEX_POINT('',#47146); #14544=VERTEX_POINT('',#47150); #14545=VERTEX_POINT('',#47154); #14546=VERTEX_POINT('',#47158); #14547=VERTEX_POINT('',#47162); #14548=VERTEX_POINT('',#47166); #14549=VERTEX_POINT('',#47170); #14550=VERTEX_POINT('',#47174); #14551=VERTEX_POINT('',#47178); #14552=VERTEX_POINT('',#47182); #14553=VERTEX_POINT('',#47186); #14554=VERTEX_POINT('',#47190); #14555=VERTEX_POINT('',#47194); #14556=VERTEX_POINT('',#47198); #14557=VERTEX_POINT('',#47202); #14558=VERTEX_POINT('',#47206); #14559=VERTEX_POINT('',#47210); #14560=VERTEX_POINT('',#47214); #14561=VERTEX_POINT('',#47218); #14562=VERTEX_POINT('',#47222); #14563=VERTEX_POINT('',#47226); #14564=VERTEX_POINT('',#47230); #14565=VERTEX_POINT('',#47234); #14566=VERTEX_POINT('',#47238); #14567=VERTEX_POINT('',#47242); #14568=VERTEX_POINT('',#47246); #14569=VERTEX_POINT('',#47250); #14570=VERTEX_POINT('',#47254); #14571=VERTEX_POINT('',#47258); #14572=VERTEX_POINT('',#47262); #14573=VERTEX_POINT('',#47266); #14574=VERTEX_POINT('',#47270); #14575=VERTEX_POINT('',#47274); #14576=VERTEX_POINT('',#47280); #14577=VERTEX_POINT('',#47281); #14578=VERTEX_POINT('',#47283); #14579=VERTEX_POINT('',#47285); #14580=VERTEX_POINT('',#47289); #14581=VERTEX_POINT('',#47290); #14582=VERTEX_POINT('',#47292); #14583=VERTEX_POINT('',#47294); #14584=VERTEX_POINT('',#47298); #14585=VERTEX_POINT('',#47300); #14586=VERTEX_POINT('',#47304); #14587=VERTEX_POINT('',#47306); #14588=VERTEX_POINT('',#47310); #14589=VERTEX_POINT('',#47312); #14590=VERTEX_POINT('',#47316); #14591=VERTEX_POINT('',#47318); #14592=VERTEX_POINT('',#47322); #14593=VERTEX_POINT('',#47324); #14594=VERTEX_POINT('',#47331); #14595=VERTEX_POINT('',#47333); #14596=VERTEX_POINT('',#47337); #14597=VERTEX_POINT('',#47339); #14598=VERTEX_POINT('',#47343); #14599=VERTEX_POINT('',#47345); #14600=VERTEX_POINT('',#47349); #14601=VERTEX_POINT('',#47351); #14602=VERTEX_POINT('',#47355); #14603=VERTEX_POINT('',#47357); #14604=VERTEX_POINT('',#47365); #14605=VERTEX_POINT('',#47366); #14606=VERTEX_POINT('',#47368); #14607=VERTEX_POINT('',#47370); #14608=VERTEX_POINT('',#47374); #14609=VERTEX_POINT('',#47376); #14610=VERTEX_POINT('',#47380); #14611=VERTEX_POINT('',#47382); #14612=VERTEX_POINT('',#47386); #14613=VERTEX_POINT('',#47388); #14614=VERTEX_POINT('',#47392); #14615=VERTEX_POINT('',#47394); #14616=VERTEX_POINT('',#47398); #14617=VERTEX_POINT('',#47400); #14618=VERTEX_POINT('',#47404); #14619=VERTEX_POINT('',#47406); #14620=VERTEX_POINT('',#47410); #14621=VERTEX_POINT('',#47412); #14622=VERTEX_POINT('',#47416); #14623=VERTEX_POINT('',#47418); #14624=VERTEX_POINT('',#47422); #14625=VERTEX_POINT('',#47424); #14626=VERTEX_POINT('',#47428); #14627=VERTEX_POINT('',#47430); #14628=VERTEX_POINT('',#47434); #14629=VERTEX_POINT('',#47436); #14630=VERTEX_POINT('',#47440); #14631=VERTEX_POINT('',#47442); #14632=VERTEX_POINT('',#47446); #14633=VERTEX_POINT('',#47448); #14634=VERTEX_POINT('',#47456); #14635=VERTEX_POINT('',#47457); #14636=VERTEX_POINT('',#47459); #14637=VERTEX_POINT('',#47461); #14638=VERTEX_POINT('',#47465); #14639=VERTEX_POINT('',#47467); #14640=VERTEX_POINT('',#47471); #14641=VERTEX_POINT('',#47473); #14642=VERTEX_POINT('',#47477); #14643=VERTEX_POINT('',#47479); #14644=VERTEX_POINT('',#47483); #14645=VERTEX_POINT('',#47485); #14646=VERTEX_POINT('',#47489); #14647=VERTEX_POINT('',#47491); #14648=VERTEX_POINT('',#47495); #14649=VERTEX_POINT('',#47497); #14650=VERTEX_POINT('',#47501); #14651=VERTEX_POINT('',#47503); #14652=VERTEX_POINT('',#47507); #14653=VERTEX_POINT('',#47509); #14654=VERTEX_POINT('',#47513); #14655=VERTEX_POINT('',#47515); #14656=VERTEX_POINT('',#47519); #14657=VERTEX_POINT('',#47521); #14658=VERTEX_POINT('',#47525); #14659=VERTEX_POINT('',#47527); #14660=VERTEX_POINT('',#47531); #14661=VERTEX_POINT('',#47533); #14662=VERTEX_POINT('',#47537); #14663=VERTEX_POINT('',#47539); #14664=VERTEX_POINT('',#47543); #14665=VERTEX_POINT('',#47545); #14666=VERTEX_POINT('',#47549); #14667=VERTEX_POINT('',#47551); #14668=VERTEX_POINT('',#47555); #14669=VERTEX_POINT('',#47557); #14670=VERTEX_POINT('',#47561); #14671=VERTEX_POINT('',#47563); #14672=VERTEX_POINT('',#47567); #14673=VERTEX_POINT('',#47569); #14674=VERTEX_POINT('',#47573); #14675=VERTEX_POINT('',#47575); #14676=VERTEX_POINT('',#47579); #14677=VERTEX_POINT('',#47581); #14678=VERTEX_POINT('',#47585); #14679=VERTEX_POINT('',#47587); #14680=VERTEX_POINT('',#47591); #14681=VERTEX_POINT('',#47593); #14682=VERTEX_POINT('',#47597); #14683=VERTEX_POINT('',#47599); #14684=VERTEX_POINT('',#47603); #14685=VERTEX_POINT('',#47605); #14686=VERTEX_POINT('',#47609); #14687=VERTEX_POINT('',#47611); #14688=VERTEX_POINT('',#47615); #14689=VERTEX_POINT('',#47617); #14690=VERTEX_POINT('',#47621); #14691=VERTEX_POINT('',#47622); #14692=VERTEX_POINT('',#47624); #14693=VERTEX_POINT('',#47626); #14694=VERTEX_POINT('',#47630); #14695=VERTEX_POINT('',#47632); #14696=VERTEX_POINT('',#47636); #14697=VERTEX_POINT('',#47638); #14698=VERTEX_POINT('',#47642); #14699=VERTEX_POINT('',#47644); #14700=VERTEX_POINT('',#47648); #14701=VERTEX_POINT('',#47650); #14702=VERTEX_POINT('',#47654); #14703=VERTEX_POINT('',#47656); #14704=VERTEX_POINT('',#47660); #14705=VERTEX_POINT('',#47662); #14706=VERTEX_POINT('',#47666); #14707=VERTEX_POINT('',#47668); #14708=VERTEX_POINT('',#47672); #14709=VERTEX_POINT('',#47674); #14710=VERTEX_POINT('',#47678); #14711=VERTEX_POINT('',#47680); #14712=VERTEX_POINT('',#47684); #14713=VERTEX_POINT('',#47686); #14714=VERTEX_POINT('',#47690); #14715=VERTEX_POINT('',#47692); #14716=VERTEX_POINT('',#47696); #14717=VERTEX_POINT('',#47698); #14718=VERTEX_POINT('',#47702); #14719=VERTEX_POINT('',#47704); #14720=VERTEX_POINT('',#47708); #14721=VERTEX_POINT('',#47710); #14722=VERTEX_POINT('',#47714); #14723=VERTEX_POINT('',#47716); #14724=VERTEX_POINT('',#47720); #14725=VERTEX_POINT('',#47722); #14726=VERTEX_POINT('',#47726); #14727=VERTEX_POINT('',#47728); #14728=VERTEX_POINT('',#47732); #14729=VERTEX_POINT('',#47734); #14730=VERTEX_POINT('',#47738); #14731=VERTEX_POINT('',#47740); #14732=VERTEX_POINT('',#47744); #14733=VERTEX_POINT('',#47746); #14734=VERTEX_POINT('',#47750); #14735=VERTEX_POINT('',#47752); #14736=VERTEX_POINT('',#47756); #14737=VERTEX_POINT('',#47758); #14738=VERTEX_POINT('',#47762); #14739=VERTEX_POINT('',#47764); #14740=VERTEX_POINT('',#47768); #14741=VERTEX_POINT('',#47770); #14742=VERTEX_POINT('',#47774); #14743=VERTEX_POINT('',#47776); #14744=VERTEX_POINT('',#47780); #14745=VERTEX_POINT('',#47782); #14746=VERTEX_POINT('',#47786); #14747=VERTEX_POINT('',#47788); #14748=VERTEX_POINT('',#47792); #14749=VERTEX_POINT('',#47794); #14750=VERTEX_POINT('',#47798); #14751=VERTEX_POINT('',#47800); #14752=VERTEX_POINT('',#47804); #14753=VERTEX_POINT('',#47806); #14754=VERTEX_POINT('',#47813); #14755=VERTEX_POINT('',#47815); #14756=VERTEX_POINT('',#47819); #14757=VERTEX_POINT('',#47821); #14758=VERTEX_POINT('',#47825); #14759=VERTEX_POINT('',#47827); #14760=VERTEX_POINT('',#47831); #14761=VERTEX_POINT('',#47833); #14762=VERTEX_POINT('',#47837); #14763=VERTEX_POINT('',#47839); #14764=VERTEX_POINT('',#47843); #14765=VERTEX_POINT('',#47845); #14766=VERTEX_POINT('',#47849); #14767=VERTEX_POINT('',#47851); #14768=VERTEX_POINT('',#47855); #14769=VERTEX_POINT('',#47857); #14770=VERTEX_POINT('',#47861); #14771=VERTEX_POINT('',#47863); #14772=VERTEX_POINT('',#47867); #14773=VERTEX_POINT('',#47869); #14774=VERTEX_POINT('',#47877); #14775=VERTEX_POINT('',#47878); #14776=VERTEX_POINT('',#47880); #14777=VERTEX_POINT('',#47882); #14778=VERTEX_POINT('',#47886); #14779=VERTEX_POINT('',#47888); #14780=VERTEX_POINT('',#47892); #14781=VERTEX_POINT('',#47894); #14782=VERTEX_POINT('',#47898); #14783=VERTEX_POINT('',#47900); #14784=VERTEX_POINT('',#47904); #14785=VERTEX_POINT('',#47906); #14786=VERTEX_POINT('',#47910); #14787=VERTEX_POINT('',#47912); #14788=VERTEX_POINT('',#47916); #14789=VERTEX_POINT('',#47918); #14790=VERTEX_POINT('',#47922); #14791=VERTEX_POINT('',#47924); #14792=VERTEX_POINT('',#47928); #14793=VERTEX_POINT('',#47930); #14794=VERTEX_POINT('',#47934); #14795=VERTEX_POINT('',#47936); #14796=VERTEX_POINT('',#47940); #14797=VERTEX_POINT('',#47942); #14798=VERTEX_POINT('',#47946); #14799=VERTEX_POINT('',#47948); #14800=VERTEX_POINT('',#47952); #14801=VERTEX_POINT('',#47954); #14802=VERTEX_POINT('',#47958); #14803=VERTEX_POINT('',#47960); #14804=VERTEX_POINT('',#47964); #14805=VERTEX_POINT('',#47966); #14806=VERTEX_POINT('',#47970); #14807=VERTEX_POINT('',#47972); #14808=VERTEX_POINT('',#47976); #14809=VERTEX_POINT('',#47978); #14810=VERTEX_POINT('',#47982); #14811=VERTEX_POINT('',#47984); #14812=VERTEX_POINT('',#47988); #14813=VERTEX_POINT('',#47990); #14814=VERTEX_POINT('',#47994); #14815=VERTEX_POINT('',#47996); #14816=VERTEX_POINT('',#48000); #14817=VERTEX_POINT('',#48002); #14818=VERTEX_POINT('',#48006); #14819=VERTEX_POINT('',#48008); #14820=VERTEX_POINT('',#48012); #14821=VERTEX_POINT('',#48014); #14822=VERTEX_POINT('',#48018); #14823=VERTEX_POINT('',#48020); #14824=VERTEX_POINT('',#48024); #14825=VERTEX_POINT('',#48026); #14826=VERTEX_POINT('',#48030); #14827=VERTEX_POINT('',#48032); #14828=VERTEX_POINT('',#48036); #14829=VERTEX_POINT('',#48038); #14830=VERTEX_POINT('',#48042); #14831=VERTEX_POINT('',#48044); #14832=VERTEX_POINT('',#48048); #14833=VERTEX_POINT('',#48050); #14834=VERTEX_POINT('',#48054); #14835=VERTEX_POINT('',#48056); #14836=VERTEX_POINT('',#48060); #14837=VERTEX_POINT('',#48062); #14838=VERTEX_POINT('',#48066); #14839=VERTEX_POINT('',#48068); #14840=VERTEX_POINT('',#48072); #14841=VERTEX_POINT('',#48074); #14842=VERTEX_POINT('',#48078); #14843=VERTEX_POINT('',#48080); #14844=VERTEX_POINT('',#48084); #14845=VERTEX_POINT('',#48086); #14846=VERTEX_POINT('',#48090); #14847=VERTEX_POINT('',#48092); #14848=VERTEX_POINT('',#48096); #14849=VERTEX_POINT('',#48098); #14850=VERTEX_POINT('',#48102); #14851=VERTEX_POINT('',#48104); #14852=VERTEX_POINT('',#48108); #14853=VERTEX_POINT('',#48110); #14854=VERTEX_POINT('',#48114); #14855=VERTEX_POINT('',#48116); #14856=VERTEX_POINT('',#48120); #14857=VERTEX_POINT('',#48122); #14858=VERTEX_POINT('',#48126); #14859=VERTEX_POINT('',#48128); #14860=VERTEX_POINT('',#48132); #14861=VERTEX_POINT('',#48134); #14862=VERTEX_POINT('',#48138); #14863=VERTEX_POINT('',#48140); #14864=VERTEX_POINT('',#48144); #14865=VERTEX_POINT('',#48146); #14866=VERTEX_POINT('',#48150); #14867=VERTEX_POINT('',#48152); #14868=VERTEX_POINT('',#48156); #14869=VERTEX_POINT('',#48158); #14870=VERTEX_POINT('',#48162); #14871=VERTEX_POINT('',#48164); #14872=VERTEX_POINT('',#48168); #14873=VERTEX_POINT('',#48170); #14874=VERTEX_POINT('',#48178); #14875=VERTEX_POINT('',#48179); #14876=VERTEX_POINT('',#48181); #14877=VERTEX_POINT('',#48183); #14878=VERTEX_POINT('',#48187); #14879=VERTEX_POINT('',#48189); #14880=VERTEX_POINT('',#48193); #14881=VERTEX_POINT('',#48195); #14882=VERTEX_POINT('',#48199); #14883=VERTEX_POINT('',#48201); #14884=VERTEX_POINT('',#48205); #14885=VERTEX_POINT('',#48207); #14886=VERTEX_POINT('',#48211); #14887=VERTEX_POINT('',#48213); #14888=VERTEX_POINT('',#48217); #14889=VERTEX_POINT('',#48219); #14890=VERTEX_POINT('',#48223); #14891=VERTEX_POINT('',#48225); #14892=VERTEX_POINT('',#48229); #14893=VERTEX_POINT('',#48230); #14894=VERTEX_POINT('',#48232); #14895=VERTEX_POINT('',#48234); #14896=VERTEX_POINT('',#48238); #14897=VERTEX_POINT('',#48240); #14898=VERTEX_POINT('',#48244); #14899=VERTEX_POINT('',#48246); #14900=VERTEX_POINT('',#48250); #14901=VERTEX_POINT('',#48252); #14902=VERTEX_POINT('',#48256); #14903=VERTEX_POINT('',#48258); #14904=VERTEX_POINT('',#48262); #14905=VERTEX_POINT('',#48264); #14906=VERTEX_POINT('',#48268); #14907=VERTEX_POINT('',#48270); #14908=VERTEX_POINT('',#48274); #14909=VERTEX_POINT('',#48276); #14910=VERTEX_POINT('',#48280); #14911=VERTEX_POINT('',#48281); #14912=VERTEX_POINT('',#48283); #14913=VERTEX_POINT('',#48285); #14914=VERTEX_POINT('',#48289); #14915=VERTEX_POINT('',#48291); #14916=VERTEX_POINT('',#48295); #14917=VERTEX_POINT('',#48297); #14918=VERTEX_POINT('',#48301); #14919=VERTEX_POINT('',#48303); #14920=VERTEX_POINT('',#48307); #14921=VERTEX_POINT('',#48309); #14922=VERTEX_POINT('',#48313); #14923=VERTEX_POINT('',#48315); #14924=VERTEX_POINT('',#48319); #14925=VERTEX_POINT('',#48321); #14926=VERTEX_POINT('',#48325); #14927=VERTEX_POINT('',#48327); #14928=VERTEX_POINT('',#48331); #14929=VERTEX_POINT('',#48333); #14930=VERTEX_POINT('',#48337); #14931=VERTEX_POINT('',#48339); #14932=VERTEX_POINT('',#48343); #14933=VERTEX_POINT('',#48345); #14934=VERTEX_POINT('',#48349); #14935=VERTEX_POINT('',#48351); #14936=VERTEX_POINT('',#48355); #14937=VERTEX_POINT('',#48357); #14938=VERTEX_POINT('',#48361); #14939=VERTEX_POINT('',#48363); #14940=VERTEX_POINT('',#48367); #14941=VERTEX_POINT('',#48369); #14942=VERTEX_POINT('',#48373); #14943=VERTEX_POINT('',#48375); #14944=VERTEX_POINT('',#48379); #14945=VERTEX_POINT('',#48381); #14946=VERTEX_POINT('',#48385); #14947=VERTEX_POINT('',#48387); #14948=VERTEX_POINT('',#48391); #14949=VERTEX_POINT('',#48393); #14950=VERTEX_POINT('',#48397); #14951=VERTEX_POINT('',#48399); #14952=VERTEX_POINT('',#48403); #14953=VERTEX_POINT('',#48405); #14954=VERTEX_POINT('',#48409); #14955=VERTEX_POINT('',#48411); #14956=VERTEX_POINT('',#48415); #14957=VERTEX_POINT('',#48417); #14958=VERTEX_POINT('',#48421); #14959=VERTEX_POINT('',#48423); #14960=VERTEX_POINT('',#48427); #14961=VERTEX_POINT('',#48428); #14962=VERTEX_POINT('',#48430); #14963=VERTEX_POINT('',#48432); #14964=VERTEX_POINT('',#48436); #14965=VERTEX_POINT('',#48438); #14966=VERTEX_POINT('',#48442); #14967=VERTEX_POINT('',#48444); #14968=VERTEX_POINT('',#48448); #14969=VERTEX_POINT('',#48450); #14970=VERTEX_POINT('',#48454); #14971=VERTEX_POINT('',#48456); #14972=VERTEX_POINT('',#48460); #14973=VERTEX_POINT('',#48462); #14974=VERTEX_POINT('',#48466); #14975=VERTEX_POINT('',#48468); #14976=VERTEX_POINT('',#48472); #14977=VERTEX_POINT('',#48474); #14978=VERTEX_POINT('',#48478); #14979=VERTEX_POINT('',#48480); #14980=VERTEX_POINT('',#48484); #14981=VERTEX_POINT('',#48486); #14982=VERTEX_POINT('',#48490); #14983=VERTEX_POINT('',#48492); #14984=VERTEX_POINT('',#48496); #14985=VERTEX_POINT('',#48498); #14986=VERTEX_POINT('',#48505); #14987=VERTEX_POINT('',#48507); #14988=VERTEX_POINT('',#48511); #14989=VERTEX_POINT('',#48512); #14990=VERTEX_POINT('',#48514); #14991=VERTEX_POINT('',#48516); #14992=VERTEX_POINT('',#48520); #14993=VERTEX_POINT('',#48522); #14994=VERTEX_POINT('',#48526); #14995=VERTEX_POINT('',#48528); #14996=VERTEX_POINT('',#48532); #14997=VERTEX_POINT('',#48534); #14998=VERTEX_POINT('',#48538); #14999=VERTEX_POINT('',#48540); #15000=VERTEX_POINT('',#48544); #15001=VERTEX_POINT('',#48546); #15002=VERTEX_POINT('',#48550); #15003=VERTEX_POINT('',#48552); #15004=VERTEX_POINT('',#48559); #15005=VERTEX_POINT('',#48561); #15006=VERTEX_POINT('',#48565); #15007=VERTEX_POINT('',#48567); #15008=VERTEX_POINT('',#48571); #15009=VERTEX_POINT('',#48573); #15010=VERTEX_POINT('',#48577); #15011=VERTEX_POINT('',#48579); #15012=VERTEX_POINT('',#48583); #15013=VERTEX_POINT('',#48585); #15014=VERTEX_POINT('',#48589); #15015=VERTEX_POINT('',#48591); #15016=VERTEX_POINT('',#48595); #15017=VERTEX_POINT('',#48597); #15018=VERTEX_POINT('',#48604); #15019=VERTEX_POINT('',#48605); #15020=VERTEX_POINT('',#48607); #15021=VERTEX_POINT('',#48609); #15022=VERTEX_POINT('',#48613); #15023=VERTEX_POINT('',#48615); #15024=VERTEX_POINT('',#48619); #15025=VERTEX_POINT('',#48621); #15026=VERTEX_POINT('',#48628); #15027=VERTEX_POINT('',#48630); #15028=VERTEX_POINT('',#48634); #15029=VERTEX_POINT('',#48636); #15030=VERTEX_POINT('',#48643); #15031=VERTEX_POINT('',#48645); #15032=VERTEX_POINT('',#48649); #15033=VERTEX_POINT('',#48651); #15034=VERTEX_POINT('',#48659); #15035=VERTEX_POINT('',#48660); #15036=VERTEX_POINT('',#48662); #15037=VERTEX_POINT('',#48664); #15038=VERTEX_POINT('',#48668); #15039=VERTEX_POINT('',#48670); #15040=VERTEX_POINT('',#48674); #15041=VERTEX_POINT('',#48676); #15042=VERTEX_POINT('',#48680); #15043=VERTEX_POINT('',#48682); #15044=VERTEX_POINT('',#48686); #15045=VERTEX_POINT('',#48688); #15046=VERTEX_POINT('',#48692); #15047=VERTEX_POINT('',#48694); #15048=VERTEX_POINT('',#48698); #15049=VERTEX_POINT('',#48700); #15050=VERTEX_POINT('',#48704); #15051=VERTEX_POINT('',#48706); #15052=VERTEX_POINT('',#48710); #15053=VERTEX_POINT('',#48712); #15054=VERTEX_POINT('',#48716); #15055=VERTEX_POINT('',#48718); #15056=VERTEX_POINT('',#48722); #15057=VERTEX_POINT('',#48724); #15058=VERTEX_POINT('',#48728); #15059=VERTEX_POINT('',#48730); #15060=VERTEX_POINT('',#48734); #15061=VERTEX_POINT('',#48736); #15062=VERTEX_POINT('',#48740); #15063=VERTEX_POINT('',#48742); #15064=VERTEX_POINT('',#48746); #15065=VERTEX_POINT('',#48748); #15066=VERTEX_POINT('',#48752); #15067=VERTEX_POINT('',#48754); #15068=VERTEX_POINT('',#48758); #15069=VERTEX_POINT('',#48760); #15070=VERTEX_POINT('',#48764); #15071=VERTEX_POINT('',#48766); #15072=VERTEX_POINT('',#48770); #15073=VERTEX_POINT('',#48772); #15074=VERTEX_POINT('',#48776); #15075=VERTEX_POINT('',#48778); #15076=VERTEX_POINT('',#48782); #15077=VERTEX_POINT('',#48783); #15078=VERTEX_POINT('',#48785); #15079=VERTEX_POINT('',#48787); #15080=VERTEX_POINT('',#48791); #15081=VERTEX_POINT('',#48793); #15082=VERTEX_POINT('',#48797); #15083=VERTEX_POINT('',#48799); #15084=VERTEX_POINT('',#48803); #15085=VERTEX_POINT('',#48805); #15086=VERTEX_POINT('',#48809); #15087=VERTEX_POINT('',#48811); #15088=VERTEX_POINT('',#48815); #15089=VERTEX_POINT('',#48817); #15090=VERTEX_POINT('',#48821); #15091=VERTEX_POINT('',#48823); #15092=VERTEX_POINT('',#48827); #15093=VERTEX_POINT('',#48829); #15094=VERTEX_POINT('',#48833); #15095=VERTEX_POINT('',#48835); #15096=VERTEX_POINT('',#48839); #15097=VERTEX_POINT('',#48841); #15098=VERTEX_POINT('',#48845); #15099=VERTEX_POINT('',#48847); #15100=VERTEX_POINT('',#48851); #15101=VERTEX_POINT('',#48853); #15102=VERTEX_POINT('',#48857); #15103=VERTEX_POINT('',#48859); #15104=VERTEX_POINT('',#48863); #15105=VERTEX_POINT('',#48865); #15106=VERTEX_POINT('',#48869); #15107=VERTEX_POINT('',#48871); #15108=VERTEX_POINT('',#48875); #15109=VERTEX_POINT('',#48877); #15110=VERTEX_POINT('',#48881); #15111=VERTEX_POINT('',#48883); #15112=VERTEX_POINT('',#48887); #15113=VERTEX_POINT('',#48889); #15114=VERTEX_POINT('',#48893); #15115=VERTEX_POINT('',#48895); #15116=VERTEX_POINT('',#48899); #15117=VERTEX_POINT('',#48901); #15118=VERTEX_POINT('',#48905); #15119=VERTEX_POINT('',#48907); #15120=VERTEX_POINT('',#48911); #15121=VERTEX_POINT('',#48913); #15122=VERTEX_POINT('',#48917); #15123=VERTEX_POINT('',#48919); #15124=VERTEX_POINT('',#48923); #15125=VERTEX_POINT('',#48925); #15126=VERTEX_POINT('',#48929); #15127=VERTEX_POINT('',#48931); #15128=VERTEX_POINT('',#48935); #15129=VERTEX_POINT('',#48937); #15130=VERTEX_POINT('',#48941); #15131=VERTEX_POINT('',#48943); #15132=VERTEX_POINT('',#48947); #15133=VERTEX_POINT('',#48949); #15134=VERTEX_POINT('',#48953); #15135=VERTEX_POINT('',#48955); #15136=VERTEX_POINT('',#48959); #15137=VERTEX_POINT('',#48961); #15138=VERTEX_POINT('',#48965); #15139=VERTEX_POINT('',#48967); #15140=VERTEX_POINT('',#48971); #15141=VERTEX_POINT('',#48973); #15142=VERTEX_POINT('',#48977); #15143=VERTEX_POINT('',#48979); #15144=VERTEX_POINT('',#48983); #15145=VERTEX_POINT('',#48985); #15146=VERTEX_POINT('',#48989); #15147=VERTEX_POINT('',#48991); #15148=VERTEX_POINT('',#48995); #15149=VERTEX_POINT('',#48997); #15150=VERTEX_POINT('',#49001); #15151=VERTEX_POINT('',#49003); #15152=VERTEX_POINT('',#49010); #15153=VERTEX_POINT('',#49012); #15154=VERTEX_POINT('',#49016); #15155=VERTEX_POINT('',#49018); #15156=VERTEX_POINT('',#49022); #15157=VERTEX_POINT('',#49024); #15158=VERTEX_POINT('',#49028); #15159=VERTEX_POINT('',#49030); #15160=VERTEX_POINT('',#49034); #15161=VERTEX_POINT('',#49036); #15162=VERTEX_POINT('',#49040); #15163=VERTEX_POINT('',#49042); #15164=VERTEX_POINT('',#49046); #15165=VERTEX_POINT('',#49048); #15166=VERTEX_POINT('',#49052); #15167=VERTEX_POINT('',#49054); #15168=VERTEX_POINT('',#49058); #15169=VERTEX_POINT('',#49060); #15170=VERTEX_POINT('',#49069); #15171=VERTEX_POINT('',#49070); #15172=VERTEX_POINT('',#49072); #15173=VERTEX_POINT('',#49074); #15174=VERTEX_POINT('',#49076); #15175=VERTEX_POINT('',#49078); #15176=VERTEX_POINT('',#49080); #15177=VERTEX_POINT('',#49082); #15178=VERTEX_POINT('',#49084); #15179=VERTEX_POINT('',#49086); #15180=VERTEX_POINT('',#49088); #15181=VERTEX_POINT('',#49090); #15182=VERTEX_POINT('',#49097); #15183=VERTEX_POINT('',#49098); #15184=VERTEX_POINT('',#49100); #15185=VERTEX_POINT('',#49102); #15186=VERTEX_POINT('',#49106); #15187=VERTEX_POINT('',#49110); #15188=VERTEX_POINT('',#49114); #15189=VERTEX_POINT('',#49116); #15190=VERTEX_POINT('',#49120); #15191=VERTEX_POINT('',#49124); #15192=VERTEX_POINT('',#49128); #15193=VERTEX_POINT('',#49129); #15194=VERTEX_POINT('',#49131); #15195=VERTEX_POINT('',#49133); #15196=VERTEX_POINT('',#49137); #15197=VERTEX_POINT('',#49138); #15198=VERTEX_POINT('',#49141); #15199=VERTEX_POINT('',#49145); #15200=VERTEX_POINT('',#49146); #15201=VERTEX_POINT('',#49149); #15202=VERTEX_POINT('',#49157); #15203=VERTEX_POINT('',#49158); #15204=VERTEX_POINT('',#49161); #15205=VERTEX_POINT('',#49169); #15206=VERTEX_POINT('',#49171); #15207=VERTEX_POINT('',#49175); #15208=VERTEX_POINT('',#49177); #15209=VERTEX_POINT('',#49181); #15210=VERTEX_POINT('',#49185); #15211=VERTEX_POINT('',#49189); #15212=VERTEX_POINT('',#49195); #15213=VERTEX_POINT('',#49197); #15214=VERTEX_POINT('',#49200); #15215=VERTEX_POINT('',#49204); #15216=VERTEX_POINT('',#49208); #15217=VERTEX_POINT('',#49210); #15218=VERTEX_POINT('',#49212); #15219=VERTEX_POINT('',#49218); #15220=VERTEX_POINT('',#49219); #15221=VERTEX_POINT('',#49221); #15222=VERTEX_POINT('',#49226); #15223=VERTEX_POINT('',#49228); #15224=VERTEX_POINT('',#49232); #15225=VERTEX_POINT('',#49234); #15226=VERTEX_POINT('',#49236); #15227=VERTEX_POINT('',#49240); #15228=VERTEX_POINT('',#49242); #15229=VERTEX_POINT('',#49246); #15230=VERTEX_POINT('',#49248); #15231=VERTEX_POINT('',#49252); #15232=VERTEX_POINT('',#49253); #15233=VERTEX_POINT('',#49255); #15234=VERTEX_POINT('',#49257); #15235=VERTEX_POINT('',#49285); #15236=VERTEX_POINT('',#49286); #15237=VERTEX_POINT('',#49291); #15238=VERTEX_POINT('',#49292); #15239=VERTEX_POINT('',#49297); #15240=VERTEX_POINT('',#49298); #15241=VERTEX_POINT('',#49303); #15242=VERTEX_POINT('',#49305); #15243=VERTEX_POINT('',#49309); #15244=VERTEX_POINT('',#49310); #15245=VERTEX_POINT('',#49313); #15246=VERTEX_POINT('',#49322); #15247=VERTEX_POINT('',#49326); #15248=VERTEX_POINT('',#49330); #15249=VERTEX_POINT('',#49332); #15250=VERTEX_POINT('',#49336); #15251=VERTEX_POINT('',#49341); #15252=VERTEX_POINT('',#49343); #15253=VERTEX_POINT('',#49348); #15254=VERTEX_POINT('',#49352); #15255=VERTEX_POINT('',#49356); #15256=VERTEX_POINT('',#49360); #15257=VERTEX_POINT('',#49362); #15258=VERTEX_POINT('',#49366); #15259=VERTEX_POINT('',#49381); #15260=VERTEX_POINT('',#49383); #15261=VERTEX_POINT('',#49387); #15262=VERTEX_POINT('',#49391); #15263=VERTEX_POINT('',#49394); #15264=VERTEX_POINT('',#49396); #15265=VERTEX_POINT('',#49398); #15266=VERTEX_POINT('',#49401); #15267=VERTEX_POINT('',#49402); #15268=VERTEX_POINT('',#49404); #15269=VERTEX_POINT('',#49406); #15270=VERTEX_POINT('',#49408); #15271=VERTEX_POINT('',#49410); #15272=VERTEX_POINT('',#49412); #15273=VERTEX_POINT('',#49414); #15274=VERTEX_POINT('',#49417); #15275=VERTEX_POINT('',#49420); #15276=VERTEX_POINT('',#49422); #15277=VERTEX_POINT('',#49425); #15278=VERTEX_POINT('',#49428); #15279=VERTEX_POINT('',#49432); #15280=VERTEX_POINT('',#49433); #15281=VERTEX_POINT('',#49435); #15282=VERTEX_POINT('',#49440); #15283=VERTEX_POINT('',#49452); #15284=VERTEX_POINT('',#49453); #15285=VERTEX_POINT('',#49455); #15286=VERTEX_POINT('',#49457); #15287=VERTEX_POINT('',#49461); #15288=VERTEX_POINT('',#49462); #15289=VERTEX_POINT('',#49464); #15290=VERTEX_POINT('',#49469); #15291=VERTEX_POINT('',#49470); #15292=VERTEX_POINT('',#49472); #15293=VERTEX_POINT('',#49481); #15294=VERTEX_POINT('',#49482); #15295=VERTEX_POINT('',#49484); #15296=VERTEX_POINT('',#49506); #15297=VERTEX_POINT('',#49508); #15298=VERTEX_POINT('',#49515); #15299=VERTEX_POINT('',#49524); #15300=VERTEX_POINT('',#49526); #15301=VERTEX_POINT('',#49528); #15302=VERTEX_POINT('',#49534); #15303=VERTEX_POINT('',#49536); #15304=VERTEX_POINT('',#49540); #15305=VERTEX_POINT('',#49545); #15306=VERTEX_POINT('',#49547); #15307=VERTEX_POINT('',#49549); #15308=VERTEX_POINT('',#49553); #15309=VERTEX_POINT('',#49555); #15310=VERTEX_POINT('',#49559); #15311=VERTEX_POINT('',#49561); #15312=VERTEX_POINT('',#49565); #15313=VERTEX_POINT('',#49566); #15314=VERTEX_POINT('',#49568); #15315=VERTEX_POINT('',#49570); #15316=VERTEX_POINT('',#49598); #15317=VERTEX_POINT('',#49599); #15318=VERTEX_POINT('',#49604); #15319=VERTEX_POINT('',#49605); #15320=VERTEX_POINT('',#49610); #15321=VERTEX_POINT('',#49611); #15322=VERTEX_POINT('',#49619); #15323=VERTEX_POINT('',#49628); #15324=VERTEX_POINT('',#49632); #15325=VERTEX_POINT('',#49636); #15326=VERTEX_POINT('',#49638); #15327=VERTEX_POINT('',#49640); #15328=VERTEX_POINT('',#49646); #15329=VERTEX_POINT('',#49648); #15330=VERTEX_POINT('',#49652); #15331=VERTEX_POINT('',#49654); #15332=VERTEX_POINT('',#49661); #15333=VERTEX_POINT('',#49665); #15334=VERTEX_POINT('',#49667); #15335=VERTEX_POINT('',#49671); #15336=VERTEX_POINT('',#49687); #15337=VERTEX_POINT('',#49689); #15338=VERTEX_POINT('',#49691); #15339=VERTEX_POINT('',#49695); #15340=VERTEX_POINT('',#49696); #15341=VERTEX_POINT('',#49701); #15342=VERTEX_POINT('',#49704); #15343=VERTEX_POINT('',#49709); #15344=VERTEX_POINT('',#49710); #15345=VERTEX_POINT('',#49713); #15346=VERTEX_POINT('',#49717); #15347=VERTEX_POINT('',#49718); #15348=VERTEX_POINT('',#49720); #15349=VERTEX_POINT('',#49722); #15350=VERTEX_POINT('',#49724); #15351=VERTEX_POINT('',#49726); #15352=VERTEX_POINT('',#49732); #15353=VERTEX_POINT('',#49733); #15354=VERTEX_POINT('',#49740); #15355=VERTEX_POINT('',#49746); #15356=VERTEX_POINT('',#49752); #15357=VERTEX_POINT('',#49758); #15358=VERTEX_POINT('',#49762); #15359=VERTEX_POINT('',#49772); #15360=EDGE_CURVE('',#12609,#12609,#11727,.T.); #15361=EDGE_CURVE('',#12609,#12610,#5571,.T.); #15362=EDGE_CURVE('',#12610,#12610,#11728,.T.); #15363=EDGE_CURVE('',#12611,#12611,#11729,.T.); #15364=EDGE_CURVE('',#12611,#12612,#5572,.T.); #15365=EDGE_CURVE('',#12612,#12612,#11730,.T.); #15366=EDGE_CURVE('',#12613,#12613,#11731,.T.); #15367=EDGE_CURVE('',#12613,#12614,#5573,.T.); #15368=EDGE_CURVE('',#12614,#12614,#11732,.T.); #15369=EDGE_CURVE('',#12615,#12615,#11733,.T.); #15370=EDGE_CURVE('',#12615,#12616,#5574,.T.); #15371=EDGE_CURVE('',#12616,#12616,#11734,.T.); #15372=EDGE_CURVE('',#12617,#12617,#11735,.T.); #15373=EDGE_CURVE('',#12617,#12618,#5575,.T.); #15374=EDGE_CURVE('',#12618,#12618,#11736,.T.); #15375=EDGE_CURVE('',#12619,#12619,#11737,.T.); #15376=EDGE_CURVE('',#12619,#12620,#5576,.T.); #15377=EDGE_CURVE('',#12620,#12620,#11738,.T.); #15378=EDGE_CURVE('',#12621,#12621,#11739,.T.); #15379=EDGE_CURVE('',#12621,#12622,#5577,.T.); #15380=EDGE_CURVE('',#12622,#12622,#11740,.T.); #15381=EDGE_CURVE('',#12623,#12623,#11741,.T.); #15382=EDGE_CURVE('',#12623,#12624,#5578,.T.); #15383=EDGE_CURVE('',#12624,#12624,#11742,.T.); #15384=EDGE_CURVE('',#12625,#12625,#11743,.T.); #15385=EDGE_CURVE('',#12625,#12626,#5579,.T.); #15386=EDGE_CURVE('',#12626,#12626,#11744,.T.); #15387=EDGE_CURVE('',#12627,#12627,#11745,.T.); #15388=EDGE_CURVE('',#12627,#12628,#5580,.T.); #15389=EDGE_CURVE('',#12628,#12628,#11746,.T.); #15390=EDGE_CURVE('',#12629,#12629,#11747,.T.); #15391=EDGE_CURVE('',#12629,#12630,#5581,.T.); #15392=EDGE_CURVE('',#12630,#12630,#11748,.T.); #15393=EDGE_CURVE('',#12631,#12631,#11749,.T.); #15394=EDGE_CURVE('',#12631,#12632,#5582,.T.); #15395=EDGE_CURVE('',#12632,#12632,#11750,.T.); #15396=EDGE_CURVE('',#12633,#12633,#11751,.T.); #15397=EDGE_CURVE('',#12633,#12634,#5583,.T.); #15398=EDGE_CURVE('',#12634,#12634,#11752,.T.); #15399=EDGE_CURVE('',#12635,#12635,#11753,.T.); #15400=EDGE_CURVE('',#12635,#12636,#5584,.T.); #15401=EDGE_CURVE('',#12636,#12636,#11754,.T.); #15402=EDGE_CURVE('',#12637,#12638,#5585,.T.); #15403=EDGE_CURVE('',#12639,#12637,#5586,.T.); #15404=EDGE_CURVE('',#12640,#12639,#5587,.T.); #15405=EDGE_CURVE('',#12640,#12638,#5588,.T.); #15406=EDGE_CURVE('',#12638,#12641,#11755,.T.); #15407=EDGE_CURVE('',#12642,#12640,#11756,.T.); #15408=EDGE_CURVE('',#12642,#12641,#5589,.T.); #15409=EDGE_CURVE('',#12641,#12643,#5590,.T.); #15410=EDGE_CURVE('',#12644,#12642,#5591,.T.); #15411=EDGE_CURVE('',#12644,#12643,#5592,.T.); #15412=EDGE_CURVE('',#12643,#12637,#11757,.T.); #15413=EDGE_CURVE('',#12639,#12644,#11758,.T.); #15414=EDGE_CURVE('',#12645,#12645,#11759,.T.); #15415=EDGE_CURVE('',#12645,#12646,#5593,.T.); #15416=EDGE_CURVE('',#12646,#12646,#11760,.T.); #15417=EDGE_CURVE('',#12647,#12647,#11761,.T.); #15418=EDGE_CURVE('',#12647,#12648,#5594,.T.); #15419=EDGE_CURVE('',#12648,#12648,#11762,.T.); #15420=EDGE_CURVE('',#12649,#12649,#11763,.T.); #15421=EDGE_CURVE('',#12649,#12650,#5595,.T.); #15422=EDGE_CURVE('',#12650,#12650,#11764,.T.); #15423=EDGE_CURVE('',#12651,#12651,#11765,.T.); #15424=EDGE_CURVE('',#12651,#12652,#5596,.T.); #15425=EDGE_CURVE('',#12652,#12652,#11766,.T.); #15426=EDGE_CURVE('',#12653,#12653,#11767,.T.); #15427=EDGE_CURVE('',#12653,#12654,#5597,.T.); #15428=EDGE_CURVE('',#12654,#12654,#11768,.T.); #15429=EDGE_CURVE('',#12655,#12655,#11769,.T.); #15430=EDGE_CURVE('',#12655,#12656,#5598,.T.); #15431=EDGE_CURVE('',#12656,#12656,#11770,.T.); #15432=EDGE_CURVE('',#12657,#12658,#11771,.T.); #15433=EDGE_CURVE('',#12659,#12657,#5599,.T.); #15434=EDGE_CURVE('',#12660,#12659,#11772,.T.); #15435=EDGE_CURVE('',#12660,#12658,#5600,.T.); #15436=EDGE_CURVE('',#12658,#12661,#5601,.T.); #15437=EDGE_CURVE('',#12662,#12660,#5602,.T.); #15438=EDGE_CURVE('',#12662,#12661,#5603,.T.); #15439=EDGE_CURVE('',#12661,#12663,#11773,.T.); #15440=EDGE_CURVE('',#12664,#12662,#11774,.T.); #15441=EDGE_CURVE('',#12664,#12663,#5604,.T.); #15442=EDGE_CURVE('',#12663,#12657,#5605,.T.); #15443=EDGE_CURVE('',#12659,#12664,#5606,.T.); #15444=EDGE_CURVE('',#12665,#12666,#11775,.T.); #15445=EDGE_CURVE('',#12667,#12665,#5607,.T.); #15446=EDGE_CURVE('',#12668,#12667,#11776,.T.); #15447=EDGE_CURVE('',#12668,#12666,#5608,.T.); #15448=EDGE_CURVE('',#12666,#12669,#5609,.T.); #15449=EDGE_CURVE('',#12670,#12668,#5610,.T.); #15450=EDGE_CURVE('',#12670,#12669,#5611,.T.); #15451=EDGE_CURVE('',#12669,#12671,#11777,.T.); #15452=EDGE_CURVE('',#12672,#12670,#11778,.T.); #15453=EDGE_CURVE('',#12672,#12671,#5612,.T.); #15454=EDGE_CURVE('',#12671,#12665,#5613,.T.); #15455=EDGE_CURVE('',#12667,#12672,#5614,.T.); #15456=EDGE_CURVE('',#12673,#12674,#11779,.T.); #15457=EDGE_CURVE('',#12675,#12673,#5615,.T.); #15458=EDGE_CURVE('',#12676,#12675,#11780,.T.); #15459=EDGE_CURVE('',#12676,#12674,#5616,.T.); #15460=EDGE_CURVE('',#12674,#12677,#5617,.T.); #15461=EDGE_CURVE('',#12678,#12676,#5618,.T.); #15462=EDGE_CURVE('',#12678,#12677,#5619,.T.); #15463=EDGE_CURVE('',#12677,#12679,#11781,.T.); #15464=EDGE_CURVE('',#12680,#12678,#11782,.T.); #15465=EDGE_CURVE('',#12680,#12679,#5620,.T.); #15466=EDGE_CURVE('',#12679,#12673,#5621,.T.); #15467=EDGE_CURVE('',#12675,#12680,#5622,.T.); #15468=EDGE_CURVE('',#12681,#12682,#11783,.T.); #15469=EDGE_CURVE('',#12682,#12683,#5623,.T.); #15470=EDGE_CURVE('',#12683,#12684,#11784,.T.); #15471=EDGE_CURVE('',#12684,#12681,#5624,.T.); #15472=EDGE_CURVE('',#12685,#12684,#5625,.T.); #15473=EDGE_CURVE('',#12686,#12685,#5626,.T.); #15474=EDGE_CURVE('',#12681,#12686,#5627,.T.); #15475=EDGE_CURVE('',#12683,#12687,#5628,.T.); #15476=EDGE_CURVE('',#12687,#12688,#11785,.T.); #15477=EDGE_CURVE('',#12688,#12689,#5629,.T.); #15478=EDGE_CURVE('',#12689,#12690,#11786,.T.); #15479=EDGE_CURVE('',#12690,#12691,#5630,.T.); #15480=EDGE_CURVE('',#12691,#12692,#11787,.T.); #15481=EDGE_CURVE('',#12692,#12693,#5631,.T.); #15482=EDGE_CURVE('',#12693,#12694,#5632,.T.); #15483=EDGE_CURVE('',#12694,#12695,#11788,.T.); #15484=EDGE_CURVE('',#12695,#12696,#5633,.T.); #15485=EDGE_CURVE('',#12697,#12696,#11789,.T.); #15486=EDGE_CURVE('',#12697,#12698,#5634,.T.); #15487=EDGE_CURVE('',#12698,#12685,#11790,.T.); #15488=EDGE_CURVE('',#12696,#12699,#5635,.T.); #15489=EDGE_CURVE('',#12699,#12700,#11791,.T.); #15490=EDGE_CURVE('',#12700,#12697,#5636,.T.); #15491=EDGE_CURVE('',#12701,#12700,#5637,.T.); #15492=EDGE_CURVE('',#12701,#12698,#5638,.T.); #15493=EDGE_CURVE('',#12686,#12701,#11792,.T.); #15494=EDGE_CURVE('',#12699,#12702,#5639,.T.); #15495=EDGE_CURVE('',#12702,#12703,#11793,.T.); #15496=EDGE_CURVE('',#12703,#12704,#5640,.T.); #15497=EDGE_CURVE('',#12704,#12705,#5641,.T.); #15498=EDGE_CURVE('',#12705,#12706,#11794,.T.); #15499=EDGE_CURVE('',#12706,#12707,#5642,.T.); #15500=EDGE_CURVE('',#12707,#12708,#11795,.T.); #15501=EDGE_CURVE('',#12708,#12709,#5643,.T.); #15502=EDGE_CURVE('',#12709,#12710,#11796,.T.); #15503=EDGE_CURVE('',#12710,#12682,#5644,.T.); #15504=EDGE_CURVE('',#12695,#12702,#5645,.T.); #15505=EDGE_CURVE('',#12703,#12694,#5646,.T.); #15506=EDGE_CURVE('',#12687,#12710,#5647,.T.); #15507=EDGE_CURVE('',#12688,#12709,#5648,.T.); #15508=EDGE_CURVE('',#12689,#12708,#5649,.T.); #15509=EDGE_CURVE('',#12690,#12707,#5650,.T.); #15510=EDGE_CURVE('',#12691,#12706,#5651,.T.); #15511=EDGE_CURVE('',#12692,#12705,#5652,.T.); #15512=EDGE_CURVE('',#12693,#12704,#5653,.T.); #15513=EDGE_CURVE('',#12711,#12711,#11797,.T.); #15514=EDGE_CURVE('',#12711,#12712,#5654,.T.); #15515=EDGE_CURVE('',#12712,#12712,#11798,.T.); #15516=EDGE_CURVE('',#12713,#12713,#11799,.T.); #15517=EDGE_CURVE('',#12713,#12714,#5655,.T.); #15518=EDGE_CURVE('',#12714,#12714,#11800,.T.); #15519=EDGE_CURVE('',#12715,#12715,#11801,.T.); #15520=EDGE_CURVE('',#12715,#12716,#5656,.T.); #15521=EDGE_CURVE('',#12716,#12716,#11802,.T.); #15522=EDGE_CURVE('',#12717,#12717,#11803,.T.); #15523=EDGE_CURVE('',#12717,#12718,#5657,.T.); #15524=EDGE_CURVE('',#12718,#12718,#11804,.T.); #15525=EDGE_CURVE('',#12719,#12719,#11805,.T.); #15526=EDGE_CURVE('',#12719,#12720,#5658,.T.); #15527=EDGE_CURVE('',#12720,#12720,#11806,.T.); #15528=EDGE_CURVE('',#12721,#12721,#11807,.T.); #15529=EDGE_CURVE('',#12722,#12722,#11808,.T.); #15530=EDGE_CURVE('',#12722,#12721,#5659,.T.); #15531=EDGE_CURVE('',#12723,#12723,#11809,.T.); #15532=EDGE_CURVE('',#12724,#12724,#11810,.T.); #15533=EDGE_CURVE('',#12724,#12723,#5660,.T.); #15534=EDGE_CURVE('',#12725,#12725,#11811,.T.); #15535=EDGE_CURVE('',#12725,#12724,#5661,.T.); #15536=EDGE_CURVE('',#12726,#12726,#11812,.T.); #15537=EDGE_CURVE('',#12727,#12727,#11813,.T.); #15538=EDGE_CURVE('',#12727,#12726,#5662,.T.); #15539=EDGE_CURVE('',#12728,#12728,#11814,.T.); #15540=EDGE_CURVE('',#12728,#12727,#5663,.T.); #15541=EDGE_CURVE('',#12729,#12729,#11815,.T.); #15542=EDGE_CURVE('',#12729,#12730,#5664,.T.); #15543=EDGE_CURVE('',#12730,#12730,#11816,.T.); #15544=EDGE_CURVE('',#12730,#12731,#5665,.T.); #15545=EDGE_CURVE('',#12731,#12731,#11817,.T.); #15546=EDGE_CURVE('',#12732,#12732,#11818,.T.); #15547=EDGE_CURVE('',#12732,#12733,#5666,.T.); #15548=EDGE_CURVE('',#12733,#12733,#11819,.T.); #15549=EDGE_CURVE('',#12734,#12734,#11820,.T.); #15550=EDGE_CURVE('',#12735,#12735,#11821,.T.); #15551=EDGE_CURVE('',#12736,#12736,#11822,.T.); #15552=EDGE_CURVE('',#12737,#12737,#11823,.T.); #15553=EDGE_CURVE('',#12738,#12739,#11824,.T.); #15554=EDGE_CURVE('',#12738,#12737,#11825,.T.); #15555=EDGE_CURVE('',#12739,#12738,#11826,.T.); #15556=EDGE_CURVE('',#12739,#12740,#5667,.T.); #15557=EDGE_CURVE('',#12741,#12740,#11827,.T.); #15558=EDGE_CURVE('',#12740,#12741,#11828,.T.); #15559=EDGE_CURVE('',#12735,#12741,#11829,.T.); #15560=EDGE_CURVE('',#12734,#12742,#5668,.T.); #15561=EDGE_CURVE('',#12742,#12742,#11830,.T.); #15562=EDGE_CURVE('',#12743,#12743,#11831,.T.); #15563=EDGE_CURVE('',#12744,#12744,#11832,.T.); #15564=EDGE_CURVE('',#12745,#12745,#11833,.T.); #15565=EDGE_CURVE('',#12746,#12746,#11834,.T.); #15566=EDGE_CURVE('',#12747,#12748,#11835,.T.); #15567=EDGE_CURVE('',#12748,#12747,#11836,.T.); #15568=EDGE_CURVE('',#12748,#12743,#11837,.T.); #15569=EDGE_CURVE('',#12747,#12749,#5669,.T.); #15570=EDGE_CURVE('',#12750,#12749,#11838,.T.); #15571=EDGE_CURVE('',#12749,#12750,#11839,.T.); #15572=EDGE_CURVE('',#12745,#12750,#11840,.T.); #15573=EDGE_CURVE('',#12746,#12751,#5670,.T.); #15574=EDGE_CURVE('',#12751,#12751,#11841,.T.); #15575=EDGE_CURVE('',#12752,#12752,#11842,.T.); #15576=EDGE_CURVE('',#12752,#12744,#5671,.T.); #15577=EDGE_CURVE('',#12753,#12753,#11843,.T.); #15578=EDGE_CURVE('',#12754,#12754,#11844,.T.); #15579=EDGE_CURVE('',#12755,#12755,#11845,.T.); #15580=EDGE_CURVE('',#12756,#12756,#11846,.T.); #15581=EDGE_CURVE('',#12756,#12757,#5672,.T.); #15582=EDGE_CURVE('',#12758,#12757,#11847,.T.); #15583=EDGE_CURVE('',#12757,#12758,#11848,.T.); #15584=EDGE_CURVE('',#12759,#12760,#11849,.T.); #15585=EDGE_CURVE('',#12759,#12758,#11850,.T.); #15586=EDGE_CURVE('',#12760,#12759,#11851,.T.); #15587=EDGE_CURVE('',#12760,#12755,#5673,.T.); #15588=EDGE_CURVE('',#12761,#12762,#11852,.T.); #15589=EDGE_CURVE('',#12762,#12761,#11853,.T.); #15590=EDGE_CURVE('',#12762,#12754,#5674,.T.); #15591=EDGE_CURVE('',#12753,#12761,#11854,.T.); #15592=EDGE_CURVE('',#12763,#12763,#11855,.T.); #15593=EDGE_CURVE('',#12763,#12736,#5675,.T.); #15594=EDGE_CURVE('',#12764,#12764,#11856,.T.); #15595=EDGE_CURVE('',#12765,#12765,#11857,.T.); #15596=EDGE_CURVE('',#12766,#12766,#11858,.T.); #15597=EDGE_CURVE('',#12767,#12767,#11859,.T.); #15598=EDGE_CURVE('',#12767,#12768,#5676,.T.); #15599=EDGE_CURVE('',#12769,#12768,#11860,.T.); #15600=EDGE_CURVE('',#12768,#12769,#11861,.T.); #15601=EDGE_CURVE('',#12770,#12771,#11862,.T.); #15602=EDGE_CURVE('',#12771,#12770,#11863,.T.); #15603=EDGE_CURVE('',#12771,#12769,#11864,.T.); #15604=EDGE_CURVE('',#12770,#12766,#5677,.T.); #15605=EDGE_CURVE('',#12772,#12773,#11865,.T.); #15606=EDGE_CURVE('',#12772,#12765,#5678,.T.); #15607=EDGE_CURVE('',#12773,#12772,#11866,.T.); #15608=EDGE_CURVE('',#12764,#12773,#11867,.T.); #15609=EDGE_CURVE('',#12774,#12774,#11868,.T.); #15610=EDGE_CURVE('',#12775,#12775,#11869,.T.); #15611=EDGE_CURVE('',#12776,#12777,#11870,.T.); #15612=EDGE_CURVE('',#12776,#12778,#5679,.T.); #15613=EDGE_CURVE('',#12778,#12778,#11871,.T.); #15614=EDGE_CURVE('',#12777,#12776,#11872,.T.); #15615=EDGE_CURVE('',#12779,#12779,#11873,.T.); #15616=EDGE_CURVE('',#12779,#12780,#5680,.T.); #15617=EDGE_CURVE('',#12780,#12780,#11874,.T.); #15618=EDGE_CURVE('',#12781,#12781,#11875,.T.); #15619=EDGE_CURVE('',#12781,#12775,#5681,.T.); #15620=EDGE_CURVE('',#12782,#12783,#11876,.T.); #15621=EDGE_CURVE('',#12783,#12782,#11877,.T.); #15622=EDGE_CURVE('',#12784,#12784,#11878,.T.); #15623=EDGE_CURVE('',#12785,#12785,#11879,.T.); #15624=EDGE_CURVE('',#12785,#12786,#5682,.T.); #15625=EDGE_CURVE('',#12786,#12786,#11880,.T.); #15626=EDGE_CURVE('',#12787,#12787,#11881,.T.); #15627=EDGE_CURVE('',#12787,#12788,#5683,.T.); #15628=EDGE_CURVE('',#12788,#12788,#11882,.T.); #15629=EDGE_CURVE('',#12789,#12789,#11883,.T.); #15630=EDGE_CURVE('',#12789,#12784,#5684,.T.); #15631=EDGE_CURVE('',#12790,#12791,#11884,.T.); #15632=EDGE_CURVE('',#12790,#12792,#11885,.T.); #15633=EDGE_CURVE('',#12791,#12790,#11886,.T.); #15634=EDGE_CURVE('',#12793,#12791,#11887,.T.); #15635=EDGE_CURVE('',#12794,#12794,#11888,.T.); #15636=EDGE_CURVE('',#12795,#12796,#11889,.T.); #15637=EDGE_CURVE('',#12795,#12797,#11890,.T.); #15638=EDGE_CURVE('',#12796,#12795,#11891,.T.); #15639=EDGE_CURVE('',#12798,#12796,#11892,.T.); #15640=EDGE_CURVE('',#12799,#12799,#11893,.T.); #15641=EDGE_CURVE('',#12800,#12801,#11894,.T.); #15642=EDGE_CURVE('',#12800,#12802,#11895,.T.); #15643=EDGE_CURVE('',#12801,#12800,#11896,.T.); #15644=EDGE_CURVE('',#12803,#12801,#11897,.T.); #15645=EDGE_CURVE('',#12804,#12804,#11898,.T.); #15646=EDGE_CURVE('',#12805,#12806,#11899,.T.); #15647=EDGE_CURVE('',#12805,#12807,#11900,.T.); #15648=EDGE_CURVE('',#12806,#12805,#11901,.T.); #15649=EDGE_CURVE('',#12808,#12806,#11902,.T.); #15650=EDGE_CURVE('',#12809,#12809,#11903,.T.); #15651=EDGE_CURVE('',#12810,#12811,#11904,.T.); #15652=EDGE_CURVE('',#12810,#12812,#11905,.T.); #15653=EDGE_CURVE('',#12811,#12810,#11906,.T.); #15654=EDGE_CURVE('',#12813,#12811,#11907,.T.); #15655=EDGE_CURVE('',#12814,#12814,#11908,.T.); #15656=EDGE_CURVE('',#12815,#12815,#11909,.T.); #15657=EDGE_CURVE('',#12815,#12816,#11910,.T.); #15658=EDGE_CURVE('',#12817,#12816,#11911,.T.); #15659=EDGE_CURVE('',#12817,#12818,#11912,.T.); #15660=EDGE_CURVE('',#12816,#12817,#11913,.T.); #15661=EDGE_CURVE('',#12819,#12820,#11914,.T.); #15662=EDGE_CURVE('',#12821,#12819,#11915,.T.); #15663=EDGE_CURVE('',#12820,#12819,#11916,.T.); #15664=EDGE_CURVE('',#12820,#12822,#11917,.T.); #15665=EDGE_CURVE('',#12823,#12823,#11918,.T.); #15666=EDGE_CURVE('',#12824,#12825,#11919,.T.); #15667=EDGE_CURVE('',#12826,#12824,#11920,.T.); #15668=EDGE_CURVE('',#12825,#12824,#11921,.T.); #15669=EDGE_CURVE('',#12825,#12827,#11922,.T.); #15670=EDGE_CURVE('',#12828,#12828,#11923,.T.); #15671=EDGE_CURVE('',#12829,#12830,#11924,.T.); #15672=EDGE_CURVE('',#12831,#12829,#11925,.T.); #15673=EDGE_CURVE('',#12830,#12829,#11926,.T.); #15674=EDGE_CURVE('',#12830,#12832,#11927,.T.); #15675=EDGE_CURVE('',#12833,#12833,#11928,.T.); #15676=EDGE_CURVE('',#12834,#12835,#11929,.T.); #15677=EDGE_CURVE('',#12836,#12834,#11930,.T.); #15678=EDGE_CURVE('',#12835,#12834,#11931,.T.); #15679=EDGE_CURVE('',#12835,#12837,#11932,.T.); #15680=EDGE_CURVE('',#12838,#12838,#11933,.T.); #15681=EDGE_CURVE('',#12839,#12840,#11934,.T.); #15682=EDGE_CURVE('',#12841,#12839,#11935,.T.); #15683=EDGE_CURVE('',#12840,#12839,#11936,.T.); #15684=EDGE_CURVE('',#12840,#12842,#11937,.T.); #15685=EDGE_CURVE('',#12843,#12843,#11938,.T.); #15686=EDGE_CURVE('',#12844,#12845,#11939,.T.); #15687=EDGE_CURVE('',#12844,#12846,#11940,.T.); #15688=EDGE_CURVE('',#12846,#12846,#11941,.T.); #15689=EDGE_CURVE('',#12845,#12844,#11942,.T.); #15690=EDGE_CURVE('',#12847,#12845,#11943,.T.); #15691=EDGE_CURVE('',#12848,#12849,#11944,.T.); #15692=EDGE_CURVE('',#12850,#12850,#11945,.T.); #15693=EDGE_CURVE('',#12851,#12852,#11946,.T.); #15694=EDGE_CURVE('',#12853,#12853,#11947,.T.); #15695=EDGE_CURVE('',#12854,#12855,#11948,.T.); #15696=EDGE_CURVE('',#12856,#12856,#11949,.T.); #15697=EDGE_CURVE('',#12857,#12858,#11950,.T.); #15698=EDGE_CURVE('',#12859,#12859,#11951,.T.); #15699=EDGE_CURVE('',#12860,#12861,#11952,.T.); #15700=EDGE_CURVE('',#12862,#12862,#11953,.T.); #15701=EDGE_CURVE('',#12863,#12864,#11954,.T.); #15702=EDGE_CURVE('',#12864,#12863,#11955,.T.); #15703=EDGE_CURVE('',#12864,#12865,#11956,.T.); #15704=EDGE_CURVE('',#12866,#12867,#11957,.T.); #15705=EDGE_CURVE('',#12868,#12868,#11958,.T.); #15706=EDGE_CURVE('',#12869,#12870,#11959,.T.); #15707=EDGE_CURVE('',#12871,#12871,#11960,.T.); #15708=EDGE_CURVE('',#12872,#12873,#11961,.T.); #15709=EDGE_CURVE('',#12874,#12874,#11962,.T.); #15710=EDGE_CURVE('',#12875,#12876,#11963,.T.); #15711=EDGE_CURVE('',#12877,#12877,#11964,.T.); #15712=EDGE_CURVE('',#12878,#12879,#11965,.T.); #15713=EDGE_CURVE('',#12880,#12880,#11966,.T.); #15714=EDGE_CURVE('',#12881,#12882,#11967,.T.); #15715=EDGE_CURVE('',#12882,#12881,#11968,.T.); #15716=EDGE_CURVE('',#12883,#12882,#11969,.T.); #15717=EDGE_CURVE('',#12882,#12783,#11970,.T.); #15718=EDGE_CURVE('',#12782,#12864,#11971,.T.); #15719=EDGE_CURVE('',#12863,#12884,#11972,.T.); #15720=EDGE_CURVE('',#12885,#12881,#11973,.T.); #15721=EDGE_CURVE('',#12886,#12887,#11974,.T.); #15722=EDGE_CURVE('',#12887,#12886,#11975,.T.); #15723=EDGE_CURVE('',#12887,#12888,#11976,.T.); #15724=EDGE_CURVE('',#12888,#12889,#11977,.T.); #15725=EDGE_CURVE('',#12889,#12888,#11978,.T.); #15726=EDGE_CURVE('',#12890,#12891,#11979,.T.); #15727=EDGE_CURVE('',#12891,#12890,#11980,.T.); #15728=EDGE_CURVE('',#12891,#12892,#11981,.T.); #15729=EDGE_CURVE('',#12892,#12893,#11982,.T.); #15730=EDGE_CURVE('',#12893,#12892,#11983,.T.); #15731=EDGE_CURVE('',#12886,#12777,#5685,.T.); #15732=EDGE_CURVE('',#12890,#12889,#5686,.T.); #15733=EDGE_CURVE('',#12785,#12893,#5687,.T.); #15734=EDGE_CURVE('',#12894,#12895,#11984,.T.); #15735=EDGE_CURVE('',#12895,#12896,#5688,.T.); #15736=EDGE_CURVE('',#12896,#12897,#11985,.T.); #15737=EDGE_CURVE('',#12897,#12894,#5689,.T.); #15738=EDGE_CURVE('',#12897,#12898,#5690,.T.); #15739=EDGE_CURVE('',#12898,#12899,#5691,.T.); #15740=EDGE_CURVE('',#12899,#12894,#5692,.T.); #15741=EDGE_CURVE('',#12900,#12896,#5693,.T.); #15742=EDGE_CURVE('',#12901,#12900,#5694,.T.); #15743=EDGE_CURVE('',#12902,#12901,#11986,.T.); #15744=EDGE_CURVE('',#12903,#12902,#5695,.T.); #15745=EDGE_CURVE('',#12904,#12903,#5696,.T.); #15746=EDGE_CURVE('',#12905,#12904,#11987,.T.); #15747=EDGE_CURVE('',#12906,#12905,#5697,.T.); #15748=EDGE_CURVE('',#12898,#12906,#11988,.T.); #15749=EDGE_CURVE('',#12907,#12907,#11989,.T.); #15750=EDGE_CURVE('',#12908,#12908,#11990,.T.); #15751=EDGE_CURVE('',#12909,#12910,#11991,.T.); #15752=EDGE_CURVE('',#12910,#12905,#5698,.T.); #15753=EDGE_CURVE('',#12904,#12909,#5699,.T.); #15754=EDGE_CURVE('',#12910,#12911,#5700,.T.); #15755=EDGE_CURVE('',#12906,#12911,#5701,.T.); #15756=EDGE_CURVE('',#12912,#12912,#11992,.T.); #15757=EDGE_CURVE('',#12912,#12907,#5702,.T.); #15758=EDGE_CURVE('',#12913,#12913,#11993,.T.); #15759=EDGE_CURVE('',#12913,#12908,#5703,.T.); #15760=EDGE_CURVE('',#12895,#12914,#5704,.T.); #15761=EDGE_CURVE('',#12900,#12914,#5705,.T.); #15762=EDGE_CURVE('',#12914,#12915,#5706,.T.); #15763=EDGE_CURVE('',#12901,#12915,#5707,.T.); #15764=EDGE_CURVE('',#12915,#12916,#11994,.T.); #15765=EDGE_CURVE('',#12902,#12916,#5708,.T.); #15766=EDGE_CURVE('',#12916,#12917,#5709,.T.); #15767=EDGE_CURVE('',#12903,#12917,#5710,.T.); #15768=EDGE_CURVE('',#12917,#12909,#5711,.T.); #15769=EDGE_CURVE('',#12911,#12899,#11995,.T.); #15770=EDGE_CURVE('',#12918,#12919,#5712,.T.); #15771=EDGE_CURVE('',#12919,#12920,#11996,.T.); #15772=EDGE_CURVE('',#12920,#12921,#5713,.T.); #15773=EDGE_CURVE('',#12922,#12921,#11997,.T.); #15774=EDGE_CURVE('',#12922,#12923,#5714,.T.); #15775=EDGE_CURVE('',#12923,#12924,#11998,.T.); #15776=EDGE_CURVE('',#12924,#12925,#5715,.T.); #15777=EDGE_CURVE('',#12926,#12925,#11999,.T.); #15778=EDGE_CURVE('',#12926,#12927,#5716,.T.); #15779=EDGE_CURVE('',#12927,#12928,#12000,.T.); #15780=EDGE_CURVE('',#12928,#12929,#5717,.T.); #15781=EDGE_CURVE('',#12930,#12929,#12001,.T.); #15782=EDGE_CURVE('',#12930,#12931,#5718,.T.); #15783=EDGE_CURVE('',#12931,#12932,#12002,.T.); #15784=EDGE_CURVE('',#12932,#12933,#5719,.T.); #15785=EDGE_CURVE('',#12934,#12933,#12003,.T.); #15786=EDGE_CURVE('',#12934,#12935,#5720,.T.); #15787=EDGE_CURVE('',#12935,#12936,#12004,.T.); #15788=EDGE_CURVE('',#12936,#12937,#5721,.T.); #15789=EDGE_CURVE('',#12938,#12937,#12005,.T.); #15790=EDGE_CURVE('',#12938,#12939,#5722,.T.); #15791=EDGE_CURVE('',#12939,#12940,#12006,.T.); #15792=EDGE_CURVE('',#12940,#12941,#5723,.T.); #15793=EDGE_CURVE('',#12942,#12941,#12007,.T.); #15794=EDGE_CURVE('',#12942,#12943,#5724,.T.); #15795=EDGE_CURVE('',#12943,#12944,#12008,.T.); #15796=EDGE_CURVE('',#12944,#12945,#5725,.T.); #15797=EDGE_CURVE('',#12946,#12945,#12009,.T.); #15798=EDGE_CURVE('',#12946,#12947,#5726,.T.); #15799=EDGE_CURVE('',#12947,#12948,#12010,.T.); #15800=EDGE_CURVE('',#12948,#12949,#5727,.T.); #15801=EDGE_CURVE('',#12950,#12949,#12011,.T.); #15802=EDGE_CURVE('',#12950,#12951,#5728,.T.); #15803=EDGE_CURVE('',#12951,#12952,#12012,.T.); #15804=EDGE_CURVE('',#12952,#12953,#5729,.T.); #15805=EDGE_CURVE('',#12954,#12953,#12013,.T.); #15806=EDGE_CURVE('',#12954,#12955,#5730,.T.); #15807=EDGE_CURVE('',#12955,#12956,#12014,.T.); #15808=EDGE_CURVE('',#12956,#12957,#5731,.T.); #15809=EDGE_CURVE('',#12958,#12957,#12015,.T.); #15810=EDGE_CURVE('',#12958,#12959,#5732,.T.); #15811=EDGE_CURVE('',#12959,#12960,#12016,.T.); #15812=EDGE_CURVE('',#12960,#12961,#5733,.T.); #15813=EDGE_CURVE('',#12962,#12961,#12017,.T.); #15814=EDGE_CURVE('',#12962,#12963,#5734,.T.); #15815=EDGE_CURVE('',#12963,#12964,#12018,.T.); #15816=EDGE_CURVE('',#12964,#12965,#5735,.T.); #15817=EDGE_CURVE('',#12918,#12965,#12019,.T.); #15818=EDGE_CURVE('',#12966,#12966,#12020,.T.); #15819=EDGE_CURVE('',#12918,#12967,#5736,.T.); #15820=EDGE_CURVE('',#12967,#12968,#5737,.T.); #15821=EDGE_CURVE('',#12919,#12968,#5738,.T.); #15822=EDGE_CURVE('',#12969,#12970,#12021,.T.); #15823=EDGE_CURVE('',#12960,#12969,#5739,.T.); #15824=EDGE_CURVE('',#12959,#12970,#5740,.T.); #15825=EDGE_CURVE('',#12971,#12972,#12022,.T.); #15826=EDGE_CURVE('',#12956,#12971,#5741,.T.); #15827=EDGE_CURVE('',#12955,#12972,#5742,.T.); #15828=EDGE_CURVE('',#12973,#12974,#12023,.T.); #15829=EDGE_CURVE('',#12952,#12973,#5743,.T.); #15830=EDGE_CURVE('',#12951,#12974,#5744,.T.); #15831=EDGE_CURVE('',#12975,#12976,#12024,.T.); #15832=EDGE_CURVE('',#12948,#12975,#5745,.T.); #15833=EDGE_CURVE('',#12947,#12976,#5746,.T.); #15834=EDGE_CURVE('',#12977,#12978,#12025,.T.); #15835=EDGE_CURVE('',#12944,#12977,#5747,.T.); #15836=EDGE_CURVE('',#12943,#12978,#5748,.T.); #15837=EDGE_CURVE('',#12979,#12980,#12026,.T.); #15838=EDGE_CURVE('',#12940,#12979,#5749,.T.); #15839=EDGE_CURVE('',#12939,#12980,#5750,.T.); #15840=EDGE_CURVE('',#12981,#12982,#12027,.T.); #15841=EDGE_CURVE('',#12936,#12981,#5751,.T.); #15842=EDGE_CURVE('',#12935,#12982,#5752,.T.); #15843=EDGE_CURVE('',#12983,#12984,#12028,.T.); #15844=EDGE_CURVE('',#12932,#12983,#5753,.T.); #15845=EDGE_CURVE('',#12931,#12984,#5754,.T.); #15846=EDGE_CURVE('',#12927,#12985,#5755,.T.); #15847=EDGE_CURVE('',#12986,#12985,#12029,.T.); #15848=EDGE_CURVE('',#12928,#12986,#5756,.T.); #15849=EDGE_CURVE('',#12923,#12987,#5757,.T.); #15850=EDGE_CURVE('',#12988,#12987,#12030,.T.); #15851=EDGE_CURVE('',#12924,#12988,#5758,.T.); #15852=EDGE_CURVE('',#12989,#12968,#12031,.T.); #15853=EDGE_CURVE('',#12920,#12989,#5759,.T.); #15854=EDGE_CURVE('',#12964,#12990,#5760,.T.); #15855=EDGE_CURVE('',#12963,#12991,#5761,.T.); #15856=EDGE_CURVE('',#12990,#12991,#12032,.T.); #15857=EDGE_CURVE('',#12967,#12992,#12033,.T.); #15858=EDGE_CURVE('',#12990,#12992,#5762,.T.); #15859=EDGE_CURVE('',#12993,#12991,#5763,.T.); #15860=EDGE_CURVE('',#12993,#12994,#12034,.T.); #15861=EDGE_CURVE('',#12969,#12994,#5764,.T.); #15862=EDGE_CURVE('',#12995,#12970,#5765,.T.); #15863=EDGE_CURVE('',#12995,#12996,#12035,.T.); #15864=EDGE_CURVE('',#12971,#12996,#5766,.T.); #15865=EDGE_CURVE('',#12997,#12972,#5767,.T.); #15866=EDGE_CURVE('',#12997,#12998,#12036,.T.); #15867=EDGE_CURVE('',#12973,#12998,#5768,.T.); #15868=EDGE_CURVE('',#12999,#12974,#5769,.T.); #15869=EDGE_CURVE('',#12999,#13000,#12037,.T.); #15870=EDGE_CURVE('',#12975,#13000,#5770,.T.); #15871=EDGE_CURVE('',#13001,#12976,#5771,.T.); #15872=EDGE_CURVE('',#13001,#13002,#12038,.T.); #15873=EDGE_CURVE('',#12977,#13002,#5772,.T.); #15874=EDGE_CURVE('',#13003,#12978,#5773,.T.); #15875=EDGE_CURVE('',#13003,#13004,#12039,.T.); #15876=EDGE_CURVE('',#12979,#13004,#5774,.T.); #15877=EDGE_CURVE('',#13005,#12980,#5775,.T.); #15878=EDGE_CURVE('',#13005,#13006,#12040,.T.); #15879=EDGE_CURVE('',#12981,#13006,#5776,.T.); #15880=EDGE_CURVE('',#13007,#12982,#5777,.T.); #15881=EDGE_CURVE('',#13007,#13008,#12041,.T.); #15882=EDGE_CURVE('',#12983,#13008,#5778,.T.); #15883=EDGE_CURVE('',#13009,#12984,#5779,.T.); #15884=EDGE_CURVE('',#13009,#13010,#12042,.T.); #15885=EDGE_CURVE('',#12986,#13010,#5780,.T.); #15886=EDGE_CURVE('',#13011,#12985,#5781,.T.); #15887=EDGE_CURVE('',#13011,#13012,#12043,.T.); #15888=EDGE_CURVE('',#12988,#13012,#5782,.T.); #15889=EDGE_CURVE('',#13013,#12987,#5783,.T.); #15890=EDGE_CURVE('',#13013,#13014,#12044,.T.); #15891=EDGE_CURVE('',#12989,#13014,#5784,.T.); #15892=EDGE_CURVE('',#13015,#13016,#12045,.T.); #15893=EDGE_CURVE('',#13017,#13015,#5785,.T.); #15894=EDGE_CURVE('',#13018,#13017,#12046,.T.); #15895=EDGE_CURVE('',#13019,#13018,#5786,.T.); #15896=EDGE_CURVE('',#13020,#13019,#12047,.T.); #15897=EDGE_CURVE('',#13021,#13020,#5787,.T.); #15898=EDGE_CURVE('',#13022,#13021,#12048,.T.); #15899=EDGE_CURVE('',#13016,#13022,#5788,.T.); #15900=EDGE_CURVE('',#13023,#13023,#12049,.T.); #15901=EDGE_CURVE('',#13024,#13025,#5789,.T.); #15902=EDGE_CURVE('',#13026,#13024,#5790,.T.); #15903=EDGE_CURVE('',#13027,#13026,#5791,.T.); #15904=EDGE_CURVE('',#13028,#13027,#5792,.T.); #15905=EDGE_CURVE('',#13029,#13028,#5793,.T.); #15906=EDGE_CURVE('',#13030,#13029,#5794,.T.); #15907=EDGE_CURVE('',#13031,#13030,#5795,.T.); #15908=EDGE_CURVE('',#13032,#13031,#5796,.T.); #15909=EDGE_CURVE('',#13033,#13032,#5797,.T.); #15910=EDGE_CURVE('',#13034,#13033,#5798,.T.); #15911=EDGE_CURVE('',#13035,#13034,#5799,.T.); #15912=EDGE_CURVE('',#13036,#13035,#5800,.T.); #15913=EDGE_CURVE('',#13037,#13036,#5801,.T.); #15914=EDGE_CURVE('',#13038,#13037,#5802,.T.); #15915=EDGE_CURVE('',#13039,#13038,#5803,.T.); #15916=EDGE_CURVE('',#13040,#13039,#5804,.T.); #15917=EDGE_CURVE('',#13041,#13040,#5805,.T.); #15918=EDGE_CURVE('',#13042,#13041,#5806,.T.); #15919=EDGE_CURVE('',#13043,#13042,#5807,.T.); #15920=EDGE_CURVE('',#13044,#13043,#5808,.T.); #15921=EDGE_CURVE('',#13045,#13044,#5809,.T.); #15922=EDGE_CURVE('',#13046,#13045,#5810,.T.); #15923=EDGE_CURVE('',#13047,#13046,#5811,.T.); #15924=EDGE_CURVE('',#13048,#13047,#5812,.T.); #15925=EDGE_CURVE('',#13049,#13048,#5813,.T.); #15926=EDGE_CURVE('',#13050,#13049,#5814,.T.); #15927=EDGE_CURVE('',#13051,#13050,#5815,.T.); #15928=EDGE_CURVE('',#13052,#13051,#5816,.T.); #15929=EDGE_CURVE('',#13053,#13052,#5817,.T.); #15930=EDGE_CURVE('',#13054,#13053,#5818,.T.); #15931=EDGE_CURVE('',#13055,#13054,#5819,.T.); #15932=EDGE_CURVE('',#13056,#13055,#5820,.T.); #15933=EDGE_CURVE('',#13057,#13056,#5821,.T.); #15934=EDGE_CURVE('',#13058,#13057,#5822,.T.); #15935=EDGE_CURVE('',#13059,#13058,#5823,.T.); #15936=EDGE_CURVE('',#13060,#13059,#5824,.T.); #15937=EDGE_CURVE('',#13061,#13060,#5825,.T.); #15938=EDGE_CURVE('',#13062,#13061,#5826,.T.); #15939=EDGE_CURVE('',#13063,#13062,#5827,.T.); #15940=EDGE_CURVE('',#13064,#13063,#5828,.T.); #15941=EDGE_CURVE('',#13065,#13064,#5829,.T.); #15942=EDGE_CURVE('',#13066,#13065,#5830,.T.); #15943=EDGE_CURVE('',#13067,#13066,#5831,.T.); #15944=EDGE_CURVE('',#13068,#13067,#5832,.T.); #15945=EDGE_CURVE('',#13069,#13068,#5833,.T.); #15946=EDGE_CURVE('',#13070,#13069,#5834,.T.); #15947=EDGE_CURVE('',#13071,#13070,#5835,.T.); #15948=EDGE_CURVE('',#13072,#13071,#5836,.T.); #15949=EDGE_CURVE('',#13073,#13072,#5837,.T.); #15950=EDGE_CURVE('',#13074,#13073,#5838,.T.); #15951=EDGE_CURVE('',#13075,#13074,#5839,.T.); #15952=EDGE_CURVE('',#13076,#13075,#5840,.T.); #15953=EDGE_CURVE('',#13077,#13076,#5841,.T.); #15954=EDGE_CURVE('',#13025,#13077,#5842,.T.); #15955=EDGE_CURVE('',#13078,#13079,#5843,.T.); #15956=EDGE_CURVE('',#13080,#13078,#5844,.T.); #15957=EDGE_CURVE('',#13081,#13080,#5845,.T.); #15958=EDGE_CURVE('',#13082,#13081,#5846,.T.); #15959=EDGE_CURVE('',#13083,#13082,#5847,.T.); #15960=EDGE_CURVE('',#13084,#13083,#5848,.T.); #15961=EDGE_CURVE('',#13085,#13084,#5849,.T.); #15962=EDGE_CURVE('',#13086,#13085,#5850,.T.); #15963=EDGE_CURVE('',#13079,#13086,#5851,.T.); #15964=EDGE_CURVE('',#13087,#13088,#5852,.T.); #15965=EDGE_CURVE('',#13089,#13087,#5853,.T.); #15966=EDGE_CURVE('',#13090,#13089,#5854,.T.); #15967=EDGE_CURVE('',#13091,#13090,#5855,.T.); #15968=EDGE_CURVE('',#13092,#13091,#5856,.T.); #15969=EDGE_CURVE('',#13093,#13092,#5857,.T.); #15970=EDGE_CURVE('',#13094,#13093,#5858,.T.); #15971=EDGE_CURVE('',#13095,#13094,#5859,.T.); #15972=EDGE_CURVE('',#13096,#13095,#5860,.T.); #15973=EDGE_CURVE('',#13097,#13096,#5861,.T.); #15974=EDGE_CURVE('',#13098,#13097,#5862,.T.); #15975=EDGE_CURVE('',#13099,#13098,#5863,.T.); #15976=EDGE_CURVE('',#13100,#13099,#5864,.T.); #15977=EDGE_CURVE('',#13101,#13100,#5865,.T.); #15978=EDGE_CURVE('',#13102,#13101,#5866,.T.); #15979=EDGE_CURVE('',#13103,#13102,#5867,.T.); #15980=EDGE_CURVE('',#13104,#13103,#5868,.T.); #15981=EDGE_CURVE('',#13105,#13104,#5869,.T.); #15982=EDGE_CURVE('',#13106,#13105,#5870,.T.); #15983=EDGE_CURVE('',#13107,#13106,#5871,.T.); #15984=EDGE_CURVE('',#13108,#13107,#5872,.T.); #15985=EDGE_CURVE('',#13109,#13108,#5873,.T.); #15986=EDGE_CURVE('',#13110,#13109,#5874,.T.); #15987=EDGE_CURVE('',#13111,#13110,#5875,.T.); #15988=EDGE_CURVE('',#13112,#13111,#5876,.T.); #15989=EDGE_CURVE('',#13113,#13112,#5877,.T.); #15990=EDGE_CURVE('',#13114,#13113,#5878,.T.); #15991=EDGE_CURVE('',#13115,#13114,#5879,.T.); #15992=EDGE_CURVE('',#13116,#13115,#5880,.T.); #15993=EDGE_CURVE('',#13117,#13116,#5881,.T.); #15994=EDGE_CURVE('',#13118,#13117,#5882,.T.); #15995=EDGE_CURVE('',#13119,#13118,#5883,.T.); #15996=EDGE_CURVE('',#13120,#13119,#5884,.T.); #15997=EDGE_CURVE('',#13121,#13120,#5885,.T.); #15998=EDGE_CURVE('',#13122,#13121,#5886,.T.); #15999=EDGE_CURVE('',#13123,#13122,#5887,.T.); #16000=EDGE_CURVE('',#13124,#13123,#5888,.T.); #16001=EDGE_CURVE('',#13125,#13124,#5889,.T.); #16002=EDGE_CURVE('',#13126,#13125,#5890,.T.); #16003=EDGE_CURVE('',#13127,#13126,#5891,.T.); #16004=EDGE_CURVE('',#13128,#13127,#5892,.T.); #16005=EDGE_CURVE('',#13129,#13128,#5893,.T.); #16006=EDGE_CURVE('',#13130,#13129,#5894,.T.); #16007=EDGE_CURVE('',#13131,#13130,#5895,.T.); #16008=EDGE_CURVE('',#13132,#13131,#5896,.T.); #16009=EDGE_CURVE('',#13133,#13132,#5897,.T.); #16010=EDGE_CURVE('',#13134,#13133,#5898,.T.); #16011=EDGE_CURVE('',#13135,#13134,#5899,.T.); #16012=EDGE_CURVE('',#13136,#13135,#5900,.T.); #16013=EDGE_CURVE('',#13137,#13136,#5901,.T.); #16014=EDGE_CURVE('',#13138,#13137,#5902,.T.); #16015=EDGE_CURVE('',#13139,#13138,#5903,.T.); #16016=EDGE_CURVE('',#13140,#13139,#5904,.T.); #16017=EDGE_CURVE('',#13088,#13140,#5905,.T.); #16018=EDGE_CURVE('',#13141,#13142,#5906,.T.); #16019=EDGE_CURVE('',#13143,#13141,#5907,.T.); #16020=EDGE_CURVE('',#13144,#13143,#5908,.T.); #16021=EDGE_CURVE('',#13145,#13144,#5909,.T.); #16022=EDGE_CURVE('',#13146,#13145,#5910,.T.); #16023=EDGE_CURVE('',#13147,#13146,#5911,.T.); #16024=EDGE_CURVE('',#13148,#13147,#5912,.T.); #16025=EDGE_CURVE('',#13142,#13148,#5913,.T.); #16026=EDGE_CURVE('',#13149,#13150,#5914,.T.); #16027=EDGE_CURVE('',#13151,#13149,#5915,.T.); #16028=EDGE_CURVE('',#13152,#13151,#5916,.T.); #16029=EDGE_CURVE('',#13153,#13152,#5917,.T.); #16030=EDGE_CURVE('',#13154,#13153,#5918,.T.); #16031=EDGE_CURVE('',#13155,#13154,#5919,.T.); #16032=EDGE_CURVE('',#13156,#13155,#5920,.T.); #16033=EDGE_CURVE('',#13157,#13156,#5921,.T.); #16034=EDGE_CURVE('',#13158,#13157,#5922,.T.); #16035=EDGE_CURVE('',#13159,#13158,#5923,.T.); #16036=EDGE_CURVE('',#13160,#13159,#5924,.T.); #16037=EDGE_CURVE('',#13150,#13160,#5925,.T.); #16038=EDGE_CURVE('',#13161,#13162,#5926,.T.); #16039=EDGE_CURVE('',#13163,#13161,#5927,.T.); #16040=EDGE_CURVE('',#13164,#13163,#5928,.T.); #16041=EDGE_CURVE('',#13165,#13164,#5929,.T.); #16042=EDGE_CURVE('',#13166,#13165,#5930,.T.); #16043=EDGE_CURVE('',#13167,#13166,#5931,.T.); #16044=EDGE_CURVE('',#13168,#13167,#5932,.T.); #16045=EDGE_CURVE('',#13169,#13168,#5933,.T.); #16046=EDGE_CURVE('',#13170,#13169,#5934,.T.); #16047=EDGE_CURVE('',#13171,#13170,#5935,.T.); #16048=EDGE_CURVE('',#13172,#13171,#5936,.T.); #16049=EDGE_CURVE('',#13173,#13172,#5937,.T.); #16050=EDGE_CURVE('',#13162,#13173,#5938,.T.); #16051=EDGE_CURVE('',#13174,#13175,#5939,.T.); #16052=EDGE_CURVE('',#13176,#13174,#5940,.T.); #16053=EDGE_CURVE('',#13177,#13176,#5941,.T.); #16054=EDGE_CURVE('',#13178,#13177,#5942,.T.); #16055=EDGE_CURVE('',#13179,#13178,#5943,.T.); #16056=EDGE_CURVE('',#13180,#13179,#5944,.T.); #16057=EDGE_CURVE('',#13181,#13180,#5945,.T.); #16058=EDGE_CURVE('',#13182,#13181,#5946,.T.); #16059=EDGE_CURVE('',#13183,#13182,#5947,.T.); #16060=EDGE_CURVE('',#13184,#13183,#5948,.T.); #16061=EDGE_CURVE('',#13185,#13184,#5949,.T.); #16062=EDGE_CURVE('',#13186,#13185,#5950,.T.); #16063=EDGE_CURVE('',#13187,#13186,#5951,.T.); #16064=EDGE_CURVE('',#13175,#13187,#5952,.T.); #16065=EDGE_CURVE('',#13188,#13189,#5953,.T.); #16066=EDGE_CURVE('',#13190,#13188,#5954,.T.); #16067=EDGE_CURVE('',#13191,#13190,#5955,.T.); #16068=EDGE_CURVE('',#13192,#13191,#5956,.T.); #16069=EDGE_CURVE('',#13193,#13192,#5957,.T.); #16070=EDGE_CURVE('',#13194,#13193,#5958,.T.); #16071=EDGE_CURVE('',#13195,#13194,#5959,.T.); #16072=EDGE_CURVE('',#13196,#13195,#5960,.T.); #16073=EDGE_CURVE('',#13197,#13196,#5961,.T.); #16074=EDGE_CURVE('',#13189,#13197,#5962,.T.); #16075=EDGE_CURVE('',#13198,#13199,#5963,.T.); #16076=EDGE_CURVE('',#13200,#13198,#5964,.T.); #16077=EDGE_CURVE('',#13201,#13200,#5965,.T.); #16078=EDGE_CURVE('',#13202,#13201,#5966,.T.); #16079=EDGE_CURVE('',#13203,#13202,#5967,.T.); #16080=EDGE_CURVE('',#13199,#13203,#5968,.T.); #16081=EDGE_CURVE('',#13204,#13205,#5969,.T.); #16082=EDGE_CURVE('',#13206,#13204,#5970,.T.); #16083=EDGE_CURVE('',#13207,#13206,#5971,.T.); #16084=EDGE_CURVE('',#13208,#13207,#5972,.T.); #16085=EDGE_CURVE('',#13209,#13208,#5973,.T.); #16086=EDGE_CURVE('',#13210,#13209,#5974,.T.); #16087=EDGE_CURVE('',#13211,#13210,#5975,.T.); #16088=EDGE_CURVE('',#13205,#13211,#5976,.T.); #16089=EDGE_CURVE('',#13212,#13213,#5977,.T.); #16090=EDGE_CURVE('',#13214,#13212,#5978,.T.); #16091=EDGE_CURVE('',#13213,#13214,#5979,.T.); #16092=EDGE_CURVE('',#13215,#13216,#5980,.T.); #16093=EDGE_CURVE('',#13217,#13215,#5981,.T.); #16094=EDGE_CURVE('',#13218,#13217,#5982,.T.); #16095=EDGE_CURVE('',#13219,#13218,#5983,.T.); #16096=EDGE_CURVE('',#13220,#13219,#5984,.T.); #16097=EDGE_CURVE('',#13221,#13220,#5985,.T.); #16098=EDGE_CURVE('',#13222,#13221,#5986,.T.); #16099=EDGE_CURVE('',#13223,#13222,#5987,.T.); #16100=EDGE_CURVE('',#13224,#13223,#5988,.T.); #16101=EDGE_CURVE('',#13225,#13224,#5989,.T.); #16102=EDGE_CURVE('',#13226,#13225,#5990,.T.); #16103=EDGE_CURVE('',#13227,#13226,#5991,.T.); #16104=EDGE_CURVE('',#13228,#13227,#5992,.T.); #16105=EDGE_CURVE('',#13229,#13228,#5993,.T.); #16106=EDGE_CURVE('',#13230,#13229,#5994,.T.); #16107=EDGE_CURVE('',#13231,#13230,#5995,.T.); #16108=EDGE_CURVE('',#13232,#13231,#5996,.T.); #16109=EDGE_CURVE('',#13233,#13232,#5997,.T.); #16110=EDGE_CURVE('',#13234,#13233,#5998,.T.); #16111=EDGE_CURVE('',#13235,#13234,#5999,.T.); #16112=EDGE_CURVE('',#13236,#13235,#6000,.T.); #16113=EDGE_CURVE('',#13237,#13236,#6001,.T.); #16114=EDGE_CURVE('',#13238,#13237,#6002,.T.); #16115=EDGE_CURVE('',#13239,#13238,#6003,.T.); #16116=EDGE_CURVE('',#13240,#13239,#6004,.T.); #16117=EDGE_CURVE('',#13241,#13240,#6005,.T.); #16118=EDGE_CURVE('',#13242,#13241,#6006,.T.); #16119=EDGE_CURVE('',#13243,#13242,#6007,.T.); #16120=EDGE_CURVE('',#13244,#13243,#6008,.T.); #16121=EDGE_CURVE('',#13245,#13244,#6009,.T.); #16122=EDGE_CURVE('',#13246,#13245,#6010,.T.); #16123=EDGE_CURVE('',#13247,#13246,#6011,.T.); #16124=EDGE_CURVE('',#13248,#13247,#6012,.T.); #16125=EDGE_CURVE('',#13249,#13248,#6013,.T.); #16126=EDGE_CURVE('',#13250,#13249,#6014,.T.); #16127=EDGE_CURVE('',#13251,#13250,#6015,.T.); #16128=EDGE_CURVE('',#13252,#13251,#6016,.T.); #16129=EDGE_CURVE('',#13253,#13252,#6017,.T.); #16130=EDGE_CURVE('',#13254,#13253,#6018,.T.); #16131=EDGE_CURVE('',#13255,#13254,#6019,.T.); #16132=EDGE_CURVE('',#13256,#13255,#6020,.T.); #16133=EDGE_CURVE('',#13257,#13256,#6021,.T.); #16134=EDGE_CURVE('',#13258,#13257,#6022,.T.); #16135=EDGE_CURVE('',#13259,#13258,#6023,.T.); #16136=EDGE_CURVE('',#13260,#13259,#6024,.T.); #16137=EDGE_CURVE('',#13261,#13260,#6025,.T.); #16138=EDGE_CURVE('',#13262,#13261,#6026,.T.); #16139=EDGE_CURVE('',#13263,#13262,#6027,.T.); #16140=EDGE_CURVE('',#13264,#13263,#6028,.T.); #16141=EDGE_CURVE('',#13265,#13264,#6029,.T.); #16142=EDGE_CURVE('',#13266,#13265,#6030,.T.); #16143=EDGE_CURVE('',#13267,#13266,#6031,.T.); #16144=EDGE_CURVE('',#13268,#13267,#6032,.T.); #16145=EDGE_CURVE('',#13216,#13268,#6033,.T.); #16146=EDGE_CURVE('',#13269,#13270,#6034,.T.); #16147=EDGE_CURVE('',#13271,#13269,#6035,.T.); #16148=EDGE_CURVE('',#13272,#13271,#6036,.T.); #16149=EDGE_CURVE('',#13273,#13272,#6037,.T.); #16150=EDGE_CURVE('',#13274,#13273,#6038,.T.); #16151=EDGE_CURVE('',#13275,#13274,#6039,.T.); #16152=EDGE_CURVE('',#13276,#13275,#6040,.T.); #16153=EDGE_CURVE('',#13270,#13276,#6041,.T.); #16154=EDGE_CURVE('',#12921,#13014,#6042,.T.); #16155=EDGE_CURVE('',#12922,#13013,#6043,.T.); #16156=EDGE_CURVE('',#12925,#13012,#6044,.T.); #16157=EDGE_CURVE('',#12926,#13011,#6045,.T.); #16158=EDGE_CURVE('',#12929,#13010,#6046,.T.); #16159=EDGE_CURVE('',#12930,#13009,#6047,.T.); #16160=EDGE_CURVE('',#12933,#13008,#6048,.T.); #16161=EDGE_CURVE('',#12934,#13007,#6049,.T.); #16162=EDGE_CURVE('',#12937,#13006,#6050,.T.); #16163=EDGE_CURVE('',#12938,#13005,#6051,.T.); #16164=EDGE_CURVE('',#12941,#13004,#6052,.T.); #16165=EDGE_CURVE('',#12942,#13003,#6053,.T.); #16166=EDGE_CURVE('',#12945,#13002,#6054,.T.); #16167=EDGE_CURVE('',#12946,#13001,#6055,.T.); #16168=EDGE_CURVE('',#12949,#13000,#6056,.T.); #16169=EDGE_CURVE('',#12950,#12999,#6057,.T.); #16170=EDGE_CURVE('',#12953,#12998,#6058,.T.); #16171=EDGE_CURVE('',#12954,#12997,#6059,.T.); #16172=EDGE_CURVE('',#12957,#12996,#6060,.T.); #16173=EDGE_CURVE('',#12958,#12995,#6061,.T.); #16174=EDGE_CURVE('',#12961,#12994,#6062,.T.); #16175=EDGE_CURVE('',#12962,#12993,#6063,.T.); #16176=EDGE_CURVE('',#12965,#12992,#6064,.T.); #16177=EDGE_CURVE('',#13016,#13277,#6065,.T.); #16178=EDGE_CURVE('',#13277,#13278,#12050,.T.); #16179=EDGE_CURVE('',#13015,#13278,#6066,.T.); #16180=EDGE_CURVE('',#13278,#13279,#6067,.T.); #16181=EDGE_CURVE('',#13017,#13279,#6068,.T.); #16182=EDGE_CURVE('',#13279,#13280,#12051,.T.); #16183=EDGE_CURVE('',#13018,#13280,#6069,.T.); #16184=EDGE_CURVE('',#13280,#13281,#6070,.T.); #16185=EDGE_CURVE('',#13019,#13281,#6071,.T.); #16186=EDGE_CURVE('',#13281,#13282,#12052,.T.); #16187=EDGE_CURVE('',#13020,#13282,#6072,.T.); #16188=EDGE_CURVE('',#13282,#13283,#6073,.T.); #16189=EDGE_CURVE('',#13021,#13283,#6074,.T.); #16190=EDGE_CURVE('',#13283,#13284,#12053,.T.); #16191=EDGE_CURVE('',#13022,#13284,#6075,.T.); #16192=EDGE_CURVE('',#13284,#13277,#6076,.T.); #16193=EDGE_CURVE('',#13285,#13286,#6077,.T.); #16194=EDGE_CURVE('',#13287,#13285,#6078,.T.); #16195=EDGE_CURVE('',#13288,#13287,#6079,.T.); #16196=EDGE_CURVE('',#13289,#13288,#6080,.T.); #16197=EDGE_CURVE('',#13290,#13289,#6081,.T.); #16198=EDGE_CURVE('',#13291,#13290,#6082,.T.); #16199=EDGE_CURVE('',#13292,#13291,#6083,.T.); #16200=EDGE_CURVE('',#13293,#13292,#6084,.T.); #16201=EDGE_CURVE('',#13294,#13293,#6085,.T.); #16202=EDGE_CURVE('',#13295,#13294,#6086,.T.); #16203=EDGE_CURVE('',#13296,#13295,#6087,.T.); #16204=EDGE_CURVE('',#13297,#13296,#6088,.T.); #16205=EDGE_CURVE('',#13298,#13297,#6089,.T.); #16206=EDGE_CURVE('',#13299,#13298,#6090,.T.); #16207=EDGE_CURVE('',#13300,#13299,#6091,.T.); #16208=EDGE_CURVE('',#13301,#13300,#6092,.T.); #16209=EDGE_CURVE('',#13302,#13301,#6093,.T.); #16210=EDGE_CURVE('',#13303,#13302,#6094,.T.); #16211=EDGE_CURVE('',#13304,#13303,#6095,.T.); #16212=EDGE_CURVE('',#13305,#13304,#6096,.T.); #16213=EDGE_CURVE('',#13306,#13305,#6097,.T.); #16214=EDGE_CURVE('',#13307,#13306,#6098,.T.); #16215=EDGE_CURVE('',#13308,#13307,#6099,.T.); #16216=EDGE_CURVE('',#13309,#13308,#6100,.T.); #16217=EDGE_CURVE('',#13310,#13309,#6101,.T.); #16218=EDGE_CURVE('',#13311,#13310,#6102,.T.); #16219=EDGE_CURVE('',#13312,#13311,#6103,.T.); #16220=EDGE_CURVE('',#13313,#13312,#6104,.T.); #16221=EDGE_CURVE('',#13314,#13313,#6105,.T.); #16222=EDGE_CURVE('',#13315,#13314,#6106,.T.); #16223=EDGE_CURVE('',#13316,#13315,#6107,.T.); #16224=EDGE_CURVE('',#13317,#13316,#6108,.T.); #16225=EDGE_CURVE('',#13318,#13317,#6109,.T.); #16226=EDGE_CURVE('',#13319,#13318,#6110,.T.); #16227=EDGE_CURVE('',#13320,#13319,#6111,.T.); #16228=EDGE_CURVE('',#13321,#13320,#6112,.T.); #16229=EDGE_CURVE('',#13322,#13321,#6113,.T.); #16230=EDGE_CURVE('',#13323,#13322,#6114,.T.); #16231=EDGE_CURVE('',#13324,#13323,#6115,.T.); #16232=EDGE_CURVE('',#13325,#13324,#6116,.T.); #16233=EDGE_CURVE('',#13326,#13325,#6117,.T.); #16234=EDGE_CURVE('',#13327,#13326,#6118,.T.); #16235=EDGE_CURVE('',#13328,#13327,#6119,.T.); #16236=EDGE_CURVE('',#13329,#13328,#6120,.T.); #16237=EDGE_CURVE('',#13330,#13329,#6121,.T.); #16238=EDGE_CURVE('',#13331,#13330,#6122,.T.); #16239=EDGE_CURVE('',#13332,#13331,#6123,.T.); #16240=EDGE_CURVE('',#13333,#13332,#6124,.T.); #16241=EDGE_CURVE('',#13334,#13333,#6125,.T.); #16242=EDGE_CURVE('',#13335,#13334,#6126,.T.); #16243=EDGE_CURVE('',#13336,#13335,#6127,.T.); #16244=EDGE_CURVE('',#13337,#13336,#6128,.T.); #16245=EDGE_CURVE('',#13338,#13337,#6129,.T.); #16246=EDGE_CURVE('',#13286,#13338,#6130,.T.); #16247=EDGE_CURVE('',#13339,#13340,#6131,.T.); #16248=EDGE_CURVE('',#13341,#13339,#6132,.T.); #16249=EDGE_CURVE('',#13342,#13341,#6133,.T.); #16250=EDGE_CURVE('',#13343,#13342,#6134,.T.); #16251=EDGE_CURVE('',#13344,#13343,#6135,.T.); #16252=EDGE_CURVE('',#13345,#13344,#6136,.T.); #16253=EDGE_CURVE('',#13346,#13345,#6137,.T.); #16254=EDGE_CURVE('',#13347,#13346,#6138,.T.); #16255=EDGE_CURVE('',#13340,#13347,#6139,.T.); #16256=EDGE_CURVE('',#13348,#13349,#6140,.T.); #16257=EDGE_CURVE('',#13350,#13348,#6141,.T.); #16258=EDGE_CURVE('',#13351,#13350,#6142,.T.); #16259=EDGE_CURVE('',#13352,#13351,#6143,.T.); #16260=EDGE_CURVE('',#13353,#13352,#6144,.T.); #16261=EDGE_CURVE('',#13354,#13353,#6145,.T.); #16262=EDGE_CURVE('',#13355,#13354,#6146,.T.); #16263=EDGE_CURVE('',#13356,#13355,#6147,.T.); #16264=EDGE_CURVE('',#13357,#13356,#6148,.T.); #16265=EDGE_CURVE('',#13358,#13357,#6149,.T.); #16266=EDGE_CURVE('',#13359,#13358,#6150,.T.); #16267=EDGE_CURVE('',#13360,#13359,#6151,.T.); #16268=EDGE_CURVE('',#13361,#13360,#6152,.T.); #16269=EDGE_CURVE('',#13362,#13361,#6153,.T.); #16270=EDGE_CURVE('',#13363,#13362,#6154,.T.); #16271=EDGE_CURVE('',#13364,#13363,#6155,.T.); #16272=EDGE_CURVE('',#13365,#13364,#6156,.T.); #16273=EDGE_CURVE('',#13366,#13365,#6157,.T.); #16274=EDGE_CURVE('',#13367,#13366,#6158,.T.); #16275=EDGE_CURVE('',#13368,#13367,#6159,.T.); #16276=EDGE_CURVE('',#13369,#13368,#6160,.T.); #16277=EDGE_CURVE('',#13370,#13369,#6161,.T.); #16278=EDGE_CURVE('',#13371,#13370,#6162,.T.); #16279=EDGE_CURVE('',#13372,#13371,#6163,.T.); #16280=EDGE_CURVE('',#13373,#13372,#6164,.T.); #16281=EDGE_CURVE('',#13374,#13373,#6165,.T.); #16282=EDGE_CURVE('',#13375,#13374,#6166,.T.); #16283=EDGE_CURVE('',#13376,#13375,#6167,.T.); #16284=EDGE_CURVE('',#13377,#13376,#6168,.T.); #16285=EDGE_CURVE('',#13378,#13377,#6169,.T.); #16286=EDGE_CURVE('',#13379,#13378,#6170,.T.); #16287=EDGE_CURVE('',#13380,#13379,#6171,.T.); #16288=EDGE_CURVE('',#13381,#13380,#6172,.T.); #16289=EDGE_CURVE('',#13382,#13381,#6173,.T.); #16290=EDGE_CURVE('',#13383,#13382,#6174,.T.); #16291=EDGE_CURVE('',#13384,#13383,#6175,.T.); #16292=EDGE_CURVE('',#13385,#13384,#6176,.T.); #16293=EDGE_CURVE('',#13386,#13385,#6177,.T.); #16294=EDGE_CURVE('',#13387,#13386,#6178,.T.); #16295=EDGE_CURVE('',#13388,#13387,#6179,.T.); #16296=EDGE_CURVE('',#13389,#13388,#6180,.T.); #16297=EDGE_CURVE('',#13390,#13389,#6181,.T.); #16298=EDGE_CURVE('',#13391,#13390,#6182,.T.); #16299=EDGE_CURVE('',#13392,#13391,#6183,.T.); #16300=EDGE_CURVE('',#13393,#13392,#6184,.T.); #16301=EDGE_CURVE('',#13394,#13393,#6185,.T.); #16302=EDGE_CURVE('',#13395,#13394,#6186,.T.); #16303=EDGE_CURVE('',#13396,#13395,#6187,.T.); #16304=EDGE_CURVE('',#13397,#13396,#6188,.T.); #16305=EDGE_CURVE('',#13398,#13397,#6189,.T.); #16306=EDGE_CURVE('',#13399,#13398,#6190,.T.); #16307=EDGE_CURVE('',#13400,#13399,#6191,.T.); #16308=EDGE_CURVE('',#13401,#13400,#6192,.T.); #16309=EDGE_CURVE('',#13349,#13401,#6193,.T.); #16310=EDGE_CURVE('',#13402,#13403,#6194,.T.); #16311=EDGE_CURVE('',#13404,#13402,#6195,.T.); #16312=EDGE_CURVE('',#13405,#13404,#6196,.T.); #16313=EDGE_CURVE('',#13406,#13405,#6197,.T.); #16314=EDGE_CURVE('',#13407,#13406,#6198,.T.); #16315=EDGE_CURVE('',#13408,#13407,#6199,.T.); #16316=EDGE_CURVE('',#13409,#13408,#6200,.T.); #16317=EDGE_CURVE('',#13403,#13409,#6201,.T.); #16318=EDGE_CURVE('',#13410,#13411,#6202,.T.); #16319=EDGE_CURVE('',#13412,#13410,#6203,.T.); #16320=EDGE_CURVE('',#13413,#13412,#6204,.T.); #16321=EDGE_CURVE('',#13414,#13413,#6205,.T.); #16322=EDGE_CURVE('',#13415,#13414,#6206,.T.); #16323=EDGE_CURVE('',#13416,#13415,#6207,.T.); #16324=EDGE_CURVE('',#13417,#13416,#6208,.T.); #16325=EDGE_CURVE('',#13418,#13417,#6209,.T.); #16326=EDGE_CURVE('',#13419,#13418,#6210,.T.); #16327=EDGE_CURVE('',#13420,#13419,#6211,.T.); #16328=EDGE_CURVE('',#13421,#13420,#6212,.T.); #16329=EDGE_CURVE('',#13411,#13421,#6213,.T.); #16330=EDGE_CURVE('',#13422,#13423,#6214,.T.); #16331=EDGE_CURVE('',#13424,#13422,#6215,.T.); #16332=EDGE_CURVE('',#13425,#13424,#6216,.T.); #16333=EDGE_CURVE('',#13426,#13425,#6217,.T.); #16334=EDGE_CURVE('',#13427,#13426,#6218,.T.); #16335=EDGE_CURVE('',#13428,#13427,#6219,.T.); #16336=EDGE_CURVE('',#13429,#13428,#6220,.T.); #16337=EDGE_CURVE('',#13430,#13429,#6221,.T.); #16338=EDGE_CURVE('',#13431,#13430,#6222,.T.); #16339=EDGE_CURVE('',#13432,#13431,#6223,.T.); #16340=EDGE_CURVE('',#13433,#13432,#6224,.T.); #16341=EDGE_CURVE('',#13434,#13433,#6225,.T.); #16342=EDGE_CURVE('',#13423,#13434,#6226,.T.); #16343=EDGE_CURVE('',#13435,#13436,#6227,.T.); #16344=EDGE_CURVE('',#13437,#13435,#6228,.T.); #16345=EDGE_CURVE('',#13438,#13437,#6229,.T.); #16346=EDGE_CURVE('',#13439,#13438,#6230,.T.); #16347=EDGE_CURVE('',#13440,#13439,#6231,.T.); #16348=EDGE_CURVE('',#13441,#13440,#6232,.T.); #16349=EDGE_CURVE('',#13442,#13441,#6233,.T.); #16350=EDGE_CURVE('',#13443,#13442,#6234,.T.); #16351=EDGE_CURVE('',#13444,#13443,#6235,.T.); #16352=EDGE_CURVE('',#13445,#13444,#6236,.T.); #16353=EDGE_CURVE('',#13446,#13445,#6237,.T.); #16354=EDGE_CURVE('',#13447,#13446,#6238,.T.); #16355=EDGE_CURVE('',#13448,#13447,#6239,.T.); #16356=EDGE_CURVE('',#13436,#13448,#6240,.T.); #16357=EDGE_CURVE('',#13449,#13450,#6241,.T.); #16358=EDGE_CURVE('',#13451,#13449,#6242,.T.); #16359=EDGE_CURVE('',#13452,#13451,#6243,.T.); #16360=EDGE_CURVE('',#13453,#13452,#6244,.T.); #16361=EDGE_CURVE('',#13454,#13453,#6245,.T.); #16362=EDGE_CURVE('',#13450,#13454,#6246,.T.); #16363=EDGE_CURVE('',#13455,#13456,#6247,.T.); #16364=EDGE_CURVE('',#13457,#13455,#6248,.T.); #16365=EDGE_CURVE('',#13458,#13457,#6249,.T.); #16366=EDGE_CURVE('',#13459,#13458,#6250,.T.); #16367=EDGE_CURVE('',#13460,#13459,#6251,.T.); #16368=EDGE_CURVE('',#13461,#13460,#6252,.T.); #16369=EDGE_CURVE('',#13462,#13461,#6253,.T.); #16370=EDGE_CURVE('',#13456,#13462,#6254,.T.); #16371=EDGE_CURVE('',#13463,#13464,#6255,.T.); #16372=EDGE_CURVE('',#13465,#13463,#6256,.T.); #16373=EDGE_CURVE('',#13466,#13465,#6257,.T.); #16374=EDGE_CURVE('',#13467,#13466,#6258,.T.); #16375=EDGE_CURVE('',#13468,#13467,#6259,.T.); #16376=EDGE_CURVE('',#13469,#13468,#6260,.T.); #16377=EDGE_CURVE('',#13470,#13469,#6261,.T.); #16378=EDGE_CURVE('',#13471,#13470,#6262,.T.); #16379=EDGE_CURVE('',#13472,#13471,#6263,.T.); #16380=EDGE_CURVE('',#13473,#13472,#6264,.T.); #16381=EDGE_CURVE('',#13474,#13473,#6265,.T.); #16382=EDGE_CURVE('',#13475,#13474,#6266,.T.); #16383=EDGE_CURVE('',#13476,#13475,#6267,.T.); #16384=EDGE_CURVE('',#13477,#13476,#6268,.T.); #16385=EDGE_CURVE('',#13478,#13477,#6269,.T.); #16386=EDGE_CURVE('',#13479,#13478,#6270,.T.); #16387=EDGE_CURVE('',#13480,#13479,#6271,.T.); #16388=EDGE_CURVE('',#13481,#13480,#6272,.T.); #16389=EDGE_CURVE('',#13482,#13481,#6273,.T.); #16390=EDGE_CURVE('',#13483,#13482,#6274,.T.); #16391=EDGE_CURVE('',#13484,#13483,#6275,.T.); #16392=EDGE_CURVE('',#13485,#13484,#6276,.T.); #16393=EDGE_CURVE('',#13486,#13485,#6277,.T.); #16394=EDGE_CURVE('',#13487,#13486,#6278,.T.); #16395=EDGE_CURVE('',#13488,#13487,#6279,.T.); #16396=EDGE_CURVE('',#13489,#13488,#6280,.T.); #16397=EDGE_CURVE('',#13490,#13489,#6281,.T.); #16398=EDGE_CURVE('',#13491,#13490,#6282,.T.); #16399=EDGE_CURVE('',#13492,#13491,#6283,.T.); #16400=EDGE_CURVE('',#13493,#13492,#6284,.T.); #16401=EDGE_CURVE('',#13494,#13493,#6285,.T.); #16402=EDGE_CURVE('',#13495,#13494,#6286,.T.); #16403=EDGE_CURVE('',#13496,#13495,#6287,.T.); #16404=EDGE_CURVE('',#13497,#13496,#6288,.T.); #16405=EDGE_CURVE('',#13498,#13497,#6289,.T.); #16406=EDGE_CURVE('',#13499,#13498,#6290,.T.); #16407=EDGE_CURVE('',#13500,#13499,#6291,.T.); #16408=EDGE_CURVE('',#13501,#13500,#6292,.T.); #16409=EDGE_CURVE('',#13502,#13501,#6293,.T.); #16410=EDGE_CURVE('',#13503,#13502,#6294,.T.); #16411=EDGE_CURVE('',#13504,#13503,#6295,.T.); #16412=EDGE_CURVE('',#13505,#13504,#6296,.T.); #16413=EDGE_CURVE('',#13506,#13505,#6297,.T.); #16414=EDGE_CURVE('',#13507,#13506,#6298,.T.); #16415=EDGE_CURVE('',#13508,#13507,#6299,.T.); #16416=EDGE_CURVE('',#13509,#13508,#6300,.T.); #16417=EDGE_CURVE('',#13510,#13509,#6301,.T.); #16418=EDGE_CURVE('',#13511,#13510,#6302,.T.); #16419=EDGE_CURVE('',#13512,#13511,#6303,.T.); #16420=EDGE_CURVE('',#13513,#13512,#6304,.T.); #16421=EDGE_CURVE('',#13514,#13513,#6305,.T.); #16422=EDGE_CURVE('',#13515,#13514,#6306,.T.); #16423=EDGE_CURVE('',#13516,#13515,#6307,.T.); #16424=EDGE_CURVE('',#13464,#13516,#6308,.T.); #16425=EDGE_CURVE('',#13517,#13518,#6309,.T.); #16426=EDGE_CURVE('',#13519,#13517,#6310,.T.); #16427=EDGE_CURVE('',#13520,#13519,#6311,.T.); #16428=EDGE_CURVE('',#13521,#13520,#6312,.T.); #16429=EDGE_CURVE('',#13522,#13521,#6313,.T.); #16430=EDGE_CURVE('',#13523,#13522,#6314,.T.); #16431=EDGE_CURVE('',#13524,#13523,#6315,.T.); #16432=EDGE_CURVE('',#13518,#13524,#6316,.T.); #16433=EDGE_CURVE('',#13525,#13526,#6317,.T.); #16434=EDGE_CURVE('',#13527,#13525,#6318,.T.); #16435=EDGE_CURVE('',#13528,#13527,#6319,.T.); #16436=EDGE_CURVE('',#13529,#13528,#6320,.T.); #16437=EDGE_CURVE('',#13530,#13529,#6321,.T.); #16438=EDGE_CURVE('',#13531,#13530,#6322,.T.); #16439=EDGE_CURVE('',#13532,#13531,#6323,.T.); #16440=EDGE_CURVE('',#13533,#13532,#6324,.T.); #16441=EDGE_CURVE('',#13534,#13533,#6325,.T.); #16442=EDGE_CURVE('',#13526,#13534,#6326,.T.); #16443=EDGE_CURVE('',#13535,#13536,#6327,.T.); #16444=EDGE_CURVE('',#13537,#13535,#6328,.T.); #16445=EDGE_CURVE('',#13536,#13537,#6329,.T.); #16446=EDGE_CURVE('',#13025,#13285,#6330,.T.); #16447=EDGE_CURVE('',#13024,#13286,#6331,.T.); #16448=EDGE_CURVE('',#13026,#13338,#6332,.T.); #16449=EDGE_CURVE('',#13027,#13337,#6333,.T.); #16450=EDGE_CURVE('',#13028,#13336,#6334,.T.); #16451=EDGE_CURVE('',#13029,#13335,#6335,.T.); #16452=EDGE_CURVE('',#13030,#13334,#6336,.T.); #16453=EDGE_CURVE('',#13031,#13333,#6337,.T.); #16454=EDGE_CURVE('',#13032,#13332,#6338,.T.); #16455=EDGE_CURVE('',#13033,#13331,#6339,.T.); #16456=EDGE_CURVE('',#13034,#13330,#6340,.T.); #16457=EDGE_CURVE('',#13035,#13329,#6341,.T.); #16458=EDGE_CURVE('',#13036,#13328,#6342,.T.); #16459=EDGE_CURVE('',#13037,#13327,#6343,.T.); #16460=EDGE_CURVE('',#13038,#13326,#6344,.T.); #16461=EDGE_CURVE('',#13039,#13325,#6345,.T.); #16462=EDGE_CURVE('',#13040,#13324,#6346,.T.); #16463=EDGE_CURVE('',#13041,#13323,#6347,.T.); #16464=EDGE_CURVE('',#13042,#13322,#6348,.T.); #16465=EDGE_CURVE('',#13043,#13321,#6349,.T.); #16466=EDGE_CURVE('',#13044,#13320,#6350,.T.); #16467=EDGE_CURVE('',#13045,#13319,#6351,.T.); #16468=EDGE_CURVE('',#13046,#13318,#6352,.T.); #16469=EDGE_CURVE('',#13047,#13317,#6353,.T.); #16470=EDGE_CURVE('',#13048,#13316,#6354,.T.); #16471=EDGE_CURVE('',#13049,#13315,#6355,.T.); #16472=EDGE_CURVE('',#13050,#13314,#6356,.T.); #16473=EDGE_CURVE('',#13051,#13313,#6357,.T.); #16474=EDGE_CURVE('',#13052,#13312,#6358,.T.); #16475=EDGE_CURVE('',#13053,#13311,#6359,.T.); #16476=EDGE_CURVE('',#13054,#13310,#6360,.T.); #16477=EDGE_CURVE('',#13055,#13309,#6361,.T.); #16478=EDGE_CURVE('',#13056,#13308,#6362,.T.); #16479=EDGE_CURVE('',#13057,#13307,#6363,.T.); #16480=EDGE_CURVE('',#13058,#13306,#6364,.T.); #16481=EDGE_CURVE('',#13059,#13305,#6365,.T.); #16482=EDGE_CURVE('',#13060,#13304,#6366,.T.); #16483=EDGE_CURVE('',#13061,#13303,#6367,.T.); #16484=EDGE_CURVE('',#13062,#13302,#6368,.T.); #16485=EDGE_CURVE('',#13063,#13301,#6369,.T.); #16486=EDGE_CURVE('',#13064,#13300,#6370,.T.); #16487=EDGE_CURVE('',#13065,#13299,#6371,.T.); #16488=EDGE_CURVE('',#13066,#13298,#6372,.T.); #16489=EDGE_CURVE('',#13067,#13297,#6373,.T.); #16490=EDGE_CURVE('',#13068,#13296,#6374,.T.); #16491=EDGE_CURVE('',#13069,#13295,#6375,.T.); #16492=EDGE_CURVE('',#13070,#13294,#6376,.T.); #16493=EDGE_CURVE('',#13071,#13293,#6377,.T.); #16494=EDGE_CURVE('',#13072,#13292,#6378,.T.); #16495=EDGE_CURVE('',#13073,#13291,#6379,.T.); #16496=EDGE_CURVE('',#13074,#13290,#6380,.T.); #16497=EDGE_CURVE('',#13075,#13289,#6381,.T.); #16498=EDGE_CURVE('',#13076,#13288,#6382,.T.); #16499=EDGE_CURVE('',#13077,#13287,#6383,.T.); #16500=EDGE_CURVE('',#13079,#13339,#6384,.T.); #16501=EDGE_CURVE('',#13078,#13340,#6385,.T.); #16502=EDGE_CURVE('',#13080,#13347,#6386,.T.); #16503=EDGE_CURVE('',#13081,#13346,#6387,.T.); #16504=EDGE_CURVE('',#13082,#13345,#6388,.T.); #16505=EDGE_CURVE('',#13083,#13344,#6389,.T.); #16506=EDGE_CURVE('',#13084,#13343,#6390,.T.); #16507=EDGE_CURVE('',#13085,#13342,#6391,.T.); #16508=EDGE_CURVE('',#13086,#13341,#6392,.T.); #16509=EDGE_CURVE('',#13088,#13348,#6393,.T.); #16510=EDGE_CURVE('',#13087,#13349,#6394,.T.); #16511=EDGE_CURVE('',#13089,#13401,#6395,.T.); #16512=EDGE_CURVE('',#13090,#13400,#6396,.T.); #16513=EDGE_CURVE('',#13091,#13399,#6397,.T.); #16514=EDGE_CURVE('',#13092,#13398,#6398,.T.); #16515=EDGE_CURVE('',#13093,#13397,#6399,.T.); #16516=EDGE_CURVE('',#13094,#13396,#6400,.T.); #16517=EDGE_CURVE('',#13095,#13395,#6401,.T.); #16518=EDGE_CURVE('',#13096,#13394,#6402,.T.); #16519=EDGE_CURVE('',#13097,#13393,#6403,.T.); #16520=EDGE_CURVE('',#13098,#13392,#6404,.T.); #16521=EDGE_CURVE('',#13099,#13391,#6405,.T.); #16522=EDGE_CURVE('',#13100,#13390,#6406,.T.); #16523=EDGE_CURVE('',#13101,#13389,#6407,.T.); #16524=EDGE_CURVE('',#13102,#13388,#6408,.T.); #16525=EDGE_CURVE('',#13103,#13387,#6409,.T.); #16526=EDGE_CURVE('',#13104,#13386,#6410,.T.); #16527=EDGE_CURVE('',#13105,#13385,#6411,.T.); #16528=EDGE_CURVE('',#13106,#13384,#6412,.T.); #16529=EDGE_CURVE('',#13107,#13383,#6413,.T.); #16530=EDGE_CURVE('',#13108,#13382,#6414,.T.); #16531=EDGE_CURVE('',#13109,#13381,#6415,.T.); #16532=EDGE_CURVE('',#13110,#13380,#6416,.T.); #16533=EDGE_CURVE('',#13111,#13379,#6417,.T.); #16534=EDGE_CURVE('',#13112,#13378,#6418,.T.); #16535=EDGE_CURVE('',#13113,#13377,#6419,.T.); #16536=EDGE_CURVE('',#13114,#13376,#6420,.T.); #16537=EDGE_CURVE('',#13115,#13375,#6421,.T.); #16538=EDGE_CURVE('',#13116,#13374,#6422,.T.); #16539=EDGE_CURVE('',#13117,#13373,#6423,.T.); #16540=EDGE_CURVE('',#13118,#13372,#6424,.T.); #16541=EDGE_CURVE('',#13119,#13371,#6425,.T.); #16542=EDGE_CURVE('',#13120,#13370,#6426,.T.); #16543=EDGE_CURVE('',#13121,#13369,#6427,.T.); #16544=EDGE_CURVE('',#13122,#13368,#6428,.T.); #16545=EDGE_CURVE('',#13123,#13367,#6429,.T.); #16546=EDGE_CURVE('',#13124,#13366,#6430,.T.); #16547=EDGE_CURVE('',#13125,#13365,#6431,.T.); #16548=EDGE_CURVE('',#13126,#13364,#6432,.T.); #16549=EDGE_CURVE('',#13127,#13363,#6433,.T.); #16550=EDGE_CURVE('',#13128,#13362,#6434,.T.); #16551=EDGE_CURVE('',#13129,#13361,#6435,.T.); #16552=EDGE_CURVE('',#13130,#13360,#6436,.T.); #16553=EDGE_CURVE('',#13131,#13359,#6437,.T.); #16554=EDGE_CURVE('',#13132,#13358,#6438,.T.); #16555=EDGE_CURVE('',#13133,#13357,#6439,.T.); #16556=EDGE_CURVE('',#13134,#13356,#6440,.T.); #16557=EDGE_CURVE('',#13135,#13355,#6441,.T.); #16558=EDGE_CURVE('',#13136,#13354,#6442,.T.); #16559=EDGE_CURVE('',#13137,#13353,#6443,.T.); #16560=EDGE_CURVE('',#13138,#13352,#6444,.T.); #16561=EDGE_CURVE('',#13139,#13351,#6445,.T.); #16562=EDGE_CURVE('',#13140,#13350,#6446,.T.); #16563=EDGE_CURVE('',#13142,#13402,#6447,.T.); #16564=EDGE_CURVE('',#13141,#13403,#6448,.T.); #16565=EDGE_CURVE('',#13143,#13409,#6449,.T.); #16566=EDGE_CURVE('',#13144,#13408,#6450,.T.); #16567=EDGE_CURVE('',#13145,#13407,#6451,.T.); #16568=EDGE_CURVE('',#13146,#13406,#6452,.T.); #16569=EDGE_CURVE('',#13147,#13405,#6453,.T.); #16570=EDGE_CURVE('',#13148,#13404,#6454,.T.); #16571=EDGE_CURVE('',#13150,#13410,#6455,.T.); #16572=EDGE_CURVE('',#13149,#13411,#6456,.T.); #16573=EDGE_CURVE('',#13151,#13421,#6457,.T.); #16574=EDGE_CURVE('',#13152,#13420,#6458,.T.); #16575=EDGE_CURVE('',#13153,#13419,#6459,.T.); #16576=EDGE_CURVE('',#13154,#13418,#6460,.T.); #16577=EDGE_CURVE('',#13155,#13417,#6461,.T.); #16578=EDGE_CURVE('',#13156,#13416,#6462,.T.); #16579=EDGE_CURVE('',#13157,#13415,#6463,.T.); #16580=EDGE_CURVE('',#13158,#13414,#6464,.T.); #16581=EDGE_CURVE('',#13159,#13413,#6465,.T.); #16582=EDGE_CURVE('',#13160,#13412,#6466,.T.); #16583=EDGE_CURVE('',#13162,#13422,#6467,.T.); #16584=EDGE_CURVE('',#13161,#13423,#6468,.T.); #16585=EDGE_CURVE('',#13163,#13434,#6469,.T.); #16586=EDGE_CURVE('',#13164,#13433,#6470,.T.); #16587=EDGE_CURVE('',#13165,#13432,#6471,.T.); #16588=EDGE_CURVE('',#13166,#13431,#6472,.T.); #16589=EDGE_CURVE('',#13167,#13430,#6473,.T.); #16590=EDGE_CURVE('',#13168,#13429,#6474,.T.); #16591=EDGE_CURVE('',#13169,#13428,#6475,.T.); #16592=EDGE_CURVE('',#13170,#13427,#6476,.T.); #16593=EDGE_CURVE('',#13171,#13426,#6477,.T.); #16594=EDGE_CURVE('',#13172,#13425,#6478,.T.); #16595=EDGE_CURVE('',#13173,#13424,#6479,.T.); #16596=EDGE_CURVE('',#13175,#13435,#6480,.T.); #16597=EDGE_CURVE('',#13174,#13436,#6481,.T.); #16598=EDGE_CURVE('',#13176,#13448,#6482,.T.); #16599=EDGE_CURVE('',#13177,#13447,#6483,.T.); #16600=EDGE_CURVE('',#13178,#13446,#6484,.T.); #16601=EDGE_CURVE('',#13179,#13445,#6485,.T.); #16602=EDGE_CURVE('',#13180,#13444,#6486,.T.); #16603=EDGE_CURVE('',#13181,#13443,#6487,.T.); #16604=EDGE_CURVE('',#13182,#13442,#6488,.T.); #16605=EDGE_CURVE('',#13183,#13441,#6489,.T.); #16606=EDGE_CURVE('',#13184,#13440,#6490,.T.); #16607=EDGE_CURVE('',#13185,#13439,#6491,.T.); #16608=EDGE_CURVE('',#13186,#13438,#6492,.T.); #16609=EDGE_CURVE('',#13187,#13437,#6493,.T.); #16610=EDGE_CURVE('',#13189,#13525,#6494,.T.); #16611=EDGE_CURVE('',#13188,#13526,#6495,.T.); #16612=EDGE_CURVE('',#13190,#13534,#6496,.T.); #16613=EDGE_CURVE('',#13191,#13533,#6497,.T.); #16614=EDGE_CURVE('',#13192,#13532,#6498,.T.); #16615=EDGE_CURVE('',#13193,#13531,#6499,.T.); #16616=EDGE_CURVE('',#13194,#13530,#6500,.T.); #16617=EDGE_CURVE('',#13195,#13529,#6501,.T.); #16618=EDGE_CURVE('',#13196,#13528,#6502,.T.); #16619=EDGE_CURVE('',#13197,#13527,#6503,.T.); #16620=EDGE_CURVE('',#13199,#13449,#6504,.T.); #16621=EDGE_CURVE('',#13198,#13450,#6505,.T.); #16622=EDGE_CURVE('',#13200,#13454,#6506,.T.); #16623=EDGE_CURVE('',#13201,#13453,#6507,.T.); #16624=EDGE_CURVE('',#13202,#13452,#6508,.T.); #16625=EDGE_CURVE('',#13203,#13451,#6509,.T.); #16626=EDGE_CURVE('',#13205,#13455,#6510,.T.); #16627=EDGE_CURVE('',#13204,#13456,#6511,.T.); #16628=EDGE_CURVE('',#13206,#13462,#6512,.T.); #16629=EDGE_CURVE('',#13207,#13461,#6513,.T.); #16630=EDGE_CURVE('',#13208,#13460,#6514,.T.); #16631=EDGE_CURVE('',#13209,#13459,#6515,.T.); #16632=EDGE_CURVE('',#13210,#13458,#6516,.T.); #16633=EDGE_CURVE('',#13211,#13457,#6517,.T.); #16634=EDGE_CURVE('',#13213,#13535,#6518,.T.); #16635=EDGE_CURVE('',#13212,#13536,#6519,.T.); #16636=EDGE_CURVE('',#13214,#13537,#6520,.T.); #16637=EDGE_CURVE('',#13216,#13463,#6521,.T.); #16638=EDGE_CURVE('',#13215,#13464,#6522,.T.); #16639=EDGE_CURVE('',#13217,#13516,#6523,.T.); #16640=EDGE_CURVE('',#13218,#13515,#6524,.T.); #16641=EDGE_CURVE('',#13219,#13514,#6525,.T.); #16642=EDGE_CURVE('',#13220,#13513,#6526,.T.); #16643=EDGE_CURVE('',#13221,#13512,#6527,.T.); #16644=EDGE_CURVE('',#13222,#13511,#6528,.T.); #16645=EDGE_CURVE('',#13223,#13510,#6529,.T.); #16646=EDGE_CURVE('',#13224,#13509,#6530,.T.); #16647=EDGE_CURVE('',#13225,#13508,#6531,.T.); #16648=EDGE_CURVE('',#13226,#13507,#6532,.T.); #16649=EDGE_CURVE('',#13227,#13506,#6533,.T.); #16650=EDGE_CURVE('',#13228,#13505,#6534,.T.); #16651=EDGE_CURVE('',#13229,#13504,#6535,.T.); #16652=EDGE_CURVE('',#13230,#13503,#6536,.T.); #16653=EDGE_CURVE('',#13231,#13502,#6537,.T.); #16654=EDGE_CURVE('',#13232,#13501,#6538,.T.); #16655=EDGE_CURVE('',#13233,#13500,#6539,.T.); #16656=EDGE_CURVE('',#13234,#13499,#6540,.T.); #16657=EDGE_CURVE('',#13235,#13498,#6541,.T.); #16658=EDGE_CURVE('',#13236,#13497,#6542,.T.); #16659=EDGE_CURVE('',#13237,#13496,#6543,.T.); #16660=EDGE_CURVE('',#13238,#13495,#6544,.T.); #16661=EDGE_CURVE('',#13239,#13494,#6545,.T.); #16662=EDGE_CURVE('',#13240,#13493,#6546,.T.); #16663=EDGE_CURVE('',#13241,#13492,#6547,.T.); #16664=EDGE_CURVE('',#13242,#13491,#6548,.T.); #16665=EDGE_CURVE('',#13243,#13490,#6549,.T.); #16666=EDGE_CURVE('',#13244,#13489,#6550,.T.); #16667=EDGE_CURVE('',#13245,#13488,#6551,.T.); #16668=EDGE_CURVE('',#13246,#13487,#6552,.T.); #16669=EDGE_CURVE('',#13247,#13486,#6553,.T.); #16670=EDGE_CURVE('',#13248,#13485,#6554,.T.); #16671=EDGE_CURVE('',#13249,#13484,#6555,.T.); #16672=EDGE_CURVE('',#13250,#13483,#6556,.T.); #16673=EDGE_CURVE('',#13251,#13482,#6557,.T.); #16674=EDGE_CURVE('',#13252,#13481,#6558,.T.); #16675=EDGE_CURVE('',#13253,#13480,#6559,.T.); #16676=EDGE_CURVE('',#13254,#13479,#6560,.T.); #16677=EDGE_CURVE('',#13255,#13478,#6561,.T.); #16678=EDGE_CURVE('',#13256,#13477,#6562,.T.); #16679=EDGE_CURVE('',#13257,#13476,#6563,.T.); #16680=EDGE_CURVE('',#13258,#13475,#6564,.T.); #16681=EDGE_CURVE('',#13259,#13474,#6565,.T.); #16682=EDGE_CURVE('',#13260,#13473,#6566,.T.); #16683=EDGE_CURVE('',#13261,#13472,#6567,.T.); #16684=EDGE_CURVE('',#13262,#13471,#6568,.T.); #16685=EDGE_CURVE('',#13263,#13470,#6569,.T.); #16686=EDGE_CURVE('',#13264,#13469,#6570,.T.); #16687=EDGE_CURVE('',#13265,#13468,#6571,.T.); #16688=EDGE_CURVE('',#13266,#13467,#6572,.T.); #16689=EDGE_CURVE('',#13267,#13466,#6573,.T.); #16690=EDGE_CURVE('',#13268,#13465,#6574,.T.); #16691=EDGE_CURVE('',#13270,#13517,#6575,.T.); #16692=EDGE_CURVE('',#13269,#13518,#6576,.T.); #16693=EDGE_CURVE('',#13271,#13524,#6577,.T.); #16694=EDGE_CURVE('',#13272,#13523,#6578,.T.); #16695=EDGE_CURVE('',#13273,#13522,#6579,.T.); #16696=EDGE_CURVE('',#13274,#13521,#6580,.T.); #16697=EDGE_CURVE('',#13275,#13520,#6581,.T.); #16698=EDGE_CURVE('',#13276,#13519,#6582,.T.); #16699=EDGE_CURVE('',#13538,#13539,#12054,.T.); #16700=EDGE_CURVE('',#13539,#13538,#12055,.T.); #16701=EDGE_CURVE('',#13539,#13023,#12056,.T.); #16702=EDGE_CURVE('',#13540,#13541,#12057,.T.); #16703=EDGE_CURVE('',#13541,#13540,#12058,.T.); #16704=EDGE_CURVE('',#13540,#13542,#6583,.T.); #16705=EDGE_CURVE('',#13543,#13542,#12059,.T.); #16706=EDGE_CURVE('',#13542,#13543,#12060,.T.); #16707=EDGE_CURVE('',#13544,#13545,#12061,.T.); #16708=EDGE_CURVE('',#13544,#13538,#6584,.T.); #16709=EDGE_CURVE('',#13545,#13544,#12062,.T.); #16710=EDGE_CURVE('',#13543,#13546,#12063,.T.); #16711=EDGE_CURVE('',#13546,#13546,#12064,.T.); #16712=EDGE_CURVE('',#13547,#13548,#12065,.T.); #16713=EDGE_CURVE('',#13548,#13549,#6585,.T.); #16714=EDGE_CURVE('',#13549,#13550,#6586,.T.); #16715=EDGE_CURVE('',#13550,#13547,#6587,.T.); #16716=EDGE_CURVE('',#13551,#13547,#460,.T.); #16717=EDGE_CURVE('',#13552,#13551,#433,.T.); #16718=EDGE_CURVE('',#13548,#13552,#461,.T.); #16719=EDGE_CURVE('',#13553,#13554,#462,.T.); #16720=EDGE_CURVE('',#13554,#13555,#6588,.T.); #16721=EDGE_CURVE('',#13549,#13555,#6589,.T.); #16722=EDGE_CURVE('',#13552,#13553,#6590,.T.); #16723=EDGE_CURVE('',#13554,#13556,#12066,.T.); #16724=EDGE_CURVE('',#13557,#13553,#434,.T.); #16725=EDGE_CURVE('',#13556,#13557,#463,.T.); #16726=EDGE_CURVE('',#13558,#13558,#12067,.T.); #16727=EDGE_CURVE('',#13559,#13556,#6591,.T.); #16728=EDGE_CURVE('',#13555,#13559,#6592,.T.); #16729=EDGE_CURVE('',#13550,#13559,#6593,.T.); #16730=EDGE_CURVE('',#13551,#13557,#6594,.T.); #16731=EDGE_CURVE('',#13558,#13545,#12068,.T.); #16732=EDGE_CURVE('',#12966,#13541,#12069,.T.); #16733=EDGE_CURVE('',#13560,#13561,#6595,.T.); #16734=EDGE_CURVE('',#13560,#13562,#6596,.T.); #16735=EDGE_CURVE('',#13562,#13563,#6597,.T.); #16736=EDGE_CURVE('',#13563,#13561,#6598,.T.); #16737=EDGE_CURVE('',#13564,#13560,#12070,.T.); #16738=EDGE_CURVE('',#13565,#13564,#6599,.T.); #16739=EDGE_CURVE('',#13565,#13562,#12071,.T.); #16740=EDGE_CURVE('',#13566,#13564,#6600,.T.); #16741=EDGE_CURVE('',#13567,#13566,#6601,.T.); #16742=EDGE_CURVE('',#13567,#13565,#6602,.T.); #16743=EDGE_CURVE('',#13561,#13566,#12072,.T.); #16744=EDGE_CURVE('',#13563,#13567,#12073,.T.); #16745=EDGE_CURVE('',#13568,#13568,#12074,.T.); #16746=EDGE_CURVE('',#13568,#13569,#6603,.T.); #16747=EDGE_CURVE('',#13570,#13570,#12075,.T.); #16748=EDGE_CURVE('',#13570,#13568,#6604,.T.); #16749=EDGE_CURVE('',#13571,#13571,#12076,.T.); #16750=EDGE_CURVE('',#13571,#13572,#6605,.T.); #16751=EDGE_CURVE('',#13572,#13572,#12077,.T.); #16752=EDGE_CURVE('',#13573,#13573,#12078,.T.); #16753=EDGE_CURVE('',#13573,#13572,#6606,.T.); #16754=EDGE_CURVE('',#13574,#13575,#6607,.T.); #16755=EDGE_CURVE('',#13576,#13575,#435,.T.); #16756=EDGE_CURVE('',#13577,#13576,#436,.T.); #16757=EDGE_CURVE('',#13577,#13578,#6608,.T.); #16758=EDGE_CURVE('',#13579,#13578,#437,.T.); #16759=EDGE_CURVE('',#13574,#13579,#438,.T.); #16760=EDGE_CURVE('',#13580,#13580,#12079,.T.); #16761=EDGE_CURVE('',#13580,#13581,#6609,.T.); #16762=EDGE_CURVE('',#13581,#13581,#12080,.T.); #16763=EDGE_CURVE('',#13582,#13582,#12081,.T.); #16764=EDGE_CURVE('',#13582,#13581,#6610,.T.); #16765=EDGE_CURVE('',#13583,#13583,#12082,.T.); #16766=EDGE_CURVE('',#13583,#13584,#6611,.T.); #16767=EDGE_CURVE('',#13584,#13584,#12083,.T.); #16768=EDGE_CURVE('',#13585,#13585,#12084,.T.); #16769=EDGE_CURVE('',#13585,#13584,#6612,.T.); #16770=EDGE_CURVE('',#13586,#13587,#12085,.T.); #16771=EDGE_CURVE('',#13587,#13588,#6613,.T.); #16772=EDGE_CURVE('',#13588,#13589,#12086,.T.); #16773=EDGE_CURVE('',#13586,#13589,#6614,.T.); #16774=EDGE_CURVE('',#13578,#13587,#6615,.T.); #16775=EDGE_CURVE('',#13588,#13577,#6616,.T.); #16776=EDGE_CURVE('',#13586,#13574,#6617,.T.); #16777=EDGE_CURVE('',#13575,#13589,#6618,.T.); #16778=EDGE_CURVE('',#13590,#13591,#12087,.T.); #16779=EDGE_CURVE('',#13590,#13592,#6619,.T.); #16780=EDGE_CURVE('',#13592,#13593,#439,.T.); #16781=EDGE_CURVE('',#13593,#13594,#440,.T.); #16782=EDGE_CURVE('',#13594,#13591,#6620,.T.); #16783=EDGE_CURVE('',#13590,#13595,#6621,.T.); #16784=EDGE_CURVE('',#13596,#13595,#6622,.T.); #16785=EDGE_CURVE('',#13592,#13596,#6623,.T.); #16786=EDGE_CURVE('',#13591,#13597,#6624,.T.); #16787=EDGE_CURVE('',#13597,#13595,#12088,.T.); #16788=EDGE_CURVE('',#13597,#13598,#6625,.T.); #16789=EDGE_CURVE('',#13598,#13599,#441,.T.); #16790=EDGE_CURVE('',#13599,#13596,#442,.T.); #16791=EDGE_CURVE('',#13598,#13594,#6626,.T.); #16792=EDGE_CURVE('',#13600,#13600,#12089,.T.); #16793=EDGE_CURVE('',#13600,#13601,#6627,.T.); #16794=EDGE_CURVE('',#13601,#13601,#12090,.T.); #16795=EDGE_CURVE('',#13602,#13602,#12091,.T.); #16796=EDGE_CURVE('',#13603,#13603,#12092,.T.); #16797=EDGE_CURVE('',#13604,#13604,#12093,.T.); #16798=EDGE_CURVE('',#13604,#13603,#6628,.T.); #16799=EDGE_CURVE('',#13605,#13605,#12094,.T.); #16800=EDGE_CURVE('',#13605,#13606,#6629,.T.); #16801=EDGE_CURVE('',#13606,#13606,#12095,.T.); #16802=EDGE_CURVE('',#13607,#13607,#12096,.T.); #16803=EDGE_CURVE('',#13608,#13608,#12097,.T.); #16804=EDGE_CURVE('',#13602,#13608,#6630,.T.); #16805=EDGE_CURVE('',#13609,#13609,#12098,.T.); #16806=EDGE_CURVE('',#13607,#13609,#6631,.T.); #16807=EDGE_CURVE('',#13610,#13610,#12099,.T.); #16808=EDGE_CURVE('',#13610,#13611,#12100,.T.); #16809=EDGE_CURVE('',#13611,#13612,#12101,.T.); #16810=EDGE_CURVE('',#13612,#13611,#12102,.T.); #16811=EDGE_CURVE('',#13613,#13614,#12103,.T.); #16812=EDGE_CURVE('',#13614,#13613,#12104,.T.); #16813=EDGE_CURVE('',#13614,#13612,#6632,.T.); #16814=EDGE_CURVE('',#13615,#13615,#12105,.T.); #16815=EDGE_CURVE('',#13616,#13616,#12106,.T.); #16816=EDGE_CURVE('',#13616,#13613,#6633,.T.); #16817=EDGE_CURVE('',#13617,#13618,#12107,.T.); #16818=EDGE_CURVE('',#13618,#13617,#12108,.T.); #16819=EDGE_CURVE('',#13617,#13619,#12109,.T.); #16820=EDGE_CURVE('',#13619,#13619,#12110,.T.); #16821=EDGE_CURVE('',#13620,#13621,#6634,.T.); #16822=EDGE_CURVE('',#13621,#13622,#6635,.T.); #16823=EDGE_CURVE('',#13622,#13623,#6636,.T.); #16824=EDGE_CURVE('',#13623,#13624,#6637,.T.); #16825=EDGE_CURVE('',#13624,#13625,#6638,.T.); #16826=EDGE_CURVE('',#13625,#13620,#6639,.T.); #16827=EDGE_CURVE('',#13615,#13618,#6640,.T.); #16828=EDGE_CURVE('',#13626,#13627,#464,.T.); #16829=EDGE_CURVE('',#13626,#13625,#6641,.T.); #16830=EDGE_CURVE('',#13627,#13624,#6642,.T.); #16831=EDGE_CURVE('',#13628,#13626,#465,.T.); #16832=EDGE_CURVE('',#13628,#13620,#6643,.T.); #16833=EDGE_CURVE('',#13629,#13628,#466,.T.); #16834=EDGE_CURVE('',#13629,#13621,#6644,.T.); #16835=EDGE_CURVE('',#13630,#13629,#467,.T.); #16836=EDGE_CURVE('',#13622,#13630,#6645,.T.); #16837=EDGE_CURVE('',#13627,#13631,#468,.T.); #16838=EDGE_CURVE('',#13632,#13623,#6646,.T.); #16839=EDGE_CURVE('',#13631,#13632,#469,.T.); #16840=EDGE_CURVE('',#13632,#13630,#470,.T.); #16841=EDGE_CURVE('',#13633,#13631,#6647,.T.); #16842=EDGE_CURVE('',#13634,#13634,#12111,.T.); #16843=EDGE_CURVE('',#13634,#13635,#12112,.T.); #16844=EDGE_CURVE('',#13635,#13636,#12113,.T.); #16845=EDGE_CURVE('',#13636,#13635,#12114,.T.); #16846=EDGE_CURVE('',#13637,#13638,#12115,.T.); #16847=EDGE_CURVE('',#13638,#13637,#12116,.T.); #16848=EDGE_CURVE('',#13638,#13636,#6648,.T.); #16849=EDGE_CURVE('',#13639,#13639,#12117,.T.); #16850=EDGE_CURVE('',#13640,#13640,#12118,.T.); #16851=EDGE_CURVE('',#13640,#13637,#6649,.T.); #16852=EDGE_CURVE('',#13641,#13642,#12119,.T.); #16853=EDGE_CURVE('',#13642,#13641,#12120,.T.); #16854=EDGE_CURVE('',#13641,#13643,#12121,.T.); #16855=EDGE_CURVE('',#13643,#13643,#12122,.T.); #16856=EDGE_CURVE('',#13644,#13645,#6650,.T.); #16857=EDGE_CURVE('',#13645,#13646,#6651,.T.); #16858=EDGE_CURVE('',#13646,#13647,#6652,.T.); #16859=EDGE_CURVE('',#13647,#13648,#6653,.T.); #16860=EDGE_CURVE('',#13648,#13649,#6654,.T.); #16861=EDGE_CURVE('',#13649,#13644,#6655,.T.); #16862=EDGE_CURVE('',#13639,#13642,#6656,.T.); #16863=EDGE_CURVE('',#13650,#13651,#471,.T.); #16864=EDGE_CURVE('',#13650,#13649,#6657,.T.); #16865=EDGE_CURVE('',#13651,#13648,#6658,.T.); #16866=EDGE_CURVE('',#13652,#13650,#472,.T.); #16867=EDGE_CURVE('',#13652,#13644,#6659,.T.); #16868=EDGE_CURVE('',#13653,#13652,#473,.T.); #16869=EDGE_CURVE('',#13653,#13645,#6660,.T.); #16870=EDGE_CURVE('',#13654,#13653,#474,.T.); #16871=EDGE_CURVE('',#13646,#13654,#6661,.T.); #16872=EDGE_CURVE('',#13651,#13655,#475,.T.); #16873=EDGE_CURVE('',#13656,#13647,#6662,.T.); #16874=EDGE_CURVE('',#13655,#13656,#476,.T.); #16875=EDGE_CURVE('',#13656,#13654,#477,.T.); #16876=EDGE_CURVE('',#13657,#13655,#6663,.T.); #16877=EDGE_CURVE('',#13658,#13658,#12123,.T.); #16878=EDGE_CURVE('',#13658,#13659,#6664,.T.); #16879=EDGE_CURVE('',#13659,#13659,#12124,.T.); #16880=EDGE_CURVE('',#13660,#13660,#12125,.T.); #16881=EDGE_CURVE('',#13660,#13661,#6665,.T.); #16882=EDGE_CURVE('',#13661,#13661,#12126,.T.); #16883=EDGE_CURVE('',#13662,#13663,#6666,.T.); #16884=EDGE_CURVE('',#13664,#13662,#6667,.T.); #16885=EDGE_CURVE('',#13665,#13664,#6668,.T.); #16886=EDGE_CURVE('',#13666,#13665,#6669,.T.); #16887=EDGE_CURVE('',#13667,#13666,#6670,.T.); #16888=EDGE_CURVE('',#13668,#13667,#6671,.T.); #16889=EDGE_CURVE('',#13669,#13668,#6672,.T.); #16890=EDGE_CURVE('',#13670,#13669,#6673,.T.); #16891=EDGE_CURVE('',#13671,#13670,#6674,.T.); #16892=EDGE_CURVE('',#13672,#13671,#6675,.T.); #16893=EDGE_CURVE('',#13673,#13672,#6676,.T.); #16894=EDGE_CURVE('',#13674,#13673,#6677,.T.); #16895=EDGE_CURVE('',#13675,#13674,#6678,.T.); #16896=EDGE_CURVE('',#13663,#13675,#6679,.T.); #16897=EDGE_CURVE('',#13676,#13677,#6680,.T.); #16898=EDGE_CURVE('',#13677,#13665,#6681,.T.); #16899=EDGE_CURVE('',#13676,#13664,#6682,.T.); #16900=EDGE_CURVE('',#13677,#13678,#6683,.T.); #16901=EDGE_CURVE('',#13678,#13666,#6684,.T.); #16902=EDGE_CURVE('',#13678,#13679,#6685,.T.); #16903=EDGE_CURVE('',#13679,#13667,#6686,.T.); #16904=EDGE_CURVE('',#13679,#13680,#6687,.T.); #16905=EDGE_CURVE('',#13680,#13668,#6688,.T.); #16906=EDGE_CURVE('',#13680,#13681,#6689,.T.); #16907=EDGE_CURVE('',#13681,#13669,#6690,.T.); #16908=EDGE_CURVE('',#13681,#13682,#6691,.T.); #16909=EDGE_CURVE('',#13682,#13670,#6692,.T.); #16910=EDGE_CURVE('',#13682,#13683,#6693,.T.); #16911=EDGE_CURVE('',#13683,#13671,#6694,.T.); #16912=EDGE_CURVE('',#13683,#13684,#6695,.T.); #16913=EDGE_CURVE('',#13684,#13672,#6696,.T.); #16914=EDGE_CURVE('',#13684,#13685,#6697,.T.); #16915=EDGE_CURVE('',#13685,#13673,#6698,.T.); #16916=EDGE_CURVE('',#13685,#13686,#6699,.T.); #16917=EDGE_CURVE('',#13686,#13674,#6700,.T.); #16918=EDGE_CURVE('',#13686,#13687,#6701,.T.); #16919=EDGE_CURVE('',#13687,#13675,#6702,.T.); #16920=EDGE_CURVE('',#13687,#13688,#6703,.T.); #16921=EDGE_CURVE('',#13688,#13663,#6704,.T.); #16922=EDGE_CURVE('',#13688,#13689,#6705,.T.); #16923=EDGE_CURVE('',#13662,#13689,#6706,.T.); #16924=EDGE_CURVE('',#13689,#13676,#6707,.T.); #16925=EDGE_CURVE('',#13690,#13690,#12127,.T.); #16926=EDGE_CURVE('',#13691,#13691,#12128,.T.); #16927=EDGE_CURVE('',#13692,#13692,#12129,.T.); #16928=EDGE_CURVE('',#13692,#13690,#6708,.T.); #16929=EDGE_CURVE('',#13691,#13693,#6709,.T.); #16930=EDGE_CURVE('',#13693,#13693,#12130,.T.); #16931=EDGE_CURVE('',#13694,#13694,#12131,.T.); #16932=EDGE_CURVE('',#13695,#13695,#12132,.T.); #16933=EDGE_CURVE('',#13696,#13696,#12133,.T.); #16934=EDGE_CURVE('',#13696,#13694,#6710,.T.); #16935=EDGE_CURVE('',#13695,#13697,#6711,.T.); #16936=EDGE_CURVE('',#13697,#13697,#12134,.T.); #16937=EDGE_CURVE('',#13698,#13698,#12135,.T.); #16938=EDGE_CURVE('',#13699,#13699,#12136,.T.); #16939=EDGE_CURVE('',#13700,#13700,#12137,.T.); #16940=EDGE_CURVE('',#13700,#13698,#6712,.T.); #16941=EDGE_CURVE('',#13699,#13701,#6713,.T.); #16942=EDGE_CURVE('',#13701,#13701,#12138,.T.); #16943=EDGE_CURVE('',#13702,#13702,#12139,.T.); #16944=EDGE_CURVE('',#13703,#13703,#12140,.T.); #16945=EDGE_CURVE('',#13704,#13704,#12141,.T.); #16946=EDGE_CURVE('',#13704,#13702,#6714,.T.); #16947=EDGE_CURVE('',#13703,#13705,#6715,.T.); #16948=EDGE_CURVE('',#13705,#13705,#12142,.T.); #16949=EDGE_CURVE('',#13706,#13707,#6716,.T.); #16950=EDGE_CURVE('',#13707,#13708,#6717,.T.); #16951=EDGE_CURVE('',#13709,#13708,#6718,.T.); #16952=EDGE_CURVE('',#13706,#13709,#6719,.T.); #16953=EDGE_CURVE('',#13710,#13706,#12143,.T.); #16954=EDGE_CURVE('',#13711,#13709,#12144,.T.); #16955=EDGE_CURVE('',#13710,#13711,#6720,.T.); #16956=EDGE_CURVE('',#13712,#13710,#6721,.T.); #16957=EDGE_CURVE('',#13713,#13711,#6722,.T.); #16958=EDGE_CURVE('',#13713,#13712,#6723,.T.); #16959=EDGE_CURVE('',#13714,#13715,#12145,.T.); #16960=EDGE_CURVE('',#13715,#13713,#6724,.T.); #16961=EDGE_CURVE('',#13708,#13716,#6725,.T.); #16962=EDGE_CURVE('',#13716,#13717,#6726,.T.); #16963=EDGE_CURVE('',#13717,#13718,#12146,.T.); #16964=EDGE_CURVE('',#13718,#13719,#6727,.T.); #16965=EDGE_CURVE('',#13719,#13714,#12147,.T.); #16966=EDGE_CURVE('',#13720,#13716,#6728,.T.); #16967=EDGE_CURVE('',#13721,#13720,#6729,.T.); #16968=EDGE_CURVE('',#13721,#13717,#6730,.T.); #16969=EDGE_CURVE('',#13722,#13721,#12148,.T.); #16970=EDGE_CURVE('',#13722,#13718,#6731,.T.); #16971=EDGE_CURVE('',#13723,#13722,#6732,.T.); #16972=EDGE_CURVE('',#13723,#13719,#6733,.T.); #16973=EDGE_CURVE('',#13724,#13723,#12149,.T.); #16974=EDGE_CURVE('',#13724,#13714,#6734,.T.); #16975=EDGE_CURVE('',#13725,#13724,#12150,.T.); #16976=EDGE_CURVE('',#13725,#13715,#6735,.T.); #16977=EDGE_CURVE('',#13726,#13727,#6736,.T.); #16978=EDGE_CURVE('',#13726,#13728,#6737,.T.); #16979=EDGE_CURVE('',#13728,#13729,#6738,.T.); #16980=EDGE_CURVE('',#13727,#13729,#6739,.T.); #16981=EDGE_CURVE('',#13730,#13726,#6740,.T.); #16982=EDGE_CURVE('',#13730,#13731,#6741,.T.); #16983=EDGE_CURVE('',#13731,#13728,#6742,.T.); #16984=EDGE_CURVE('',#13707,#13731,#6743,.T.); #16985=EDGE_CURVE('',#13720,#13730,#6744,.T.); #16986=EDGE_CURVE('',#13732,#13725,#6745,.T.); #16987=EDGE_CURVE('',#13732,#13733,#6746,.T.); #16988=EDGE_CURVE('',#13733,#13712,#6747,.T.); #16989=EDGE_CURVE('',#13734,#13732,#12151,.T.); #16990=EDGE_CURVE('',#13734,#13735,#6748,.T.); #16991=EDGE_CURVE('',#13735,#13733,#12152,.T.); #16992=EDGE_CURVE('',#13736,#13734,#6749,.T.); #16993=EDGE_CURVE('',#13736,#13737,#6750,.T.); #16994=EDGE_CURVE('',#13737,#13735,#6751,.T.); #16995=EDGE_CURVE('',#13738,#13736,#12153,.T.); #16996=EDGE_CURVE('',#13738,#13739,#6752,.T.); #16997=EDGE_CURVE('',#13739,#13737,#12154,.T.); #16998=EDGE_CURVE('',#13727,#13738,#12155,.T.); #16999=EDGE_CURVE('',#13729,#13739,#12156,.T.); #17000=EDGE_CURVE('',#13740,#13740,#12157,.T.); #17001=EDGE_CURVE('',#13741,#13741,#12158,.T.); #17002=EDGE_CURVE('',#13742,#13742,#12159,.T.); #17003=EDGE_CURVE('',#13742,#13740,#6753,.T.); #17004=EDGE_CURVE('',#13741,#13743,#6754,.T.); #17005=EDGE_CURVE('',#13743,#13743,#12160,.T.); #17006=EDGE_CURVE('',#13744,#13744,#12161,.T.); #17007=EDGE_CURVE('',#13745,#13745,#12162,.T.); #17008=EDGE_CURVE('',#13746,#13746,#12163,.T.); #17009=EDGE_CURVE('',#13746,#13744,#6755,.T.); #17010=EDGE_CURVE('',#13745,#13747,#6756,.T.); #17011=EDGE_CURVE('',#13747,#13747,#12164,.T.); #17012=EDGE_CURVE('',#13748,#13748,#12165,.T.); #17013=EDGE_CURVE('',#13749,#13749,#12166,.T.); #17014=EDGE_CURVE('',#13750,#13750,#12167,.T.); #17015=EDGE_CURVE('',#13750,#13748,#6757,.T.); #17016=EDGE_CURVE('',#13749,#13751,#6758,.T.); #17017=EDGE_CURVE('',#13751,#13751,#12168,.T.); #17018=EDGE_CURVE('',#13752,#13752,#12169,.T.); #17019=EDGE_CURVE('',#13753,#13753,#12170,.T.); #17020=EDGE_CURVE('',#13754,#13754,#12171,.T.); #17021=EDGE_CURVE('',#13754,#13752,#6759,.T.); #17022=EDGE_CURVE('',#13753,#13755,#6760,.T.); #17023=EDGE_CURVE('',#13755,#13755,#12172,.T.); #17024=EDGE_CURVE('',#13756,#13757,#6761,.T.); #17025=EDGE_CURVE('',#13756,#13758,#6762,.T.); #17026=EDGE_CURVE('',#13758,#13759,#6763,.T.); #17027=EDGE_CURVE('',#13757,#13759,#6764,.T.); #17028=EDGE_CURVE('',#13760,#13756,#12173,.T.); #17029=EDGE_CURVE('',#13760,#13761,#6765,.T.); #17030=EDGE_CURVE('',#13761,#13758,#12174,.T.); #17031=EDGE_CURVE('',#13762,#13760,#6766,.T.); #17032=EDGE_CURVE('',#13763,#13762,#6767,.T.); #17033=EDGE_CURVE('',#13763,#13761,#6768,.T.); #17034=EDGE_CURVE('',#13764,#13765,#12175,.T.); #17035=EDGE_CURVE('',#13766,#13764,#12176,.T.); #17036=EDGE_CURVE('',#13767,#13766,#6769,.T.); #17037=EDGE_CURVE('',#13768,#13767,#12177,.T.); #17038=EDGE_CURVE('',#13769,#13768,#6770,.T.); #17039=EDGE_CURVE('',#13759,#13769,#6771,.T.); #17040=EDGE_CURVE('',#13765,#13763,#6772,.T.); #17041=EDGE_CURVE('',#13770,#13768,#6773,.T.); #17042=EDGE_CURVE('',#13770,#13771,#6774,.T.); #17043=EDGE_CURVE('',#13771,#13769,#6775,.T.); #17044=EDGE_CURVE('',#13772,#13767,#6776,.T.); #17045=EDGE_CURVE('',#13772,#13770,#12178,.T.); #17046=EDGE_CURVE('',#13773,#13766,#6777,.T.); #17047=EDGE_CURVE('',#13773,#13772,#6778,.T.); #17048=EDGE_CURVE('',#13774,#13764,#6779,.T.); #17049=EDGE_CURVE('',#13774,#13773,#12179,.T.); #17050=EDGE_CURVE('',#13775,#13765,#6780,.T.); #17051=EDGE_CURVE('',#13775,#13774,#12180,.T.); #17052=EDGE_CURVE('',#13776,#13777,#6781,.T.); #17053=EDGE_CURVE('',#13777,#13778,#6782,.T.); #17054=EDGE_CURVE('',#13779,#13778,#6783,.T.); #17055=EDGE_CURVE('',#13776,#13779,#6784,.T.); #17056=EDGE_CURVE('',#13780,#13776,#6785,.T.); #17057=EDGE_CURVE('',#13781,#13779,#6786,.T.); #17058=EDGE_CURVE('',#13780,#13781,#6787,.T.); #17059=EDGE_CURVE('',#13771,#13780,#6788,.T.); #17060=EDGE_CURVE('',#13757,#13781,#6789,.T.); #17061=EDGE_CURVE('',#13782,#13762,#6790,.T.); #17062=EDGE_CURVE('',#13783,#13782,#6791,.T.); #17063=EDGE_CURVE('',#13783,#13775,#6792,.T.); #17064=EDGE_CURVE('',#13784,#13783,#12181,.T.); #17065=EDGE_CURVE('',#13785,#13782,#12182,.T.); #17066=EDGE_CURVE('',#13784,#13785,#6793,.T.); #17067=EDGE_CURVE('',#13786,#13784,#6794,.T.); #17068=EDGE_CURVE('',#13787,#13785,#6795,.T.); #17069=EDGE_CURVE('',#13786,#13787,#6796,.T.); #17070=EDGE_CURVE('',#13788,#13786,#12183,.T.); #17071=EDGE_CURVE('',#13789,#13787,#12184,.T.); #17072=EDGE_CURVE('',#13788,#13789,#6797,.T.); #17073=EDGE_CURVE('',#13777,#13788,#12185,.T.); #17074=EDGE_CURVE('',#13778,#13789,#12186,.T.); #17075=EDGE_CURVE('',#13790,#13790,#12187,.T.); #17076=EDGE_CURVE('',#13790,#13791,#6798,.T.); #17077=EDGE_CURVE('',#13792,#13792,#12188,.T.); #17078=EDGE_CURVE('',#13792,#13790,#6799,.T.); #17079=EDGE_CURVE('',#13793,#13793,#12189,.T.); #17080=EDGE_CURVE('',#13793,#13794,#6800,.T.); #17081=EDGE_CURVE('',#13795,#13795,#12190,.T.); #17082=EDGE_CURVE('',#13795,#13793,#6801,.T.); #17083=EDGE_CURVE('',#13796,#13797,#6802,.T.); #17084=EDGE_CURVE('',#13798,#13796,#6803,.T.); #17085=EDGE_CURVE('',#13799,#13798,#6804,.T.); #17086=EDGE_CURVE('',#13800,#13799,#6805,.T.); #17087=EDGE_CURVE('',#13800,#13801,#6806,.T.); #17088=EDGE_CURVE('',#13797,#13801,#6807,.T.); #17089=EDGE_CURVE('',#13802,#13803,#6808,.T.); #17090=EDGE_CURVE('',#13804,#13802,#6809,.T.); #17091=EDGE_CURVE('',#13804,#13805,#6810,.T.); #17092=EDGE_CURVE('',#13806,#13805,#6811,.T.); #17093=EDGE_CURVE('',#13807,#13806,#6812,.T.); #17094=EDGE_CURVE('',#13803,#13807,#6813,.T.); #17095=EDGE_CURVE('',#13801,#13804,#6814,.T.); #17096=EDGE_CURVE('',#13805,#13800,#6815,.T.); #17097=EDGE_CURVE('',#13808,#13807,#6816,.T.); #17098=EDGE_CURVE('',#13809,#13808,#6817,.T.); #17099=EDGE_CURVE('',#13803,#13809,#6818,.T.); #17100=EDGE_CURVE('',#13806,#13810,#6819,.T.); #17101=EDGE_CURVE('',#13810,#13808,#6820,.T.); #17102=EDGE_CURVE('',#13811,#13799,#6821,.T.); #17103=EDGE_CURVE('',#13811,#13812,#6822,.T.); #17104=EDGE_CURVE('',#13813,#13812,#6823,.T.); #17105=EDGE_CURVE('',#13813,#13814,#6824,.T.); #17106=EDGE_CURVE('',#13814,#13815,#6825,.T.); #17107=EDGE_CURVE('',#13815,#13816,#6826,.T.); #17108=EDGE_CURVE('',#13817,#13816,#6827,.T.); #17109=EDGE_CURVE('',#13817,#13810,#6828,.T.); #17110=EDGE_CURVE('',#13818,#13818,#12191,.T.); #17111=EDGE_CURVE('',#13819,#13819,#12192,.T.); #17112=EDGE_CURVE('',#13820,#13820,#12193,.T.); #17113=EDGE_CURVE('',#13821,#13821,#12194,.T.); #17114=EDGE_CURVE('',#13822,#13822,#12195,.T.); #17115=EDGE_CURVE('',#13823,#13823,#12196,.T.); #17116=EDGE_CURVE('',#13824,#13824,#12197,.T.); #17117=EDGE_CURVE('',#13825,#13825,#12198,.T.); #17118=EDGE_CURVE('',#13798,#13826,#6829,.T.); #17119=EDGE_CURVE('',#13826,#13811,#6830,.T.); #17120=EDGE_CURVE('',#13827,#13827,#12199,.T.); #17121=EDGE_CURVE('',#13828,#13828,#12200,.T.); #17122=EDGE_CURVE('',#13829,#13829,#12201,.T.); #17123=EDGE_CURVE('',#13829,#13827,#6831,.T.); #17124=EDGE_CURVE('',#13828,#13825,#6832,.T.); #17125=EDGE_CURVE('',#13830,#13830,#12202,.T.); #17126=EDGE_CURVE('',#13831,#13831,#12203,.T.); #17127=EDGE_CURVE('',#13832,#13832,#12204,.T.); #17128=EDGE_CURVE('',#13832,#13830,#6833,.T.); #17129=EDGE_CURVE('',#13831,#13824,#6834,.T.); #17130=EDGE_CURVE('',#13833,#13833,#12205,.T.); #17131=EDGE_CURVE('',#13834,#13834,#12206,.T.); #17132=EDGE_CURVE('',#13835,#13835,#12207,.T.); #17133=EDGE_CURVE('',#13835,#13833,#6835,.T.); #17134=EDGE_CURVE('',#13834,#13823,#6836,.T.); #17135=EDGE_CURVE('',#13836,#13836,#12208,.T.); #17136=EDGE_CURVE('',#13837,#13837,#12209,.T.); #17137=EDGE_CURVE('',#13838,#13838,#12210,.T.); #17138=EDGE_CURVE('',#13838,#13836,#6837,.T.); #17139=EDGE_CURVE('',#13837,#13822,#6838,.T.); #17140=EDGE_CURVE('',#13839,#13840,#6839,.T.); #17141=EDGE_CURVE('',#13841,#13839,#6840,.T.); #17142=EDGE_CURVE('',#13841,#13814,#6841,.T.); #17143=EDGE_CURVE('',#13842,#13813,#6842,.T.); #17144=EDGE_CURVE('',#13840,#13842,#6843,.T.); #17145=EDGE_CURVE('',#13843,#13841,#6844,.T.); #17146=EDGE_CURVE('',#13843,#13815,#6845,.T.); #17147=EDGE_CURVE('',#13844,#13845,#6846,.T.); #17148=EDGE_CURVE('',#13846,#13844,#6847,.T.); #17149=EDGE_CURVE('',#13816,#13846,#6848,.T.); #17150=EDGE_CURVE('',#13845,#13843,#6849,.T.); #17151=EDGE_CURVE('',#13845,#13847,#6850,.T.); #17152=EDGE_CURVE('',#13847,#13848,#6851,.T.); #17153=EDGE_CURVE('',#13848,#13844,#6852,.T.); #17154=EDGE_CURVE('',#13840,#13849,#6853,.T.); #17155=EDGE_CURVE('',#13849,#13850,#6854,.T.); #17156=EDGE_CURVE('',#13850,#13839,#6855,.T.); #17157=EDGE_CURVE('',#13848,#13851,#6856,.T.); #17158=EDGE_CURVE('',#13846,#13851,#6857,.T.); #17159=EDGE_CURVE('',#13852,#13842,#6858,.T.); #17160=EDGE_CURVE('',#13852,#13849,#6859,.T.); #17161=EDGE_CURVE('',#13851,#13817,#6860,.T.); #17162=EDGE_CURVE('',#13797,#13853,#6861,.T.); #17163=EDGE_CURVE('',#13853,#13854,#6862,.T.); #17164=EDGE_CURVE('',#13854,#13796,#6863,.T.); #17165=EDGE_CURVE('',#13809,#13855,#6864,.T.); #17166=EDGE_CURVE('',#13855,#13802,#6865,.T.); #17167=EDGE_CURVE('',#13854,#13826,#6866,.T.); #17168=EDGE_CURVE('',#13855,#13847,#6867,.T.); #17169=EDGE_CURVE('',#13856,#13856,#12211,.T.); #17170=EDGE_CURVE('',#13857,#13857,#12212,.T.); #17171=EDGE_CURVE('',#13850,#13853,#6868,.T.); #17172=EDGE_CURVE('',#13858,#13858,#12213,.T.); #17173=EDGE_CURVE('',#13859,#13859,#12214,.T.); #17174=EDGE_CURVE('',#13860,#13860,#12215,.T.); #17175=EDGE_CURVE('',#13861,#13861,#12216,.T.); #17176=EDGE_CURVE('',#13812,#13852,#6869,.T.); #17177=EDGE_CURVE('',#13862,#13862,#12217,.T.); #17178=EDGE_CURVE('',#13863,#13863,#12218,.T.); #17179=EDGE_CURVE('',#13856,#13864,#6870,.T.); #17180=EDGE_CURVE('',#13864,#13865,#12219,.T.); #17181=EDGE_CURVE('',#13865,#13864,#12220,.T.); #17182=EDGE_CURVE('',#13865,#13866,#6871,.T.); #17183=EDGE_CURVE('',#13866,#13866,#12221,.T.); #17184=EDGE_CURVE('',#13857,#13867,#6872,.T.); #17185=EDGE_CURVE('',#13867,#13868,#12222,.T.); #17186=EDGE_CURVE('',#13868,#13867,#12223,.T.); #17187=EDGE_CURVE('',#13868,#13869,#6873,.T.); #17188=EDGE_CURVE('',#13869,#13869,#12224,.T.); #17189=EDGE_CURVE('',#13862,#13870,#6874,.T.); #17190=EDGE_CURVE('',#13870,#13871,#12225,.T.); #17191=EDGE_CURVE('',#13871,#13870,#12226,.T.); #17192=EDGE_CURVE('',#13871,#13872,#6875,.T.); #17193=EDGE_CURVE('',#13872,#13872,#12227,.T.); #17194=EDGE_CURVE('',#13863,#13873,#6876,.T.); #17195=EDGE_CURVE('',#13873,#13874,#12228,.T.); #17196=EDGE_CURVE('',#13874,#13873,#12229,.T.); #17197=EDGE_CURVE('',#13874,#13875,#6877,.T.); #17198=EDGE_CURVE('',#13875,#13875,#12230,.T.); #17199=EDGE_CURVE('',#13876,#13876,#12231,.T.); #17200=EDGE_CURVE('',#13876,#13877,#6878,.T.); #17201=EDGE_CURVE('',#13878,#13878,#12232,.T.); #17202=EDGE_CURVE('',#13878,#13876,#6879,.T.); #17203=EDGE_CURVE('',#13879,#13879,#12233,.T.); #17204=EDGE_CURVE('',#13879,#13880,#6880,.T.); #17205=EDGE_CURVE('',#13881,#13881,#12234,.T.); #17206=EDGE_CURVE('',#13881,#13879,#6881,.T.); #17207=EDGE_CURVE('',#13882,#13882,#12235,.T.); #17208=EDGE_CURVE('',#13882,#13883,#6882,.T.); #17209=EDGE_CURVE('',#13884,#13884,#12236,.T.); #17210=EDGE_CURVE('',#13884,#13882,#6883,.T.); #17211=EDGE_CURVE('',#13885,#13885,#12237,.T.); #17212=EDGE_CURVE('',#13885,#13886,#6884,.T.); #17213=EDGE_CURVE('',#13887,#13887,#12238,.T.); #17214=EDGE_CURVE('',#13887,#13885,#6885,.T.); #17215=EDGE_CURVE('',#13888,#13888,#12239,.T.); #17216=EDGE_CURVE('',#13889,#13889,#12240,.T.); #17217=EDGE_CURVE('',#13858,#13888,#6886,.T.); #17218=EDGE_CURVE('',#13889,#13821,#6887,.T.); #17219=EDGE_CURVE('',#13890,#13890,#12241,.T.); #17220=EDGE_CURVE('',#13891,#13891,#12242,.T.); #17221=EDGE_CURVE('',#13859,#13890,#6888,.T.); #17222=EDGE_CURVE('',#13891,#13820,#6889,.T.); #17223=EDGE_CURVE('',#13892,#13892,#12243,.T.); #17224=EDGE_CURVE('',#13893,#13893,#12244,.T.); #17225=EDGE_CURVE('',#13860,#13892,#6890,.T.); #17226=EDGE_CURVE('',#13893,#13819,#6891,.T.); #17227=EDGE_CURVE('',#13894,#13894,#12245,.T.); #17228=EDGE_CURVE('',#13895,#13895,#12246,.T.); #17229=EDGE_CURVE('',#13861,#13894,#6892,.T.); #17230=EDGE_CURVE('',#13895,#13818,#6893,.T.); #17231=EDGE_CURVE('',#13896,#13896,#12247,.T.); #17232=EDGE_CURVE('',#13896,#13897,#12248,.T.); #17233=EDGE_CURVE('',#13897,#13898,#12249,.T.); #17234=EDGE_CURVE('',#13898,#13897,#12250,.T.); #17235=EDGE_CURVE('',#13899,#13900,#12251,.T.); #17236=EDGE_CURVE('',#13900,#13899,#12252,.T.); #17237=EDGE_CURVE('',#13900,#13898,#6894,.T.); #17238=EDGE_CURVE('',#13901,#13901,#12253,.T.); #17239=EDGE_CURVE('',#13902,#13902,#12254,.T.); #17240=EDGE_CURVE('',#13902,#13899,#6895,.T.); #17241=EDGE_CURVE('',#13903,#13904,#12255,.T.); #17242=EDGE_CURVE('',#13904,#13903,#12256,.T.); #17243=EDGE_CURVE('',#13903,#13905,#12257,.T.); #17244=EDGE_CURVE('',#13905,#13905,#12258,.T.); #17245=EDGE_CURVE('',#13906,#13907,#6896,.T.); #17246=EDGE_CURVE('',#13907,#13908,#6897,.T.); #17247=EDGE_CURVE('',#13908,#13909,#6898,.T.); #17248=EDGE_CURVE('',#13909,#13910,#6899,.T.); #17249=EDGE_CURVE('',#13910,#13911,#6900,.T.); #17250=EDGE_CURVE('',#13911,#13906,#6901,.T.); #17251=EDGE_CURVE('',#13901,#13904,#6902,.T.); #17252=EDGE_CURVE('',#13912,#13913,#478,.T.); #17253=EDGE_CURVE('',#13912,#13911,#6903,.T.); #17254=EDGE_CURVE('',#13913,#13910,#6904,.T.); #17255=EDGE_CURVE('',#13914,#13912,#479,.T.); #17256=EDGE_CURVE('',#13914,#13906,#6905,.T.); #17257=EDGE_CURVE('',#13915,#13914,#480,.T.); #17258=EDGE_CURVE('',#13915,#13907,#6906,.T.); #17259=EDGE_CURVE('',#13916,#13915,#481,.T.); #17260=EDGE_CURVE('',#13908,#13916,#6907,.T.); #17261=EDGE_CURVE('',#13913,#13917,#482,.T.); #17262=EDGE_CURVE('',#13918,#13909,#6908,.T.); #17263=EDGE_CURVE('',#13917,#13918,#483,.T.); #17264=EDGE_CURVE('',#13918,#13916,#484,.T.); #17265=EDGE_CURVE('',#13919,#13917,#6909,.T.); #17266=EDGE_CURVE('',#13920,#13921,#12259,.T.); #17267=EDGE_CURVE('',#13922,#13920,#6910,.T.); #17268=EDGE_CURVE('',#13923,#13922,#12260,.T.); #17269=EDGE_CURVE('',#13923,#13921,#6911,.T.); #17270=EDGE_CURVE('',#13921,#13924,#6912,.T.); #17271=EDGE_CURVE('',#13925,#13923,#6913,.T.); #17272=EDGE_CURVE('',#13925,#13924,#6914,.T.); #17273=EDGE_CURVE('',#13924,#13926,#12261,.T.); #17274=EDGE_CURVE('',#13927,#13925,#12262,.T.); #17275=EDGE_CURVE('',#13927,#13926,#6915,.T.); #17276=EDGE_CURVE('',#13926,#13920,#6916,.T.); #17277=EDGE_CURVE('',#13922,#13927,#6917,.T.); #17278=EDGE_CURVE('',#13928,#13929,#12263,.T.); #17279=EDGE_CURVE('',#13930,#13928,#6918,.T.); #17280=EDGE_CURVE('',#13931,#13930,#12264,.T.); #17281=EDGE_CURVE('',#13931,#13929,#6919,.T.); #17282=EDGE_CURVE('',#13929,#13932,#6920,.T.); #17283=EDGE_CURVE('',#13933,#13931,#6921,.T.); #17284=EDGE_CURVE('',#13933,#13932,#6922,.T.); #17285=EDGE_CURVE('',#13932,#13934,#12265,.T.); #17286=EDGE_CURVE('',#13935,#13933,#12266,.T.); #17287=EDGE_CURVE('',#13935,#13934,#6923,.T.); #17288=EDGE_CURVE('',#13934,#13928,#6924,.T.); #17289=EDGE_CURVE('',#13930,#13935,#6925,.T.); #17290=EDGE_CURVE('',#13936,#13937,#12267,.T.); #17291=EDGE_CURVE('',#13938,#13936,#6926,.T.); #17292=EDGE_CURVE('',#13939,#13938,#12268,.T.); #17293=EDGE_CURVE('',#13939,#13937,#6927,.T.); #17294=EDGE_CURVE('',#13937,#13940,#6928,.T.); #17295=EDGE_CURVE('',#13941,#13939,#6929,.T.); #17296=EDGE_CURVE('',#13941,#13940,#6930,.T.); #17297=EDGE_CURVE('',#13940,#13942,#12269,.T.); #17298=EDGE_CURVE('',#13943,#13941,#12270,.T.); #17299=EDGE_CURVE('',#13943,#13942,#6931,.T.); #17300=EDGE_CURVE('',#13942,#13936,#6932,.T.); #17301=EDGE_CURVE('',#13938,#13943,#6933,.T.); #17302=EDGE_CURVE('',#13944,#13945,#12271,.T.); #17303=EDGE_CURVE('',#13944,#13946,#6934,.T.); #17304=EDGE_CURVE('',#13947,#13946,#12272,.T.); #17305=EDGE_CURVE('',#13945,#13947,#6935,.T.); #17306=EDGE_CURVE('',#13945,#13948,#6936,.T.); #17307=EDGE_CURVE('',#13949,#13947,#6937,.T.); #17308=EDGE_CURVE('',#13948,#13949,#6938,.T.); #17309=EDGE_CURVE('',#13948,#13950,#12273,.T.); #17310=EDGE_CURVE('',#13951,#13949,#12274,.T.); #17311=EDGE_CURVE('',#13950,#13951,#6939,.T.); #17312=EDGE_CURVE('',#13950,#13952,#6940,.T.); #17313=EDGE_CURVE('',#13953,#13951,#6941,.T.); #17314=EDGE_CURVE('',#13952,#13953,#6942,.T.); #17315=EDGE_CURVE('',#13952,#13954,#12275,.T.); #17316=EDGE_CURVE('',#13955,#13953,#12276,.T.); #17317=EDGE_CURVE('',#13954,#13955,#6943,.T.); #17318=EDGE_CURVE('',#13954,#13956,#6944,.T.); #17319=EDGE_CURVE('',#13957,#13955,#6945,.T.); #17320=EDGE_CURVE('',#13956,#13957,#6946,.T.); #17321=EDGE_CURVE('',#13956,#13958,#12277,.T.); #17322=EDGE_CURVE('',#13959,#13957,#12278,.T.); #17323=EDGE_CURVE('',#13958,#13959,#6947,.T.); #17324=EDGE_CURVE('',#13958,#13944,#6948,.T.); #17325=EDGE_CURVE('',#13946,#13959,#6949,.T.); #17326=EDGE_CURVE('',#13960,#13961,#12279,.T.); #17327=EDGE_CURVE('',#13960,#13962,#6950,.T.); #17328=EDGE_CURVE('',#13963,#13962,#12280,.T.); #17329=EDGE_CURVE('',#13961,#13963,#6951,.T.); #17330=EDGE_CURVE('',#13961,#13964,#6952,.T.); #17331=EDGE_CURVE('',#13965,#13963,#6953,.T.); #17332=EDGE_CURVE('',#13964,#13965,#6954,.T.); #17333=EDGE_CURVE('',#13964,#13966,#12281,.T.); #17334=EDGE_CURVE('',#13967,#13965,#12282,.T.); #17335=EDGE_CURVE('',#13966,#13967,#6955,.T.); #17336=EDGE_CURVE('',#13966,#13968,#6956,.T.); #17337=EDGE_CURVE('',#13969,#13967,#6957,.T.); #17338=EDGE_CURVE('',#13968,#13969,#6958,.T.); #17339=EDGE_CURVE('',#13968,#13970,#12283,.T.); #17340=EDGE_CURVE('',#13971,#13969,#12284,.T.); #17341=EDGE_CURVE('',#13970,#13971,#6959,.T.); #17342=EDGE_CURVE('',#13970,#13972,#6960,.T.); #17343=EDGE_CURVE('',#13973,#13971,#6961,.T.); #17344=EDGE_CURVE('',#13972,#13973,#6962,.T.); #17345=EDGE_CURVE('',#13972,#13974,#12285,.T.); #17346=EDGE_CURVE('',#13975,#13973,#12286,.T.); #17347=EDGE_CURVE('',#13974,#13975,#6963,.T.); #17348=EDGE_CURVE('',#13974,#13960,#6964,.T.); #17349=EDGE_CURVE('',#13962,#13975,#6965,.T.); #17350=EDGE_CURVE('',#13976,#13977,#12287,.T.); #17351=EDGE_CURVE('',#13976,#13978,#6966,.T.); #17352=EDGE_CURVE('',#13979,#13978,#12288,.T.); #17353=EDGE_CURVE('',#13977,#13979,#6967,.T.); #17354=EDGE_CURVE('',#13977,#13980,#6968,.T.); #17355=EDGE_CURVE('',#13981,#13979,#6969,.T.); #17356=EDGE_CURVE('',#13980,#13981,#6970,.T.); #17357=EDGE_CURVE('',#13980,#13982,#12289,.T.); #17358=EDGE_CURVE('',#13983,#13981,#12290,.T.); #17359=EDGE_CURVE('',#13982,#13983,#6971,.T.); #17360=EDGE_CURVE('',#13982,#13984,#6972,.T.); #17361=EDGE_CURVE('',#13985,#13983,#6973,.T.); #17362=EDGE_CURVE('',#13984,#13985,#6974,.T.); #17363=EDGE_CURVE('',#13984,#13986,#12291,.T.); #17364=EDGE_CURVE('',#13987,#13985,#12292,.T.); #17365=EDGE_CURVE('',#13986,#13987,#6975,.T.); #17366=EDGE_CURVE('',#13986,#13988,#6976,.T.); #17367=EDGE_CURVE('',#13989,#13987,#6977,.T.); #17368=EDGE_CURVE('',#13988,#13989,#6978,.T.); #17369=EDGE_CURVE('',#13988,#13990,#12293,.T.); #17370=EDGE_CURVE('',#13991,#13989,#12294,.T.); #17371=EDGE_CURVE('',#13990,#13991,#6979,.T.); #17372=EDGE_CURVE('',#13990,#13976,#6980,.T.); #17373=EDGE_CURVE('',#13978,#13991,#6981,.T.); #17374=EDGE_CURVE('',#13992,#13993,#12295,.T.); #17375=EDGE_CURVE('',#13992,#13994,#6982,.T.); #17376=EDGE_CURVE('',#13994,#13995,#12296,.T.); #17377=EDGE_CURVE('',#13993,#13995,#6983,.T.); #17378=EDGE_CURVE('',#13996,#13992,#6984,.T.); #17379=EDGE_CURVE('',#13996,#13997,#6985,.T.); #17380=EDGE_CURVE('',#13997,#13994,#6986,.T.); #17381=EDGE_CURVE('',#13998,#13996,#12297,.T.); #17382=EDGE_CURVE('',#13998,#13999,#6987,.T.); #17383=EDGE_CURVE('',#13999,#13997,#12298,.T.); #17384=EDGE_CURVE('',#14000,#13998,#6988,.T.); #17385=EDGE_CURVE('',#14000,#14001,#6989,.T.); #17386=EDGE_CURVE('',#14001,#13999,#6990,.T.); #17387=EDGE_CURVE('',#14002,#14000,#12299,.T.); #17388=EDGE_CURVE('',#14002,#14003,#6991,.T.); #17389=EDGE_CURVE('',#14003,#14001,#12300,.T.); #17390=EDGE_CURVE('',#14004,#14002,#6992,.T.); #17391=EDGE_CURVE('',#14004,#14005,#6993,.T.); #17392=EDGE_CURVE('',#14005,#14003,#6994,.T.); #17393=EDGE_CURVE('',#14006,#14004,#12301,.T.); #17394=EDGE_CURVE('',#14006,#14007,#6995,.T.); #17395=EDGE_CURVE('',#14007,#14005,#12302,.T.); #17396=EDGE_CURVE('',#13993,#14006,#6996,.T.); #17397=EDGE_CURVE('',#13995,#14007,#6997,.T.); #17398=EDGE_CURVE('',#14008,#14009,#12303,.T.); #17399=EDGE_CURVE('',#14008,#14010,#6998,.T.); #17400=EDGE_CURVE('',#14010,#14011,#12304,.T.); #17401=EDGE_CURVE('',#14009,#14011,#6999,.T.); #17402=EDGE_CURVE('',#14012,#14008,#7000,.T.); #17403=EDGE_CURVE('',#14012,#14013,#7001,.T.); #17404=EDGE_CURVE('',#14013,#14010,#7002,.T.); #17405=EDGE_CURVE('',#14014,#14012,#12305,.T.); #17406=EDGE_CURVE('',#14014,#14015,#7003,.T.); #17407=EDGE_CURVE('',#14015,#14013,#12306,.T.); #17408=EDGE_CURVE('',#14016,#14014,#7004,.T.); #17409=EDGE_CURVE('',#14016,#14017,#7005,.T.); #17410=EDGE_CURVE('',#14017,#14015,#7006,.T.); #17411=EDGE_CURVE('',#14018,#14016,#12307,.T.); #17412=EDGE_CURVE('',#14018,#14019,#7007,.T.); #17413=EDGE_CURVE('',#14019,#14017,#12308,.T.); #17414=EDGE_CURVE('',#14020,#14018,#7008,.T.); #17415=EDGE_CURVE('',#14020,#14021,#7009,.T.); #17416=EDGE_CURVE('',#14021,#14019,#7010,.T.); #17417=EDGE_CURVE('',#14022,#14020,#12309,.T.); #17418=EDGE_CURVE('',#14022,#14023,#7011,.T.); #17419=EDGE_CURVE('',#14023,#14021,#12310,.T.); #17420=EDGE_CURVE('',#14009,#14022,#7012,.T.); #17421=EDGE_CURVE('',#14011,#14023,#7013,.T.); #17422=EDGE_CURVE('',#14024,#14025,#12311,.T.); #17423=EDGE_CURVE('',#14024,#14026,#7014,.T.); #17424=EDGE_CURVE('',#14026,#14027,#12312,.T.); #17425=EDGE_CURVE('',#14025,#14027,#7015,.T.); #17426=EDGE_CURVE('',#14028,#14024,#7016,.T.); #17427=EDGE_CURVE('',#14028,#14029,#7017,.T.); #17428=EDGE_CURVE('',#14029,#14026,#7018,.T.); #17429=EDGE_CURVE('',#14030,#14028,#12313,.T.); #17430=EDGE_CURVE('',#14030,#14031,#7019,.T.); #17431=EDGE_CURVE('',#14031,#14029,#12314,.T.); #17432=EDGE_CURVE('',#14032,#14030,#7020,.T.); #17433=EDGE_CURVE('',#14032,#14033,#7021,.T.); #17434=EDGE_CURVE('',#14033,#14031,#7022,.T.); #17435=EDGE_CURVE('',#14034,#14032,#12315,.T.); #17436=EDGE_CURVE('',#14034,#14035,#7023,.T.); #17437=EDGE_CURVE('',#14035,#14033,#12316,.T.); #17438=EDGE_CURVE('',#14036,#14034,#7024,.T.); #17439=EDGE_CURVE('',#14036,#14037,#7025,.T.); #17440=EDGE_CURVE('',#14037,#14035,#7026,.T.); #17441=EDGE_CURVE('',#14038,#14036,#12317,.T.); #17442=EDGE_CURVE('',#14038,#14039,#7027,.T.); #17443=EDGE_CURVE('',#14039,#14037,#12318,.T.); #17444=EDGE_CURVE('',#14025,#14038,#7028,.T.); #17445=EDGE_CURVE('',#14027,#14039,#7029,.T.); #17446=EDGE_CURVE('',#14040,#14041,#7030,.T.); #17447=EDGE_CURVE('',#14041,#14042,#12319,.T.); #17448=EDGE_CURVE('',#14042,#14043,#7031,.T.); #17449=EDGE_CURVE('',#14043,#14044,#12320,.T.); #17450=EDGE_CURVE('',#14044,#14045,#7032,.T.); #17451=EDGE_CURVE('',#14045,#14046,#12321,.T.); #17452=EDGE_CURVE('',#14046,#14047,#7033,.T.); #17453=EDGE_CURVE('',#14047,#14048,#12322,.T.); #17454=EDGE_CURVE('',#14048,#14049,#7034,.T.); #17455=EDGE_CURVE('',#14049,#14050,#12323,.T.); #17456=EDGE_CURVE('',#14050,#14051,#7035,.T.); #17457=EDGE_CURVE('',#14051,#14040,#12324,.T.); #17458=EDGE_CURVE('',#14052,#14041,#7036,.T.); #17459=EDGE_CURVE('',#14053,#14052,#12325,.T.); #17460=EDGE_CURVE('',#14053,#14042,#7037,.T.); #17461=EDGE_CURVE('',#14054,#14053,#7038,.T.); #17462=EDGE_CURVE('',#14054,#14043,#7039,.T.); #17463=EDGE_CURVE('',#14055,#14054,#12326,.T.); #17464=EDGE_CURVE('',#14055,#14044,#7040,.T.); #17465=EDGE_CURVE('',#14056,#14055,#7041,.T.); #17466=EDGE_CURVE('',#14056,#14045,#7042,.T.); #17467=EDGE_CURVE('',#14057,#14056,#12327,.T.); #17468=EDGE_CURVE('',#14057,#14046,#7043,.T.); #17469=EDGE_CURVE('',#14058,#14057,#7044,.T.); #17470=EDGE_CURVE('',#14058,#14047,#7045,.T.); #17471=EDGE_CURVE('',#14059,#14058,#12328,.T.); #17472=EDGE_CURVE('',#14059,#14048,#7046,.T.); #17473=EDGE_CURVE('',#14060,#14059,#7047,.T.); #17474=EDGE_CURVE('',#14060,#14049,#7048,.T.); #17475=EDGE_CURVE('',#14061,#14060,#12329,.T.); #17476=EDGE_CURVE('',#14061,#14050,#7049,.T.); #17477=EDGE_CURVE('',#14062,#14061,#7050,.T.); #17478=EDGE_CURVE('',#14062,#14051,#7051,.T.); #17479=EDGE_CURVE('',#14063,#14062,#12330,.T.); #17480=EDGE_CURVE('',#14040,#14063,#7052,.T.); #17481=EDGE_CURVE('',#14052,#14063,#7053,.T.); #17482=EDGE_CURVE('',#14064,#14065,#7054,.T.); #17483=EDGE_CURVE('',#14066,#14065,#7055,.T.); #17484=EDGE_CURVE('',#14066,#14067,#7056,.T.); #17485=EDGE_CURVE('',#14064,#14067,#485,.T.); #17486=EDGE_CURVE('',#14068,#14069,#7057,.T.); #17487=EDGE_CURVE('',#14067,#14068,#486,.T.); #17488=EDGE_CURVE('',#14069,#14066,#7058,.T.); #17489=EDGE_CURVE('',#14070,#14069,#7059,.T.); #17490=EDGE_CURVE('',#14070,#14071,#7060,.T.); #17491=EDGE_CURVE('',#14068,#14071,#487,.T.); #17492=EDGE_CURVE('',#14072,#14070,#7061,.T.); #17493=EDGE_CURVE('',#14073,#14072,#7062,.T.); #17494=EDGE_CURVE('',#14071,#14073,#488,.T.); #17495=EDGE_CURVE('',#14074,#14075,#7063,.T.); #17496=EDGE_CURVE('',#14073,#14075,#489,.T.); #17497=EDGE_CURVE('',#14074,#14072,#7064,.T.); #17498=EDGE_CURVE('',#14076,#14077,#7065,.T.); #17499=EDGE_CURVE('',#14075,#14076,#490,.T.); #17500=EDGE_CURVE('',#14077,#14074,#7066,.T.); #17501=EDGE_CURVE('',#14078,#14079,#7067,.T.); #17502=EDGE_CURVE('',#14080,#14079,#491,.T.); #17503=EDGE_CURVE('',#14076,#14080,#492,.T.); #17504=EDGE_CURVE('',#14078,#14077,#7068,.T.); #17505=EDGE_CURVE('',#14065,#14078,#7069,.T.); #17506=EDGE_CURVE('',#14079,#14064,#493,.T.); #17507=EDGE_CURVE('',#14081,#14081,#12331,.T.); #17508=EDGE_CURVE('',#14081,#14082,#7070,.T.); #17509=EDGE_CURVE('',#14082,#14082,#12332,.T.); #17510=EDGE_CURVE('',#14083,#14083,#12333,.T.); #17511=EDGE_CURVE('',#14083,#14080,#7071,.T.); #17512=EDGE_CURVE('',#14084,#14084,#12334,.T.); #17513=EDGE_CURVE('',#14084,#14085,#7072,.T.); #17514=EDGE_CURVE('',#14085,#14085,#12335,.T.); #17515=EDGE_CURVE('',#14086,#14086,#12336,.T.); #17516=EDGE_CURVE('',#14086,#14087,#7073,.T.); #17517=EDGE_CURVE('',#14087,#14087,#12337,.T.); #17518=EDGE_CURVE('',#14088,#14088,#12338,.T.); #17519=EDGE_CURVE('',#14088,#14089,#12339,.T.); #17520=EDGE_CURVE('',#14089,#14090,#12340,.T.); #17521=EDGE_CURVE('',#14090,#14089,#12341,.T.); #17522=EDGE_CURVE('',#14091,#14092,#12342,.T.); #17523=EDGE_CURVE('',#14092,#14091,#12343,.T.); #17524=EDGE_CURVE('',#14092,#14090,#7074,.T.); #17525=EDGE_CURVE('',#14093,#14093,#12344,.T.); #17526=EDGE_CURVE('',#14094,#14094,#12345,.T.); #17527=EDGE_CURVE('',#14094,#14091,#7075,.T.); #17528=EDGE_CURVE('',#14095,#14096,#12346,.T.); #17529=EDGE_CURVE('',#14096,#14095,#12347,.T.); #17530=EDGE_CURVE('',#14095,#14097,#12348,.T.); #17531=EDGE_CURVE('',#14097,#14097,#12349,.T.); #17532=EDGE_CURVE('',#14098,#14099,#7076,.T.); #17533=EDGE_CURVE('',#14099,#14100,#7077,.T.); #17534=EDGE_CURVE('',#14100,#14101,#7078,.T.); #17535=EDGE_CURVE('',#14101,#14102,#7079,.T.); #17536=EDGE_CURVE('',#14102,#14103,#7080,.T.); #17537=EDGE_CURVE('',#14103,#14098,#7081,.T.); #17538=EDGE_CURVE('',#14093,#14096,#7082,.T.); #17539=EDGE_CURVE('',#14104,#14105,#494,.T.); #17540=EDGE_CURVE('',#14104,#14103,#7083,.T.); #17541=EDGE_CURVE('',#14105,#14102,#7084,.T.); #17542=EDGE_CURVE('',#14106,#14104,#495,.T.); #17543=EDGE_CURVE('',#14106,#14098,#7085,.T.); #17544=EDGE_CURVE('',#14107,#14106,#496,.T.); #17545=EDGE_CURVE('',#14107,#14099,#7086,.T.); #17546=EDGE_CURVE('',#14108,#14107,#497,.T.); #17547=EDGE_CURVE('',#14100,#14108,#7087,.T.); #17548=EDGE_CURVE('',#14105,#14109,#498,.T.); #17549=EDGE_CURVE('',#14110,#14101,#7088,.T.); #17550=EDGE_CURVE('',#14109,#14110,#499,.T.); #17551=EDGE_CURVE('',#14110,#14108,#500,.T.); #17552=EDGE_CURVE('',#14111,#14109,#7089,.T.); #17553=EDGE_CURVE('',#14112,#14113,#7090,.T.); #17554=EDGE_CURVE('',#14112,#14114,#7091,.T.); #17555=EDGE_CURVE('',#14114,#14115,#7092,.T.); #17556=EDGE_CURVE('',#14113,#14115,#7093,.T.); #17557=EDGE_CURVE('',#14116,#14112,#7094,.T.); #17558=EDGE_CURVE('',#14116,#14117,#7095,.T.); #17559=EDGE_CURVE('',#14117,#14114,#7096,.T.); #17560=EDGE_CURVE('',#14118,#14116,#7097,.T.); #17561=EDGE_CURVE('',#14118,#14119,#7098,.T.); #17562=EDGE_CURVE('',#14119,#14117,#7099,.T.); #17563=EDGE_CURVE('',#14120,#14118,#7100,.T.); #17564=EDGE_CURVE('',#14120,#14121,#7101,.T.); #17565=EDGE_CURVE('',#14121,#14119,#7102,.T.); #17566=EDGE_CURVE('',#14122,#14120,#7103,.T.); #17567=EDGE_CURVE('',#14122,#14123,#7104,.T.); #17568=EDGE_CURVE('',#14123,#14121,#7105,.T.); #17569=EDGE_CURVE('',#14113,#14122,#7106,.T.); #17570=EDGE_CURVE('',#14115,#14123,#7107,.T.); #17571=EDGE_CURVE('',#14124,#14124,#12350,.T.); #17572=EDGE_CURVE('',#14124,#14125,#12351,.T.); #17573=EDGE_CURVE('',#14126,#14125,#12352,.T.); #17574=EDGE_CURVE('',#14125,#14126,#12353,.T.); #17575=EDGE_CURVE('',#14127,#14128,#12354,.T.); #17576=EDGE_CURVE('',#14127,#14126,#7108,.T.); #17577=EDGE_CURVE('',#14128,#14127,#12355,.T.); #17578=EDGE_CURVE('',#14128,#14129,#12356,.T.); #17579=EDGE_CURVE('',#14130,#14129,#12357,.T.); #17580=EDGE_CURVE('',#14129,#14130,#12358,.T.); #17581=EDGE_CURVE('',#14131,#14131,#12359,.T.); #17582=EDGE_CURVE('',#14131,#14130,#7109,.T.); #17583=EDGE_CURVE('',#14132,#14132,#12360,.T.); #17584=EDGE_CURVE('',#14132,#14131,#7110,.T.); #17585=EDGE_CURVE('',#14133,#14133,#12361,.T.); #17586=EDGE_CURVE('',#14133,#14132,#7111,.T.); #17587=EDGE_CURVE('',#14134,#14135,#12362,.T.); #17588=EDGE_CURVE('',#14135,#14134,#12363,.T.); #17589=EDGE_CURVE('',#14135,#14136,#12364,.T.); #17590=EDGE_CURVE('',#14136,#14136,#12365,.T.); #17591=EDGE_CURVE('',#14137,#14137,#12366,.T.); #17592=EDGE_CURVE('',#14137,#14134,#7112,.T.); #17593=EDGE_CURVE('',#14138,#14138,#12367,.T.); #17594=EDGE_CURVE('',#14138,#14139,#12368,.T.); #17595=EDGE_CURVE('',#14140,#14139,#12369,.T.); #17596=EDGE_CURVE('',#14139,#14140,#12370,.T.); #17597=EDGE_CURVE('',#14141,#14142,#12371,.T.); #17598=EDGE_CURVE('',#14141,#14140,#7113,.T.); #17599=EDGE_CURVE('',#14142,#14141,#12372,.T.); #17600=EDGE_CURVE('',#14142,#14143,#12373,.T.); #17601=EDGE_CURVE('',#14144,#14143,#12374,.T.); #17602=EDGE_CURVE('',#14143,#14144,#12375,.T.); #17603=EDGE_CURVE('',#14145,#14145,#12376,.T.); #17604=EDGE_CURVE('',#14145,#14144,#7114,.T.); #17605=EDGE_CURVE('',#14146,#14146,#12377,.T.); #17606=EDGE_CURVE('',#14146,#14145,#7115,.T.); #17607=EDGE_CURVE('',#14147,#14148,#7116,.T.); #17608=EDGE_CURVE('',#14147,#14149,#7117,.T.); #17609=EDGE_CURVE('',#14149,#14150,#7118,.T.); #17610=EDGE_CURVE('',#14150,#14148,#7119,.T.); #17611=EDGE_CURVE('',#14151,#14147,#12378,.T.); #17612=EDGE_CURVE('',#14152,#14151,#7120,.T.); #17613=EDGE_CURVE('',#14152,#14149,#12379,.T.); #17614=EDGE_CURVE('',#14153,#14151,#7121,.T.); #17615=EDGE_CURVE('',#14154,#14153,#7122,.T.); #17616=EDGE_CURVE('',#14154,#14152,#7123,.T.); #17617=EDGE_CURVE('',#14148,#14153,#12380,.T.); #17618=EDGE_CURVE('',#14150,#14154,#12381,.T.); #17619=EDGE_CURVE('',#14155,#14156,#501,.T.); #17620=EDGE_CURVE('',#14157,#14155,#7124,.T.); #17621=EDGE_CURVE('',#14158,#14157,#502,.T.); #17622=EDGE_CURVE('',#14159,#14158,#7125,.T.); #17623=EDGE_CURVE('',#14160,#14159,#7126,.T.); #17624=EDGE_CURVE('',#14156,#14160,#7127,.T.); #17625=EDGE_CURVE('',#14160,#14159,#12382,.T.); #17626=EDGE_CURVE('',#14161,#14158,#12383,.T.); #17627=EDGE_CURVE('',#14161,#14162,#7128,.T.); #17628=EDGE_CURVE('',#14162,#14163,#12384,.T.); #17629=EDGE_CURVE('',#14163,#14164,#7129,.T.); #17630=EDGE_CURVE('',#14164,#14164,#12385,.T.); #17631=EDGE_CURVE('',#14163,#14165,#12386,.T.); #17632=EDGE_CURVE('',#14165,#14166,#7130,.T.); #17633=EDGE_CURVE('',#14156,#14166,#12387,.T.); #17634=EDGE_CURVE('',#14157,#14167,#12388,.T.); #17635=EDGE_CURVE('',#14167,#14161,#503,.T.); #17636=EDGE_CURVE('',#14166,#14168,#504,.T.); #17637=EDGE_CURVE('',#14168,#14155,#12389,.T.); #17638=EDGE_CURVE('',#14165,#14162,#7131,.T.); #17639=EDGE_CURVE('',#14168,#14167,#7132,.T.); #17640=EDGE_CURVE('',#14169,#14169,#12390,.T.); #17641=EDGE_CURVE('',#14164,#14170,#7133,.T.); #17642=EDGE_CURVE('',#14170,#14170,#12391,.T.); #17643=EDGE_CURVE('',#14171,#14171,#12392,.T.); #17644=EDGE_CURVE('',#14172,#14172,#12393,.T.); #17645=EDGE_CURVE('',#14172,#14173,#7134,.T.); #17646=EDGE_CURVE('',#14171,#14172,#7135,.T.); #17647=EDGE_CURVE('',#14174,#14174,#12394,.T.); #17648=EDGE_CURVE('',#14174,#14175,#7136,.T.); #17649=EDGE_CURVE('',#14175,#14175,#12395,.T.); #17650=EDGE_CURVE('',#14169,#14175,#7137,.T.); #17651=EDGE_CURVE('',#14176,#14176,#12396,.T.); #17652=EDGE_CURVE('',#14176,#14177,#7138,.T.); #17653=EDGE_CURVE('',#14177,#14177,#12397,.T.); #17654=EDGE_CURVE('',#14178,#14178,#12398,.T.); #17655=EDGE_CURVE('',#14178,#14179,#7139,.T.); #17656=EDGE_CURVE('',#14179,#14179,#12399,.T.); #17657=EDGE_CURVE('',#14180,#14180,#12400,.T.); #17658=EDGE_CURVE('',#14180,#14181,#7140,.T.); #17659=EDGE_CURVE('',#14181,#14181,#12401,.T.); #17660=EDGE_CURVE('',#14182,#14182,#12402,.T.); #17661=EDGE_CURVE('',#14182,#14183,#7141,.T.); #17662=EDGE_CURVE('',#14183,#14183,#12403,.T.); #17663=EDGE_CURVE('',#14184,#14185,#12404,.T.); #17664=EDGE_CURVE('',#14185,#14186,#7142,.T.); #17665=EDGE_CURVE('',#14186,#14187,#12405,.T.); #17666=EDGE_CURVE('',#14187,#14188,#7143,.T.); #17667=EDGE_CURVE('',#14188,#14189,#7144,.T.); #17668=EDGE_CURVE('',#14189,#14190,#7145,.T.); #17669=EDGE_CURVE('',#14190,#14191,#7146,.T.); #17670=EDGE_CURVE('',#14191,#14192,#12406,.T.); #17671=EDGE_CURVE('',#14192,#14193,#12407,.T.); #17672=EDGE_CURVE('',#14193,#14194,#7147,.T.); #17673=EDGE_CURVE('',#14194,#14195,#12408,.T.); #17674=EDGE_CURVE('',#14195,#14196,#7148,.T.); #17675=EDGE_CURVE('',#14196,#14184,#12409,.T.); #17676=EDGE_CURVE('',#14197,#14185,#7149,.T.); #17677=EDGE_CURVE('',#14198,#14197,#7150,.T.); #17678=EDGE_CURVE('',#14186,#14198,#7151,.T.); #17679=EDGE_CURVE('',#14199,#14198,#12410,.T.); #17680=EDGE_CURVE('',#14187,#14199,#7152,.T.); #17681=EDGE_CURVE('',#14200,#14199,#7153,.T.); #17682=EDGE_CURVE('',#14188,#14200,#7154,.T.); #17683=EDGE_CURVE('',#14201,#14200,#7155,.T.); #17684=EDGE_CURVE('',#14189,#14201,#7156,.T.); #17685=EDGE_CURVE('',#14202,#14201,#7157,.T.); #17686=EDGE_CURVE('',#14190,#14202,#7158,.T.); #17687=EDGE_CURVE('',#14203,#14202,#7159,.T.); #17688=EDGE_CURVE('',#14191,#14203,#7160,.T.); #17689=EDGE_CURVE('',#14204,#14203,#12411,.T.); #17690=EDGE_CURVE('',#14192,#14204,#7161,.T.); #17691=EDGE_CURVE('',#14205,#14204,#12412,.T.); #17692=EDGE_CURVE('',#14193,#14205,#7162,.T.); #17693=EDGE_CURVE('',#14206,#14205,#7163,.T.); #17694=EDGE_CURVE('',#14194,#14206,#7164,.T.); #17695=EDGE_CURVE('',#14207,#14206,#12413,.T.); #17696=EDGE_CURVE('',#14195,#14207,#7165,.T.); #17697=EDGE_CURVE('',#14208,#14207,#7166,.T.); #17698=EDGE_CURVE('',#14196,#14208,#7167,.T.); #17699=EDGE_CURVE('',#14209,#14208,#12414,.T.); #17700=EDGE_CURVE('',#14184,#14209,#7168,.T.); #17701=EDGE_CURVE('',#14197,#14209,#12415,.T.); #17702=EDGE_CURVE('',#14210,#14210,#12416,.T.); #17703=EDGE_CURVE('',#14210,#14211,#7169,.T.); #17704=EDGE_CURVE('',#14211,#14211,#12417,.T.); #17705=EDGE_CURVE('',#14212,#14212,#12418,.T.); #17706=EDGE_CURVE('',#14212,#14213,#7170,.T.); #17707=EDGE_CURVE('',#14213,#14213,#12419,.T.); #17708=EDGE_CURVE('',#14214,#14214,#12420,.T.); #17709=EDGE_CURVE('',#14214,#14215,#7171,.T.); #17710=EDGE_CURVE('',#14215,#14215,#12421,.T.); #17711=EDGE_CURVE('',#14216,#14216,#12422,.T.); #17712=EDGE_CURVE('',#14216,#14217,#7172,.T.); #17713=EDGE_CURVE('',#14217,#14217,#12423,.T.); #17714=EDGE_CURVE('',#14218,#14219,#12424,.T.); #17715=EDGE_CURVE('',#14219,#14220,#7173,.T.); #17716=EDGE_CURVE('',#14220,#14221,#12425,.T.); #17717=EDGE_CURVE('',#14221,#14222,#7174,.T.); #17718=EDGE_CURVE('',#14222,#14223,#7175,.T.); #17719=EDGE_CURVE('',#14223,#14224,#7176,.T.); #17720=EDGE_CURVE('',#14224,#14225,#7177,.T.); #17721=EDGE_CURVE('',#14225,#14226,#12426,.T.); #17722=EDGE_CURVE('',#14226,#14227,#12427,.T.); #17723=EDGE_CURVE('',#14227,#14228,#7178,.T.); #17724=EDGE_CURVE('',#14228,#14229,#12428,.T.); #17725=EDGE_CURVE('',#14229,#14230,#7179,.T.); #17726=EDGE_CURVE('',#14230,#14218,#12429,.T.); #17727=EDGE_CURVE('',#14231,#14219,#7180,.T.); #17728=EDGE_CURVE('',#14232,#14231,#7181,.T.); #17729=EDGE_CURVE('',#14220,#14232,#7182,.T.); #17730=EDGE_CURVE('',#14233,#14232,#12430,.T.); #17731=EDGE_CURVE('',#14221,#14233,#7183,.T.); #17732=EDGE_CURVE('',#14234,#14233,#7184,.T.); #17733=EDGE_CURVE('',#14222,#14234,#7185,.T.); #17734=EDGE_CURVE('',#14235,#14234,#7186,.T.); #17735=EDGE_CURVE('',#14223,#14235,#7187,.T.); #17736=EDGE_CURVE('',#14236,#14235,#7188,.T.); #17737=EDGE_CURVE('',#14224,#14236,#7189,.T.); #17738=EDGE_CURVE('',#14237,#14236,#7190,.T.); #17739=EDGE_CURVE('',#14225,#14237,#7191,.T.); #17740=EDGE_CURVE('',#14238,#14237,#12431,.T.); #17741=EDGE_CURVE('',#14226,#14238,#7192,.T.); #17742=EDGE_CURVE('',#14239,#14238,#12432,.T.); #17743=EDGE_CURVE('',#14227,#14239,#7193,.T.); #17744=EDGE_CURVE('',#14240,#14239,#7194,.T.); #17745=EDGE_CURVE('',#14228,#14240,#7195,.T.); #17746=EDGE_CURVE('',#14241,#14240,#12433,.T.); #17747=EDGE_CURVE('',#14229,#14241,#7196,.T.); #17748=EDGE_CURVE('',#14242,#14241,#7197,.T.); #17749=EDGE_CURVE('',#14230,#14242,#7198,.T.); #17750=EDGE_CURVE('',#14243,#14242,#12434,.T.); #17751=EDGE_CURVE('',#14218,#14243,#7199,.T.); #17752=EDGE_CURVE('',#14231,#14243,#12435,.T.); #17753=EDGE_CURVE('',#14244,#14244,#12436,.T.); #17754=EDGE_CURVE('',#14244,#14245,#12437,.T.); #17755=EDGE_CURVE('',#14245,#14246,#12438,.T.); #17756=EDGE_CURVE('',#14246,#14245,#12439,.T.); #17757=EDGE_CURVE('',#14247,#14248,#12440,.T.); #17758=EDGE_CURVE('',#14248,#14247,#12441,.T.); #17759=EDGE_CURVE('',#14248,#14246,#7200,.T.); #17760=EDGE_CURVE('',#14249,#14249,#12442,.T.); #17761=EDGE_CURVE('',#14250,#14250,#12443,.T.); #17762=EDGE_CURVE('',#14250,#14247,#7201,.T.); #17763=EDGE_CURVE('',#14251,#14252,#12444,.T.); #17764=EDGE_CURVE('',#14252,#14251,#12445,.T.); #17765=EDGE_CURVE('',#14251,#14253,#12446,.T.); #17766=EDGE_CURVE('',#14253,#14253,#12447,.T.); #17767=EDGE_CURVE('',#14254,#14255,#7202,.T.); #17768=EDGE_CURVE('',#14255,#14256,#7203,.T.); #17769=EDGE_CURVE('',#14256,#14257,#7204,.T.); #17770=EDGE_CURVE('',#14257,#14258,#7205,.T.); #17771=EDGE_CURVE('',#14258,#14259,#7206,.T.); #17772=EDGE_CURVE('',#14259,#14254,#7207,.T.); #17773=EDGE_CURVE('',#14249,#14252,#7208,.T.); #17774=EDGE_CURVE('',#14260,#14261,#505,.T.); #17775=EDGE_CURVE('',#14260,#14259,#7209,.T.); #17776=EDGE_CURVE('',#14261,#14258,#7210,.T.); #17777=EDGE_CURVE('',#14262,#14260,#506,.T.); #17778=EDGE_CURVE('',#14262,#14254,#7211,.T.); #17779=EDGE_CURVE('',#14263,#14262,#507,.T.); #17780=EDGE_CURVE('',#14263,#14255,#7212,.T.); #17781=EDGE_CURVE('',#14264,#14263,#508,.T.); #17782=EDGE_CURVE('',#14256,#14264,#7213,.T.); #17783=EDGE_CURVE('',#14261,#14265,#509,.T.); #17784=EDGE_CURVE('',#14266,#14257,#7214,.T.); #17785=EDGE_CURVE('',#14265,#14266,#510,.T.); #17786=EDGE_CURVE('',#14266,#14264,#511,.T.); #17787=EDGE_CURVE('',#14267,#14265,#7215,.T.); #17788=EDGE_CURVE('',#14268,#14269,#443,.T.); #17789=EDGE_CURVE('',#14269,#14270,#7216,.T.); #17790=EDGE_CURVE('',#14270,#14271,#444,.T.); #17791=EDGE_CURVE('',#14271,#14268,#7217,.T.); #17792=EDGE_CURVE('',#14272,#14271,#12448,.T.); #17793=EDGE_CURVE('',#14273,#14272,#7218,.T.); #17794=EDGE_CURVE('',#14274,#14273,#7219,.T.); #17795=EDGE_CURVE('',#14275,#14274,#7220,.T.); #17796=EDGE_CURVE('',#14268,#14275,#12449,.T.); #17797=EDGE_CURVE('',#14276,#14270,#7221,.T.); #17798=EDGE_CURVE('',#14277,#14276,#445,.T.); #17799=EDGE_CURVE('',#14278,#14277,#12450,.T.); #17800=EDGE_CURVE('',#14278,#14272,#7222,.T.); #17801=EDGE_CURVE('',#14279,#14280,#446,.T.); #17802=EDGE_CURVE('',#14280,#14281,#7223,.T.); #17803=EDGE_CURVE('',#14281,#14282,#447,.T.); #17804=EDGE_CURVE('',#14282,#14279,#7224,.T.); #17805=EDGE_CURVE('',#14280,#14283,#7225,.T.); #17806=EDGE_CURVE('',#14284,#14283,#7226,.T.); #17807=EDGE_CURVE('',#14281,#14284,#7227,.T.); #17808=EDGE_CURVE('',#14279,#14285,#12451,.T.); #17809=EDGE_CURVE('',#14285,#14286,#7228,.T.); #17810=EDGE_CURVE('',#14287,#14286,#12452,.T.); #17811=EDGE_CURVE('',#14283,#14287,#448,.T.); #17812=EDGE_CURVE('',#14276,#14288,#7229,.T.); #17813=EDGE_CURVE('',#14288,#14289,#449,.T.); #17814=EDGE_CURVE('',#14289,#14277,#7230,.T.); #17815=EDGE_CURVE('',#14289,#14290,#12453,.T.); #17816=EDGE_CURVE('',#14290,#14291,#7231,.T.); #17817=EDGE_CURVE('',#14292,#14291,#12454,.T.); #17818=EDGE_CURVE('',#14293,#14292,#7232,.T.); #17819=EDGE_CURVE('',#14294,#14293,#7233,.T.); #17820=EDGE_CURVE('',#14294,#14295,#7234,.T.); #17821=EDGE_CURVE('',#14295,#14296,#12455,.T.); #17822=EDGE_CURVE('',#14296,#14278,#7235,.T.); #17823=EDGE_CURVE('',#14287,#14297,#7236,.T.); #17824=EDGE_CURVE('',#14297,#14284,#450,.T.); #17825=EDGE_CURVE('',#14286,#14298,#7237,.T.); #17826=EDGE_CURVE('',#14298,#14299,#12456,.T.); #17827=EDGE_CURVE('',#14299,#14300,#7238,.T.); #17828=EDGE_CURVE('',#14301,#14300,#7239,.T.); #17829=EDGE_CURVE('',#14302,#14301,#7240,.T.); #17830=EDGE_CURVE('',#14303,#14302,#12457,.T.); #17831=EDGE_CURVE('',#14303,#14304,#7241,.T.); #17832=EDGE_CURVE('',#14304,#14297,#12458,.T.); #17833=EDGE_CURVE('',#14305,#14301,#12459,.F.); #17834=EDGE_CURVE('',#14300,#14306,#12460,.T.); #17835=EDGE_CURVE('',#14306,#14305,#7242,.T.); #17836=EDGE_CURVE('',#14307,#14306,#7243,.T.); #17837=EDGE_CURVE('',#14308,#14307,#7244,.T.); #17838=EDGE_CURVE('',#14305,#14308,#7245,.T.); #17839=EDGE_CURVE('',#14309,#14299,#7246,.T.); #17840=EDGE_CURVE('',#14309,#14310,#12461,.F.); #17841=EDGE_CURVE('',#14310,#14295,#7247,.F.); #17842=EDGE_CURVE('',#14307,#14294,#12462,.T.); #17843=EDGE_CURVE('',#14308,#14293,#12463,.T.); #17844=EDGE_CURVE('',#14311,#14312,#12464,.T.); #17845=EDGE_CURVE('',#14313,#14311,#451,.T.); #17846=EDGE_CURVE('',#14274,#14313,#397,.F.); #17847=EDGE_CURVE('',#14273,#14314,#398,.T.); #17848=EDGE_CURVE('',#14310,#14314,#452,.F.); #17849=EDGE_CURVE('',#14309,#14315,#453,.T.); #17850=EDGE_CURVE('',#14316,#14315,#399,.F.); #17851=EDGE_CURVE('',#14316,#14317,#7248,.T.); #17852=EDGE_CURVE('',#14317,#14318,#400,.T.); #17853=EDGE_CURVE('',#14312,#14318,#454,.T.); #17854=EDGE_CURVE('',#14312,#14311,#7249,.T.); #17855=EDGE_CURVE('',#14319,#14282,#12465,.T.); #17856=EDGE_CURVE('',#14317,#14319,#7250,.T.); #17857=EDGE_CURVE('',#14285,#14316,#7251,.T.); #17858=EDGE_CURVE('',#14314,#14296,#7252,.F.); #17859=EDGE_CURVE('',#14288,#14269,#7253,.T.); #17860=EDGE_CURVE('',#14290,#14275,#7254,.T.); #17861=EDGE_CURVE('',#14291,#14313,#7255,.T.); #17862=EDGE_CURVE('',#14315,#14298,#7256,.T.); #17863=EDGE_CURVE('',#14319,#14304,#7257,.T.); #17864=EDGE_CURVE('',#14318,#14303,#7258,.T.); #17865=EDGE_CURVE('',#14320,#14305,#7259,.T.); #17866=EDGE_CURVE('',#14321,#14320,#7260,.T.); #17867=EDGE_CURVE('',#14302,#14321,#7261,.T.); #17868=EDGE_CURVE('',#14322,#14292,#7262,.T.); #17869=EDGE_CURVE('',#14323,#14322,#7263,.T.); #17870=EDGE_CURVE('',#14308,#14323,#7264,.T.); #17871=EDGE_CURVE('',#14324,#14321,#12466,.T.); #17872=EDGE_CURVE('',#14325,#14324,#7265,.T.); #17873=EDGE_CURVE('',#14325,#14326,#455,.T.); #17874=EDGE_CURVE('',#14326,#14327,#456,.T.); #17875=EDGE_CURVE('',#14327,#14328,#457,.T.); #17876=EDGE_CURVE('',#14329,#14328,#7266,.T.); #17877=EDGE_CURVE('',#14322,#14329,#12467,.T.); #17878=EDGE_CURVE('',#14330,#14330,#12468,.T.); #17879=EDGE_CURVE('',#14330,#14331,#7267,.T.); #17880=EDGE_CURVE('',#14332,#14331,#458,.T.); #17881=EDGE_CURVE('',#14333,#14332,#12469,.T.); #17882=EDGE_CURVE('',#14334,#14333,#401,.T.); #17883=EDGE_CURVE('',#14335,#14334,#402,.T.); #17884=EDGE_CURVE('',#14328,#14335,#12470,.T.); #17885=EDGE_CURVE('',#14336,#14325,#12471,.T.); #17886=EDGE_CURVE('',#14337,#14336,#403,.T.); #17887=EDGE_CURVE('',#14338,#14337,#404,.T.); #17888=EDGE_CURVE('',#14339,#14338,#12472,.T.); #17889=EDGE_CURVE('',#14331,#14339,#459,.T.); #17890=EDGE_CURVE('',#14339,#14340,#7268,.T.); #17891=EDGE_CURVE('',#14341,#14340,#12473,.T.); #17892=EDGE_CURVE('',#14342,#14341,#7269,.T.); #17893=EDGE_CURVE('',#14343,#14342,#12474,.T.); #17894=EDGE_CURVE('',#14343,#14332,#7270,.T.); #17895=EDGE_CURVE('',#14338,#14344,#7271,.T.); #17896=EDGE_CURVE('',#14340,#14344,#7272,.T.); #17897=EDGE_CURVE('',#14337,#14345,#7273,.T.); #17898=EDGE_CURVE('',#14344,#14345,#7274,.T.); #17899=EDGE_CURVE('',#14336,#14346,#7275,.T.); #17900=EDGE_CURVE('',#14345,#14346,#7276,.T.); #17901=EDGE_CURVE('',#14346,#14324,#7277,.T.); #17902=EDGE_CURVE('',#14347,#14348,#405,.T.); #17903=EDGE_CURVE('',#14349,#14347,#7278,.T.); #17904=EDGE_CURVE('',#14350,#14349,#406,.T.); #17905=EDGE_CURVE('',#14351,#14350,#7279,.T.); #17906=EDGE_CURVE('',#14348,#14351,#407,.T.); #17907=EDGE_CURVE('',#14352,#14348,#7280,.T.); #17908=EDGE_CURVE('',#14351,#14347,#12475,.T.); #17909=EDGE_CURVE('',#14353,#14349,#7281,.T.); #17910=EDGE_CURVE('',#14350,#14353,#7282,.T.); #17911=EDGE_CURVE('',#14350,#14354,#7283,.T.); #17912=EDGE_CURVE('',#14354,#14355,#12476,.T.); #17913=EDGE_CURVE('',#14355,#14356,#7284,.T.); #17914=EDGE_CURVE('',#14356,#14357,#12477,.T.); #17915=EDGE_CURVE('',#14357,#14358,#7285,.T.); #17916=EDGE_CURVE('',#14358,#14359,#12478,.T.); #17917=EDGE_CURVE('',#14359,#14360,#7286,.T.); #17918=EDGE_CURVE('',#14360,#14361,#12479,.T.); #17919=EDGE_CURVE('',#14361,#14362,#7287,.T.); #17920=EDGE_CURVE('',#14362,#14363,#7288,.T.); #17921=EDGE_CURVE('',#14364,#14363,#12480,.T.); #17922=EDGE_CURVE('',#14364,#14365,#7289,.T.); #17923=EDGE_CURVE('',#14365,#14366,#7290,.T.); #17924=EDGE_CURVE('',#14366,#14323,#12481,.T.); #17925=EDGE_CURVE('',#14329,#14367,#7291,.T.); #17926=EDGE_CURVE('',#14367,#14368,#7292,.T.); #17927=EDGE_CURVE('',#14368,#14369,#7293,.T.); #17928=EDGE_CURVE('',#14369,#14343,#7294,.T.); #17929=EDGE_CURVE('',#14342,#14370,#7295,.T.); #17930=EDGE_CURVE('',#14370,#14371,#12482,.T.); #17931=EDGE_CURVE('',#14371,#14349,#7296,.T.); #17932=EDGE_CURVE('',#14371,#14372,#7297,.T.); #17933=EDGE_CURVE('',#14373,#14372,#7298,.T.); #17934=EDGE_CURVE('',#14373,#14374,#7299,.T.); #17935=EDGE_CURVE('',#14374,#14375,#7300,.T.); #17936=EDGE_CURVE('',#14376,#14375,#7301,.T.); #17937=EDGE_CURVE('',#14354,#14376,#7302,.T.); #17938=EDGE_CURVE('',#14363,#14377,#408,.T.); #17939=EDGE_CURVE('',#14365,#14362,#409,.T.); #17940=EDGE_CURVE('',#14377,#14364,#410,.T.); #17941=EDGE_CURVE('',#14378,#14377,#7303,.T.); #17942=EDGE_CURVE('',#14379,#14362,#7304,.T.); #17943=EDGE_CURVE('',#14365,#14379,#7305,.T.); #17944=EDGE_CURVE('',#14373,#14375,#411,.T.); #17945=EDGE_CURVE('',#14380,#14373,#7306,.T.); #17946=EDGE_CURVE('',#14381,#14380,#412,.T.); #17947=EDGE_CURVE('',#14382,#14381,#413,.T.); #17948=EDGE_CURVE('',#14375,#14382,#7307,.T.); #17949=EDGE_CURVE('',#14383,#14381,#7308,.T.); #17950=EDGE_CURVE('',#14382,#14380,#12483,.T.); #17951=EDGE_CURVE('',#14384,#14385,#414,.T.); #17952=EDGE_CURVE('',#14386,#14384,#7309,.T.); #17953=EDGE_CURVE('',#14387,#14386,#415,.T.); #17954=EDGE_CURVE('',#14388,#14387,#416,.T.); #17955=EDGE_CURVE('',#14385,#14388,#7310,.T.); #17956=EDGE_CURVE('',#14389,#14385,#7311,.T.); #17957=EDGE_CURVE('',#14384,#14389,#7312,.T.); #17958=EDGE_CURVE('',#14361,#14390,#7313,.T.); #17959=EDGE_CURVE('',#14384,#14390,#7314,.T.); #17960=EDGE_CURVE('',#14391,#14385,#7315,.T.); #17961=EDGE_CURVE('',#14366,#14391,#7316,.T.); #17962=EDGE_CURVE('',#14392,#14387,#7317,.T.); #17963=EDGE_CURVE('',#14388,#14386,#12484,.T.); #17964=EDGE_CURVE('',#14367,#14335,#7318,.T.); #17965=EDGE_CURVE('',#14368,#14334,#7319,.T.); #17966=EDGE_CURVE('',#14369,#14333,#7320,.T.); #17967=EDGE_CURVE('',#14393,#14341,#7321,.T.); #17968=EDGE_CURVE('',#14370,#14393,#7322,.T.); #17969=EDGE_CURVE('',#14372,#14393,#12485,.T.); #17970=EDGE_CURVE('',#14394,#14376,#12486,.T.); #17971=EDGE_CURVE('',#14355,#14394,#7323,.T.); #17972=EDGE_CURVE('',#14395,#14394,#7324,.T.); #17973=EDGE_CURVE('',#14356,#14395,#7325,.T.); #17974=EDGE_CURVE('',#14396,#14395,#12487,.T.); #17975=EDGE_CURVE('',#14357,#14396,#7326,.T.); #17976=EDGE_CURVE('',#14397,#14396,#7327,.T.); #17977=EDGE_CURVE('',#14358,#14397,#7328,.T.); #17978=EDGE_CURVE('',#14398,#14397,#12488,.T.); #17979=EDGE_CURVE('',#14359,#14398,#7329,.T.); #17980=EDGE_CURVE('',#14399,#14398,#7330,.T.); #17981=EDGE_CURVE('',#14360,#14399,#7331,.T.); #17982=EDGE_CURVE('',#14390,#14399,#12489,.T.); #17983=EDGE_CURVE('',#14320,#14391,#12490,.T.); #17984=EDGE_CURVE('',#14400,#14400,#12491,.T.); #17985=EDGE_CURVE('',#14400,#14401,#7332,.T.); #17986=EDGE_CURVE('',#14401,#14401,#12492,.T.); #17987=EDGE_CURVE('',#14402,#14402,#12493,.T.); #17988=EDGE_CURVE('',#14402,#14403,#7333,.T.); #17989=EDGE_CURVE('',#14403,#14403,#12494,.T.); #17990=EDGE_CURVE('',#14404,#14404,#12495,.T.); #17991=EDGE_CURVE('',#14404,#14405,#7334,.T.); #17992=EDGE_CURVE('',#14405,#14405,#12496,.T.); #17993=EDGE_CURVE('',#14406,#14406,#12497,.T.); #17994=EDGE_CURVE('',#14406,#14407,#7335,.T.); #17995=EDGE_CURVE('',#14407,#14407,#12498,.T.); #17996=EDGE_CURVE('',#14408,#14409,#12499,.T.); #17997=EDGE_CURVE('',#14409,#14410,#7336,.T.); #17998=EDGE_CURVE('',#14410,#14411,#12500,.T.); #17999=EDGE_CURVE('',#14411,#14412,#7337,.T.); #18000=EDGE_CURVE('',#14412,#14413,#7338,.T.); #18001=EDGE_CURVE('',#14413,#14414,#7339,.T.); #18002=EDGE_CURVE('',#14414,#14415,#7340,.T.); #18003=EDGE_CURVE('',#14415,#14416,#12501,.T.); #18004=EDGE_CURVE('',#14416,#14417,#12502,.T.); #18005=EDGE_CURVE('',#14417,#14418,#7341,.T.); #18006=EDGE_CURVE('',#14418,#14419,#12503,.T.); #18007=EDGE_CURVE('',#14419,#14420,#7342,.T.); #18008=EDGE_CURVE('',#14420,#14408,#12504,.T.); #18009=EDGE_CURVE('',#14421,#14409,#7343,.T.); #18010=EDGE_CURVE('',#14422,#14421,#7344,.T.); #18011=EDGE_CURVE('',#14410,#14422,#7345,.T.); #18012=EDGE_CURVE('',#14423,#14422,#12505,.T.); #18013=EDGE_CURVE('',#14411,#14423,#7346,.T.); #18014=EDGE_CURVE('',#14424,#14423,#7347,.T.); #18015=EDGE_CURVE('',#14412,#14424,#7348,.T.); #18016=EDGE_CURVE('',#14425,#14424,#7349,.T.); #18017=EDGE_CURVE('',#14413,#14425,#7350,.T.); #18018=EDGE_CURVE('',#14426,#14425,#7351,.T.); #18019=EDGE_CURVE('',#14414,#14426,#7352,.T.); #18020=EDGE_CURVE('',#14427,#14426,#7353,.T.); #18021=EDGE_CURVE('',#14415,#14427,#7354,.T.); #18022=EDGE_CURVE('',#14428,#14427,#12506,.T.); #18023=EDGE_CURVE('',#14416,#14428,#7355,.T.); #18024=EDGE_CURVE('',#14429,#14428,#12507,.T.); #18025=EDGE_CURVE('',#14417,#14429,#7356,.T.); #18026=EDGE_CURVE('',#14430,#14429,#7357,.T.); #18027=EDGE_CURVE('',#14418,#14430,#7358,.T.); #18028=EDGE_CURVE('',#14431,#14430,#12508,.T.); #18029=EDGE_CURVE('',#14419,#14431,#7359,.T.); #18030=EDGE_CURVE('',#14432,#14431,#7360,.T.); #18031=EDGE_CURVE('',#14420,#14432,#7361,.T.); #18032=EDGE_CURVE('',#14433,#14432,#12509,.T.); #18033=EDGE_CURVE('',#14408,#14433,#7362,.T.); #18034=EDGE_CURVE('',#14421,#14433,#12510,.T.); #18035=EDGE_CURVE('',#14434,#14435,#7363,.T.); #18036=EDGE_CURVE('',#14436,#14434,#7364,.T.); #18037=EDGE_CURVE('',#14436,#14437,#7365,.T.); #18038=EDGE_CURVE('',#14437,#14435,#7366,.T.); #18039=EDGE_CURVE('',#14435,#14438,#7367,.T.); #18040=EDGE_CURVE('',#14437,#14439,#7368,.T.); #18041=EDGE_CURVE('',#14439,#14438,#7369,.T.); #18042=EDGE_CURVE('',#14438,#14440,#7370,.T.); #18043=EDGE_CURVE('',#14439,#14441,#7371,.T.); #18044=EDGE_CURVE('',#14441,#14440,#7372,.T.); #18045=EDGE_CURVE('',#14440,#14434,#7373,.T.); #18046=EDGE_CURVE('',#14441,#14436,#7374,.T.); #18047=EDGE_CURVE('',#14442,#14443,#7375,.T.); #18048=EDGE_CURVE('',#14444,#14442,#7376,.T.); #18049=EDGE_CURVE('',#14445,#14444,#7377,.T.); #18050=EDGE_CURVE('',#14445,#14443,#7378,.T.); #18051=EDGE_CURVE('',#14443,#14446,#7379,.T.); #18052=EDGE_CURVE('',#14447,#14445,#7380,.T.); #18053=EDGE_CURVE('',#14447,#14446,#7381,.T.); #18054=EDGE_CURVE('',#14446,#14448,#7382,.T.); #18055=EDGE_CURVE('',#14449,#14447,#7383,.T.); #18056=EDGE_CURVE('',#14449,#14448,#7384,.T.); #18057=EDGE_CURVE('',#14448,#14450,#7385,.T.); #18058=EDGE_CURVE('',#14451,#14449,#7386,.T.); #18059=EDGE_CURVE('',#14451,#14450,#7387,.T.); #18060=EDGE_CURVE('',#14450,#14452,#7388,.T.); #18061=EDGE_CURVE('',#14453,#14451,#7389,.T.); #18062=EDGE_CURVE('',#14453,#14452,#7390,.T.); #18063=EDGE_CURVE('',#14452,#14454,#7391,.T.); #18064=EDGE_CURVE('',#14455,#14453,#7392,.T.); #18065=EDGE_CURVE('',#14455,#14454,#7393,.T.); #18066=EDGE_CURVE('',#14454,#14456,#7394,.T.); #18067=EDGE_CURVE('',#14457,#14455,#7395,.T.); #18068=EDGE_CURVE('',#14457,#14456,#7396,.T.); #18069=EDGE_CURVE('',#14456,#14458,#7397,.T.); #18070=EDGE_CURVE('',#14459,#14457,#7398,.T.); #18071=EDGE_CURVE('',#14459,#14458,#7399,.T.); #18072=EDGE_CURVE('',#14458,#14460,#7400,.T.); #18073=EDGE_CURVE('',#14461,#14459,#7401,.T.); #18074=EDGE_CURVE('',#14461,#14460,#7402,.T.); #18075=EDGE_CURVE('',#14460,#14462,#7403,.T.); #18076=EDGE_CURVE('',#14463,#14461,#7404,.T.); #18077=EDGE_CURVE('',#14463,#14462,#7405,.T.); #18078=EDGE_CURVE('',#14462,#14464,#7406,.T.); #18079=EDGE_CURVE('',#14465,#14463,#7407,.T.); #18080=EDGE_CURVE('',#14465,#14464,#7408,.T.); #18081=EDGE_CURVE('',#14464,#14466,#7409,.T.); #18082=EDGE_CURVE('',#14467,#14465,#7410,.T.); #18083=EDGE_CURVE('',#14467,#14466,#7411,.T.); #18084=EDGE_CURVE('',#14466,#14468,#7412,.T.); #18085=EDGE_CURVE('',#14469,#14467,#7413,.T.); #18086=EDGE_CURVE('',#14469,#14468,#7414,.T.); #18087=EDGE_CURVE('',#14468,#14470,#7415,.T.); #18088=EDGE_CURVE('',#14471,#14469,#7416,.T.); #18089=EDGE_CURVE('',#14471,#14470,#7417,.T.); #18090=EDGE_CURVE('',#14470,#14472,#7418,.T.); #18091=EDGE_CURVE('',#14473,#14471,#7419,.T.); #18092=EDGE_CURVE('',#14473,#14472,#7420,.T.); #18093=EDGE_CURVE('',#14472,#14474,#7421,.T.); #18094=EDGE_CURVE('',#14475,#14473,#7422,.T.); #18095=EDGE_CURVE('',#14475,#14474,#7423,.T.); #18096=EDGE_CURVE('',#14474,#14476,#7424,.T.); #18097=EDGE_CURVE('',#14477,#14475,#7425,.T.); #18098=EDGE_CURVE('',#14477,#14476,#7426,.T.); #18099=EDGE_CURVE('',#14476,#14478,#7427,.T.); #18100=EDGE_CURVE('',#14479,#14477,#7428,.T.); #18101=EDGE_CURVE('',#14479,#14478,#7429,.T.); #18102=EDGE_CURVE('',#14478,#14480,#7430,.T.); #18103=EDGE_CURVE('',#14481,#14479,#7431,.T.); #18104=EDGE_CURVE('',#14481,#14480,#7432,.T.); #18105=EDGE_CURVE('',#14480,#14482,#7433,.T.); #18106=EDGE_CURVE('',#14483,#14481,#7434,.T.); #18107=EDGE_CURVE('',#14483,#14482,#7435,.T.); #18108=EDGE_CURVE('',#14482,#14484,#7436,.T.); #18109=EDGE_CURVE('',#14485,#14483,#7437,.T.); #18110=EDGE_CURVE('',#14485,#14484,#7438,.T.); #18111=EDGE_CURVE('',#14484,#14486,#7439,.T.); #18112=EDGE_CURVE('',#14487,#14485,#7440,.T.); #18113=EDGE_CURVE('',#14487,#14486,#7441,.T.); #18114=EDGE_CURVE('',#14486,#14488,#7442,.T.); #18115=EDGE_CURVE('',#14489,#14487,#7443,.T.); #18116=EDGE_CURVE('',#14489,#14488,#7444,.T.); #18117=EDGE_CURVE('',#14488,#14490,#7445,.T.); #18118=EDGE_CURVE('',#14491,#14489,#7446,.T.); #18119=EDGE_CURVE('',#14491,#14490,#7447,.T.); #18120=EDGE_CURVE('',#14490,#14492,#7448,.T.); #18121=EDGE_CURVE('',#14493,#14491,#7449,.T.); #18122=EDGE_CURVE('',#14493,#14492,#7450,.T.); #18123=EDGE_CURVE('',#14492,#14494,#7451,.T.); #18124=EDGE_CURVE('',#14495,#14493,#7452,.T.); #18125=EDGE_CURVE('',#14495,#14494,#7453,.T.); #18126=EDGE_CURVE('',#14494,#14496,#7454,.T.); #18127=EDGE_CURVE('',#14497,#14495,#7455,.T.); #18128=EDGE_CURVE('',#14497,#14496,#7456,.T.); #18129=EDGE_CURVE('',#14496,#14498,#7457,.T.); #18130=EDGE_CURVE('',#14499,#14497,#7458,.T.); #18131=EDGE_CURVE('',#14499,#14498,#7459,.T.); #18132=EDGE_CURVE('',#14498,#14500,#7460,.T.); #18133=EDGE_CURVE('',#14501,#14499,#7461,.T.); #18134=EDGE_CURVE('',#14501,#14500,#7462,.T.); #18135=EDGE_CURVE('',#14500,#14502,#7463,.T.); #18136=EDGE_CURVE('',#14503,#14501,#7464,.T.); #18137=EDGE_CURVE('',#14503,#14502,#7465,.T.); #18138=EDGE_CURVE('',#14502,#14504,#7466,.T.); #18139=EDGE_CURVE('',#14505,#14503,#7467,.T.); #18140=EDGE_CURVE('',#14505,#14504,#7468,.T.); #18141=EDGE_CURVE('',#14504,#14506,#7469,.T.); #18142=EDGE_CURVE('',#14507,#14505,#7470,.T.); #18143=EDGE_CURVE('',#14507,#14506,#7471,.T.); #18144=EDGE_CURVE('',#14506,#14508,#7472,.T.); #18145=EDGE_CURVE('',#14509,#14507,#7473,.T.); #18146=EDGE_CURVE('',#14509,#14508,#7474,.T.); #18147=EDGE_CURVE('',#14510,#14511,#7475,.T.); #18148=EDGE_CURVE('',#14511,#14512,#7476,.T.); #18149=EDGE_CURVE('',#14512,#14513,#7477,.T.); #18150=EDGE_CURVE('',#14513,#14514,#7478,.T.); #18151=EDGE_CURVE('',#14514,#14515,#7479,.T.); #18152=EDGE_CURVE('',#14515,#14516,#7480,.T.); #18153=EDGE_CURVE('',#14516,#14517,#7481,.T.); #18154=EDGE_CURVE('',#14517,#14518,#7482,.T.); #18155=EDGE_CURVE('',#14518,#14519,#7483,.T.); #18156=EDGE_CURVE('',#14519,#14520,#7484,.T.); #18157=EDGE_CURVE('',#14520,#14509,#7485,.T.); #18158=EDGE_CURVE('',#14444,#14521,#7486,.T.); #18159=EDGE_CURVE('',#14521,#14522,#7487,.T.); #18160=EDGE_CURVE('',#14522,#14523,#7488,.T.); #18161=EDGE_CURVE('',#14523,#14524,#7489,.T.); #18162=EDGE_CURVE('',#14524,#14525,#7490,.T.); #18163=EDGE_CURVE('',#14525,#14526,#7491,.T.); #18164=EDGE_CURVE('',#14526,#14527,#7492,.T.); #18165=EDGE_CURVE('',#14527,#14528,#7493,.T.); #18166=EDGE_CURVE('',#14528,#14529,#7494,.T.); #18167=EDGE_CURVE('',#14529,#14530,#7495,.T.); #18168=EDGE_CURVE('',#14530,#14531,#7496,.T.); #18169=EDGE_CURVE('',#14531,#14532,#7497,.T.); #18170=EDGE_CURVE('',#14532,#14533,#7498,.T.); #18171=EDGE_CURVE('',#14533,#14534,#7499,.T.); #18172=EDGE_CURVE('',#14534,#14535,#7500,.T.); #18173=EDGE_CURVE('',#14535,#14536,#7501,.T.); #18174=EDGE_CURVE('',#14536,#14537,#7502,.T.); #18175=EDGE_CURVE('',#14537,#14538,#7503,.T.); #18176=EDGE_CURVE('',#14538,#14539,#7504,.T.); #18177=EDGE_CURVE('',#14539,#14540,#7505,.T.); #18178=EDGE_CURVE('',#14540,#14541,#7506,.T.); #18179=EDGE_CURVE('',#14541,#14542,#7507,.T.); #18180=EDGE_CURVE('',#14542,#14510,#7508,.T.); #18181=EDGE_CURVE('',#14508,#14543,#7509,.T.); #18182=EDGE_CURVE('',#14520,#14543,#7510,.T.); #18183=EDGE_CURVE('',#14543,#14544,#7511,.T.); #18184=EDGE_CURVE('',#14519,#14544,#7512,.T.); #18185=EDGE_CURVE('',#14544,#14545,#7513,.T.); #18186=EDGE_CURVE('',#14518,#14545,#7514,.T.); #18187=EDGE_CURVE('',#14545,#14546,#7515,.T.); #18188=EDGE_CURVE('',#14517,#14546,#7516,.T.); #18189=EDGE_CURVE('',#14546,#14547,#7517,.T.); #18190=EDGE_CURVE('',#14516,#14547,#7518,.T.); #18191=EDGE_CURVE('',#14547,#14548,#7519,.T.); #18192=EDGE_CURVE('',#14515,#14548,#7520,.T.); #18193=EDGE_CURVE('',#14548,#14549,#7521,.T.); #18194=EDGE_CURVE('',#14514,#14549,#7522,.T.); #18195=EDGE_CURVE('',#14549,#14550,#7523,.T.); #18196=EDGE_CURVE('',#14513,#14550,#7524,.T.); #18197=EDGE_CURVE('',#14550,#14551,#7525,.T.); #18198=EDGE_CURVE('',#14512,#14551,#7526,.T.); #18199=EDGE_CURVE('',#14551,#14552,#7527,.T.); #18200=EDGE_CURVE('',#14511,#14552,#7528,.T.); #18201=EDGE_CURVE('',#14552,#14553,#7529,.T.); #18202=EDGE_CURVE('',#14510,#14553,#7530,.T.); #18203=EDGE_CURVE('',#14553,#14554,#7531,.T.); #18204=EDGE_CURVE('',#14542,#14554,#7532,.T.); #18205=EDGE_CURVE('',#14554,#14555,#7533,.T.); #18206=EDGE_CURVE('',#14541,#14555,#7534,.T.); #18207=EDGE_CURVE('',#14555,#14556,#7535,.T.); #18208=EDGE_CURVE('',#14540,#14556,#7536,.T.); #18209=EDGE_CURVE('',#14556,#14557,#7537,.T.); #18210=EDGE_CURVE('',#14539,#14557,#7538,.T.); #18211=EDGE_CURVE('',#14557,#14558,#7539,.T.); #18212=EDGE_CURVE('',#14538,#14558,#7540,.T.); #18213=EDGE_CURVE('',#14558,#14559,#7541,.T.); #18214=EDGE_CURVE('',#14537,#14559,#7542,.T.); #18215=EDGE_CURVE('',#14559,#14560,#7543,.T.); #18216=EDGE_CURVE('',#14536,#14560,#7544,.T.); #18217=EDGE_CURVE('',#14560,#14561,#7545,.T.); #18218=EDGE_CURVE('',#14535,#14561,#7546,.T.); #18219=EDGE_CURVE('',#14561,#14562,#7547,.T.); #18220=EDGE_CURVE('',#14534,#14562,#7548,.T.); #18221=EDGE_CURVE('',#14562,#14563,#7549,.T.); #18222=EDGE_CURVE('',#14533,#14563,#7550,.T.); #18223=EDGE_CURVE('',#14563,#14564,#7551,.T.); #18224=EDGE_CURVE('',#14532,#14564,#7552,.T.); #18225=EDGE_CURVE('',#14564,#14565,#7553,.T.); #18226=EDGE_CURVE('',#14531,#14565,#7554,.T.); #18227=EDGE_CURVE('',#14565,#14566,#7555,.T.); #18228=EDGE_CURVE('',#14530,#14566,#7556,.T.); #18229=EDGE_CURVE('',#14566,#14567,#7557,.T.); #18230=EDGE_CURVE('',#14529,#14567,#7558,.T.); #18231=EDGE_CURVE('',#14567,#14568,#7559,.T.); #18232=EDGE_CURVE('',#14528,#14568,#7560,.T.); #18233=EDGE_CURVE('',#14568,#14569,#7561,.T.); #18234=EDGE_CURVE('',#14527,#14569,#7562,.T.); #18235=EDGE_CURVE('',#14569,#14570,#7563,.T.); #18236=EDGE_CURVE('',#14526,#14570,#7564,.T.); #18237=EDGE_CURVE('',#14570,#14571,#7565,.T.); #18238=EDGE_CURVE('',#14525,#14571,#7566,.T.); #18239=EDGE_CURVE('',#14571,#14572,#7567,.T.); #18240=EDGE_CURVE('',#14524,#14572,#7568,.T.); #18241=EDGE_CURVE('',#14572,#14573,#7569,.T.); #18242=EDGE_CURVE('',#14523,#14573,#7570,.T.); #18243=EDGE_CURVE('',#14573,#14574,#7571,.T.); #18244=EDGE_CURVE('',#14522,#14574,#7572,.T.); #18245=EDGE_CURVE('',#14574,#14575,#7573,.T.); #18246=EDGE_CURVE('',#14521,#14575,#7574,.T.); #18247=EDGE_CURVE('',#14575,#14442,#7575,.T.); #18248=EDGE_CURVE('',#14576,#14577,#7576,.T.); #18249=EDGE_CURVE('',#14578,#14576,#7577,.T.); #18250=EDGE_CURVE('',#14578,#14579,#7578,.T.); #18251=EDGE_CURVE('',#14579,#14577,#7579,.T.); #18252=EDGE_CURVE('',#14580,#14581,#7580,.T.); #18253=EDGE_CURVE('',#14582,#14580,#7581,.T.); #18254=EDGE_CURVE('',#14583,#14582,#7582,.T.); #18255=EDGE_CURVE('',#14583,#14581,#7583,.T.); #18256=EDGE_CURVE('',#14581,#14584,#7584,.T.); #18257=EDGE_CURVE('',#14585,#14583,#7585,.T.); #18258=EDGE_CURVE('',#14585,#14584,#7586,.T.); #18259=EDGE_CURVE('',#14584,#14586,#7587,.T.); #18260=EDGE_CURVE('',#14587,#14585,#7588,.T.); #18261=EDGE_CURVE('',#14587,#14586,#7589,.T.); #18262=EDGE_CURVE('',#14586,#14588,#7590,.T.); #18263=EDGE_CURVE('',#14589,#14587,#7591,.T.); #18264=EDGE_CURVE('',#14589,#14588,#7592,.T.); #18265=EDGE_CURVE('',#14588,#14590,#7593,.T.); #18266=EDGE_CURVE('',#14591,#14589,#7594,.T.); #18267=EDGE_CURVE('',#14591,#14590,#7595,.T.); #18268=EDGE_CURVE('',#14577,#14592,#7596,.T.); #18269=EDGE_CURVE('',#14579,#14593,#7597,.T.); #18270=EDGE_CURVE('',#14593,#14592,#7598,.T.); #18271=EDGE_CURVE('',#14592,#14576,#7599,.T.); #18272=EDGE_CURVE('',#14593,#14578,#7600,.T.); #18273=EDGE_CURVE('',#14590,#14594,#7601,.T.); #18274=EDGE_CURVE('',#14595,#14591,#7602,.T.); #18275=EDGE_CURVE('',#14595,#14594,#7603,.T.); #18276=EDGE_CURVE('',#14594,#14596,#7604,.T.); #18277=EDGE_CURVE('',#14597,#14595,#7605,.T.); #18278=EDGE_CURVE('',#14597,#14596,#7606,.T.); #18279=EDGE_CURVE('',#14596,#14598,#7607,.T.); #18280=EDGE_CURVE('',#14599,#14597,#7608,.T.); #18281=EDGE_CURVE('',#14599,#14598,#7609,.T.); #18282=EDGE_CURVE('',#14598,#14600,#7610,.T.); #18283=EDGE_CURVE('',#14601,#14599,#7611,.T.); #18284=EDGE_CURVE('',#14601,#14600,#7612,.T.); #18285=EDGE_CURVE('',#14600,#14602,#7613,.T.); #18286=EDGE_CURVE('',#14603,#14601,#7614,.T.); #18287=EDGE_CURVE('',#14603,#14602,#7615,.T.); #18288=EDGE_CURVE('',#14602,#14580,#7616,.T.); #18289=EDGE_CURVE('',#14582,#14603,#7617,.T.); #18290=EDGE_CURVE('',#14604,#14605,#7618,.T.); #18291=EDGE_CURVE('',#14606,#14604,#7619,.T.); #18292=EDGE_CURVE('',#14607,#14606,#7620,.T.); #18293=EDGE_CURVE('',#14607,#14605,#7621,.T.); #18294=EDGE_CURVE('',#14605,#14608,#7622,.T.); #18295=EDGE_CURVE('',#14609,#14607,#7623,.T.); #18296=EDGE_CURVE('',#14609,#14608,#7624,.T.); #18297=EDGE_CURVE('',#14608,#14610,#7625,.T.); #18298=EDGE_CURVE('',#14611,#14609,#7626,.T.); #18299=EDGE_CURVE('',#14611,#14610,#7627,.T.); #18300=EDGE_CURVE('',#14610,#14612,#7628,.T.); #18301=EDGE_CURVE('',#14613,#14611,#7629,.T.); #18302=EDGE_CURVE('',#14613,#14612,#7630,.T.); #18303=EDGE_CURVE('',#14612,#14614,#7631,.T.); #18304=EDGE_CURVE('',#14615,#14613,#7632,.T.); #18305=EDGE_CURVE('',#14615,#14614,#7633,.T.); #18306=EDGE_CURVE('',#14614,#14616,#7634,.T.); #18307=EDGE_CURVE('',#14617,#14615,#7635,.T.); #18308=EDGE_CURVE('',#14617,#14616,#7636,.T.); #18309=EDGE_CURVE('',#14616,#14618,#7637,.T.); #18310=EDGE_CURVE('',#14619,#14617,#7638,.T.); #18311=EDGE_CURVE('',#14619,#14618,#7639,.T.); #18312=EDGE_CURVE('',#14618,#14620,#7640,.T.); #18313=EDGE_CURVE('',#14621,#14619,#7641,.T.); #18314=EDGE_CURVE('',#14621,#14620,#7642,.T.); #18315=EDGE_CURVE('',#14620,#14622,#7643,.T.); #18316=EDGE_CURVE('',#14623,#14621,#7644,.T.); #18317=EDGE_CURVE('',#14623,#14622,#7645,.T.); #18318=EDGE_CURVE('',#14622,#14624,#7646,.T.); #18319=EDGE_CURVE('',#14625,#14623,#7647,.T.); #18320=EDGE_CURVE('',#14625,#14624,#7648,.T.); #18321=EDGE_CURVE('',#14624,#14626,#7649,.T.); #18322=EDGE_CURVE('',#14627,#14625,#7650,.T.); #18323=EDGE_CURVE('',#14627,#14626,#7651,.T.); #18324=EDGE_CURVE('',#14626,#14628,#7652,.T.); #18325=EDGE_CURVE('',#14629,#14627,#7653,.T.); #18326=EDGE_CURVE('',#14629,#14628,#7654,.T.); #18327=EDGE_CURVE('',#14628,#14630,#7655,.T.); #18328=EDGE_CURVE('',#14631,#14629,#7656,.T.); #18329=EDGE_CURVE('',#14631,#14630,#7657,.T.); #18330=EDGE_CURVE('',#14630,#14632,#7658,.T.); #18331=EDGE_CURVE('',#14633,#14631,#7659,.T.); #18332=EDGE_CURVE('',#14633,#14632,#7660,.T.); #18333=EDGE_CURVE('',#14632,#14604,#7661,.T.); #18334=EDGE_CURVE('',#14606,#14633,#7662,.T.); #18335=EDGE_CURVE('',#14634,#14635,#7663,.T.); #18336=EDGE_CURVE('',#14636,#14634,#7664,.T.); #18337=EDGE_CURVE('',#14637,#14636,#7665,.T.); #18338=EDGE_CURVE('',#14637,#14635,#7666,.T.); #18339=EDGE_CURVE('',#14635,#14638,#7667,.T.); #18340=EDGE_CURVE('',#14639,#14637,#7668,.T.); #18341=EDGE_CURVE('',#14639,#14638,#7669,.T.); #18342=EDGE_CURVE('',#14638,#14640,#7670,.T.); #18343=EDGE_CURVE('',#14641,#14639,#7671,.T.); #18344=EDGE_CURVE('',#14641,#14640,#7672,.T.); #18345=EDGE_CURVE('',#14640,#14642,#7673,.T.); #18346=EDGE_CURVE('',#14643,#14641,#7674,.T.); #18347=EDGE_CURVE('',#14643,#14642,#7675,.T.); #18348=EDGE_CURVE('',#14642,#14644,#7676,.T.); #18349=EDGE_CURVE('',#14645,#14643,#7677,.T.); #18350=EDGE_CURVE('',#14645,#14644,#7678,.T.); #18351=EDGE_CURVE('',#14644,#14646,#7679,.T.); #18352=EDGE_CURVE('',#14647,#14645,#7680,.T.); #18353=EDGE_CURVE('',#14647,#14646,#7681,.T.); #18354=EDGE_CURVE('',#14646,#14648,#7682,.T.); #18355=EDGE_CURVE('',#14649,#14647,#7683,.T.); #18356=EDGE_CURVE('',#14649,#14648,#7684,.T.); #18357=EDGE_CURVE('',#14648,#14650,#7685,.T.); #18358=EDGE_CURVE('',#14651,#14649,#7686,.T.); #18359=EDGE_CURVE('',#14651,#14650,#7687,.T.); #18360=EDGE_CURVE('',#14650,#14652,#7688,.T.); #18361=EDGE_CURVE('',#14653,#14651,#7689,.T.); #18362=EDGE_CURVE('',#14653,#14652,#7690,.T.); #18363=EDGE_CURVE('',#14652,#14654,#7691,.T.); #18364=EDGE_CURVE('',#14655,#14653,#7692,.T.); #18365=EDGE_CURVE('',#14655,#14654,#7693,.T.); #18366=EDGE_CURVE('',#14654,#14656,#7694,.T.); #18367=EDGE_CURVE('',#14657,#14655,#7695,.T.); #18368=EDGE_CURVE('',#14657,#14656,#7696,.T.); #18369=EDGE_CURVE('',#14656,#14658,#7697,.T.); #18370=EDGE_CURVE('',#14659,#14657,#7698,.T.); #18371=EDGE_CURVE('',#14659,#14658,#7699,.T.); #18372=EDGE_CURVE('',#14658,#14660,#7700,.T.); #18373=EDGE_CURVE('',#14661,#14659,#7701,.T.); #18374=EDGE_CURVE('',#14661,#14660,#7702,.T.); #18375=EDGE_CURVE('',#14660,#14662,#7703,.T.); #18376=EDGE_CURVE('',#14663,#14661,#7704,.T.); #18377=EDGE_CURVE('',#14663,#14662,#7705,.T.); #18378=EDGE_CURVE('',#14662,#14664,#7706,.T.); #18379=EDGE_CURVE('',#14665,#14663,#7707,.T.); #18380=EDGE_CURVE('',#14665,#14664,#7708,.T.); #18381=EDGE_CURVE('',#14664,#14666,#7709,.T.); #18382=EDGE_CURVE('',#14667,#14665,#7710,.T.); #18383=EDGE_CURVE('',#14667,#14666,#7711,.T.); #18384=EDGE_CURVE('',#14666,#14668,#7712,.T.); #18385=EDGE_CURVE('',#14669,#14667,#7713,.T.); #18386=EDGE_CURVE('',#14669,#14668,#7714,.T.); #18387=EDGE_CURVE('',#14668,#14670,#7715,.T.); #18388=EDGE_CURVE('',#14671,#14669,#7716,.T.); #18389=EDGE_CURVE('',#14671,#14670,#7717,.T.); #18390=EDGE_CURVE('',#14670,#14672,#7718,.T.); #18391=EDGE_CURVE('',#14673,#14671,#7719,.T.); #18392=EDGE_CURVE('',#14673,#14672,#7720,.T.); #18393=EDGE_CURVE('',#14672,#14674,#7721,.T.); #18394=EDGE_CURVE('',#14675,#14673,#7722,.T.); #18395=EDGE_CURVE('',#14675,#14674,#7723,.T.); #18396=EDGE_CURVE('',#14674,#14676,#7724,.T.); #18397=EDGE_CURVE('',#14677,#14675,#7725,.T.); #18398=EDGE_CURVE('',#14677,#14676,#7726,.T.); #18399=EDGE_CURVE('',#14676,#14678,#7727,.T.); #18400=EDGE_CURVE('',#14679,#14677,#7728,.T.); #18401=EDGE_CURVE('',#14679,#14678,#7729,.T.); #18402=EDGE_CURVE('',#14678,#14680,#7730,.T.); #18403=EDGE_CURVE('',#14681,#14679,#7731,.T.); #18404=EDGE_CURVE('',#14681,#14680,#7732,.T.); #18405=EDGE_CURVE('',#14680,#14682,#7733,.T.); #18406=EDGE_CURVE('',#14683,#14681,#7734,.T.); #18407=EDGE_CURVE('',#14683,#14682,#7735,.T.); #18408=EDGE_CURVE('',#14682,#14684,#7736,.T.); #18409=EDGE_CURVE('',#14685,#14683,#7737,.T.); #18410=EDGE_CURVE('',#14685,#14684,#7738,.T.); #18411=EDGE_CURVE('',#14684,#14686,#7739,.T.); #18412=EDGE_CURVE('',#14687,#14685,#7740,.T.); #18413=EDGE_CURVE('',#14687,#14686,#7741,.T.); #18414=EDGE_CURVE('',#14686,#14688,#7742,.T.); #18415=EDGE_CURVE('',#14689,#14687,#7743,.T.); #18416=EDGE_CURVE('',#14689,#14688,#7744,.T.); #18417=EDGE_CURVE('',#14690,#14691,#7745,.T.); #18418=EDGE_CURVE('',#14692,#14690,#7746,.T.); #18419=EDGE_CURVE('',#14693,#14692,#7747,.T.); #18420=EDGE_CURVE('',#14693,#14691,#7748,.T.); #18421=EDGE_CURVE('',#14691,#14694,#7749,.T.); #18422=EDGE_CURVE('',#14695,#14693,#7750,.T.); #18423=EDGE_CURVE('',#14695,#14694,#7751,.T.); #18424=EDGE_CURVE('',#14694,#14696,#7752,.T.); #18425=EDGE_CURVE('',#14697,#14695,#7753,.T.); #18426=EDGE_CURVE('',#14697,#14696,#7754,.T.); #18427=EDGE_CURVE('',#14696,#14698,#7755,.T.); #18428=EDGE_CURVE('',#14699,#14697,#7756,.T.); #18429=EDGE_CURVE('',#14699,#14698,#7757,.T.); #18430=EDGE_CURVE('',#14698,#14700,#7758,.T.); #18431=EDGE_CURVE('',#14701,#14699,#7759,.T.); #18432=EDGE_CURVE('',#14701,#14700,#7760,.T.); #18433=EDGE_CURVE('',#14700,#14702,#7761,.T.); #18434=EDGE_CURVE('',#14703,#14701,#7762,.T.); #18435=EDGE_CURVE('',#14703,#14702,#7763,.T.); #18436=EDGE_CURVE('',#14702,#14704,#7764,.T.); #18437=EDGE_CURVE('',#14705,#14703,#7765,.T.); #18438=EDGE_CURVE('',#14705,#14704,#7766,.T.); #18439=EDGE_CURVE('',#14704,#14706,#7767,.T.); #18440=EDGE_CURVE('',#14707,#14705,#7768,.T.); #18441=EDGE_CURVE('',#14707,#14706,#7769,.T.); #18442=EDGE_CURVE('',#14708,#14634,#7770,.T.); #18443=EDGE_CURVE('',#14709,#14708,#7771,.T.); #18444=EDGE_CURVE('',#14636,#14709,#7772,.T.); #18445=EDGE_CURVE('',#14688,#14710,#7773,.T.); #18446=EDGE_CURVE('',#14711,#14689,#7774,.T.); #18447=EDGE_CURVE('',#14711,#14710,#7775,.T.); #18448=EDGE_CURVE('',#14710,#14712,#7776,.T.); #18449=EDGE_CURVE('',#14713,#14711,#7777,.T.); #18450=EDGE_CURVE('',#14713,#14712,#7778,.T.); #18451=EDGE_CURVE('',#14712,#14714,#7779,.T.); #18452=EDGE_CURVE('',#14715,#14713,#7780,.T.); #18453=EDGE_CURVE('',#14715,#14714,#7781,.T.); #18454=EDGE_CURVE('',#14714,#14716,#7782,.T.); #18455=EDGE_CURVE('',#14717,#14715,#7783,.T.); #18456=EDGE_CURVE('',#14717,#14716,#7784,.T.); #18457=EDGE_CURVE('',#14716,#14718,#7785,.T.); #18458=EDGE_CURVE('',#14719,#14717,#7786,.T.); #18459=EDGE_CURVE('',#14719,#14718,#7787,.T.); #18460=EDGE_CURVE('',#14718,#14720,#7788,.T.); #18461=EDGE_CURVE('',#14721,#14719,#7789,.T.); #18462=EDGE_CURVE('',#14721,#14720,#7790,.T.); #18463=EDGE_CURVE('',#14720,#14722,#7791,.T.); #18464=EDGE_CURVE('',#14723,#14721,#7792,.T.); #18465=EDGE_CURVE('',#14723,#14722,#7793,.T.); #18466=EDGE_CURVE('',#14722,#14724,#7794,.T.); #18467=EDGE_CURVE('',#14725,#14723,#7795,.T.); #18468=EDGE_CURVE('',#14725,#14724,#7796,.T.); #18469=EDGE_CURVE('',#14724,#14726,#7797,.T.); #18470=EDGE_CURVE('',#14727,#14725,#7798,.T.); #18471=EDGE_CURVE('',#14727,#14726,#7799,.T.); #18472=EDGE_CURVE('',#14726,#14728,#7800,.T.); #18473=EDGE_CURVE('',#14729,#14727,#7801,.T.); #18474=EDGE_CURVE('',#14729,#14728,#7802,.T.); #18475=EDGE_CURVE('',#14728,#14730,#7803,.T.); #18476=EDGE_CURVE('',#14731,#14729,#7804,.T.); #18477=EDGE_CURVE('',#14731,#14730,#7805,.T.); #18478=EDGE_CURVE('',#14730,#14732,#7806,.T.); #18479=EDGE_CURVE('',#14733,#14731,#7807,.T.); #18480=EDGE_CURVE('',#14733,#14732,#7808,.T.); #18481=EDGE_CURVE('',#14732,#14734,#7809,.T.); #18482=EDGE_CURVE('',#14735,#14733,#7810,.T.); #18483=EDGE_CURVE('',#14735,#14734,#7811,.T.); #18484=EDGE_CURVE('',#14734,#14736,#7812,.T.); #18485=EDGE_CURVE('',#14737,#14735,#7813,.T.); #18486=EDGE_CURVE('',#14737,#14736,#7814,.T.); #18487=EDGE_CURVE('',#14736,#14738,#7815,.T.); #18488=EDGE_CURVE('',#14739,#14737,#7816,.T.); #18489=EDGE_CURVE('',#14739,#14738,#7817,.T.); #18490=EDGE_CURVE('',#14740,#14690,#7818,.T.); #18491=EDGE_CURVE('',#14741,#14740,#7819,.T.); #18492=EDGE_CURVE('',#14692,#14741,#7820,.T.); #18493=EDGE_CURVE('',#14706,#14742,#7821,.T.); #18494=EDGE_CURVE('',#14743,#14707,#7822,.T.); #18495=EDGE_CURVE('',#14743,#14742,#7823,.T.); #18496=EDGE_CURVE('',#14742,#14744,#7824,.T.); #18497=EDGE_CURVE('',#14745,#14743,#7825,.T.); #18498=EDGE_CURVE('',#14745,#14744,#7826,.T.); #18499=EDGE_CURVE('',#14744,#14746,#7827,.T.); #18500=EDGE_CURVE('',#14747,#14745,#7828,.T.); #18501=EDGE_CURVE('',#14747,#14746,#7829,.T.); #18502=EDGE_CURVE('',#14746,#14748,#7830,.T.); #18503=EDGE_CURVE('',#14749,#14747,#7831,.T.); #18504=EDGE_CURVE('',#14749,#14748,#7832,.T.); #18505=EDGE_CURVE('',#14748,#14750,#7833,.T.); #18506=EDGE_CURVE('',#14751,#14749,#7834,.T.); #18507=EDGE_CURVE('',#14751,#14750,#7835,.T.); #18508=EDGE_CURVE('',#14750,#14752,#7836,.T.); #18509=EDGE_CURVE('',#14753,#14751,#7837,.T.); #18510=EDGE_CURVE('',#14753,#14752,#7838,.T.); #18511=EDGE_CURVE('',#14752,#14740,#7839,.T.); #18512=EDGE_CURVE('',#14741,#14753,#7840,.T.); #18513=EDGE_CURVE('',#14738,#14754,#7841,.T.); #18514=EDGE_CURVE('',#14755,#14739,#7842,.T.); #18515=EDGE_CURVE('',#14755,#14754,#7843,.T.); #18516=EDGE_CURVE('',#14754,#14756,#7844,.T.); #18517=EDGE_CURVE('',#14757,#14755,#7845,.T.); #18518=EDGE_CURVE('',#14757,#14756,#7846,.T.); #18519=EDGE_CURVE('',#14756,#14758,#7847,.T.); #18520=EDGE_CURVE('',#14759,#14757,#7848,.T.); #18521=EDGE_CURVE('',#14759,#14758,#7849,.T.); #18522=EDGE_CURVE('',#14758,#14760,#7850,.T.); #18523=EDGE_CURVE('',#14761,#14759,#7851,.T.); #18524=EDGE_CURVE('',#14761,#14760,#7852,.T.); #18525=EDGE_CURVE('',#14760,#14762,#7853,.T.); #18526=EDGE_CURVE('',#14763,#14761,#7854,.T.); #18527=EDGE_CURVE('',#14763,#14762,#7855,.T.); #18528=EDGE_CURVE('',#14762,#14764,#7856,.T.); #18529=EDGE_CURVE('',#14765,#14763,#7857,.T.); #18530=EDGE_CURVE('',#14765,#14764,#7858,.T.); #18531=EDGE_CURVE('',#14764,#14766,#7859,.T.); #18532=EDGE_CURVE('',#14767,#14765,#7860,.T.); #18533=EDGE_CURVE('',#14767,#14766,#7861,.T.); #18534=EDGE_CURVE('',#14766,#14768,#7862,.T.); #18535=EDGE_CURVE('',#14769,#14767,#7863,.T.); #18536=EDGE_CURVE('',#14769,#14768,#7864,.T.); #18537=EDGE_CURVE('',#14768,#14770,#7865,.T.); #18538=EDGE_CURVE('',#14771,#14769,#7866,.T.); #18539=EDGE_CURVE('',#14771,#14770,#7867,.T.); #18540=EDGE_CURVE('',#14770,#14772,#7868,.T.); #18541=EDGE_CURVE('',#14773,#14771,#7869,.T.); #18542=EDGE_CURVE('',#14773,#14772,#7870,.T.); #18543=EDGE_CURVE('',#14772,#14708,#7871,.T.); #18544=EDGE_CURVE('',#14709,#14773,#7872,.T.); #18545=EDGE_CURVE('',#14774,#14775,#7873,.T.); #18546=EDGE_CURVE('',#14776,#14774,#7874,.T.); #18547=EDGE_CURVE('',#14777,#14776,#7875,.T.); #18548=EDGE_CURVE('',#14777,#14775,#7876,.T.); #18549=EDGE_CURVE('',#14775,#14778,#7877,.T.); #18550=EDGE_CURVE('',#14779,#14777,#7878,.T.); #18551=EDGE_CURVE('',#14779,#14778,#7879,.T.); #18552=EDGE_CURVE('',#14778,#14780,#7880,.T.); #18553=EDGE_CURVE('',#14781,#14779,#7881,.T.); #18554=EDGE_CURVE('',#14781,#14780,#7882,.T.); #18555=EDGE_CURVE('',#14780,#14782,#7883,.T.); #18556=EDGE_CURVE('',#14783,#14781,#7884,.T.); #18557=EDGE_CURVE('',#14783,#14782,#7885,.T.); #18558=EDGE_CURVE('',#14782,#14784,#7886,.T.); #18559=EDGE_CURVE('',#14785,#14783,#7887,.T.); #18560=EDGE_CURVE('',#14785,#14784,#7888,.T.); #18561=EDGE_CURVE('',#14784,#14786,#7889,.T.); #18562=EDGE_CURVE('',#14787,#14785,#7890,.T.); #18563=EDGE_CURVE('',#14787,#14786,#7891,.T.); #18564=EDGE_CURVE('',#14786,#14788,#7892,.T.); #18565=EDGE_CURVE('',#14789,#14787,#7893,.T.); #18566=EDGE_CURVE('',#14789,#14788,#7894,.T.); #18567=EDGE_CURVE('',#14788,#14790,#7895,.T.); #18568=EDGE_CURVE('',#14791,#14789,#7896,.T.); #18569=EDGE_CURVE('',#14791,#14790,#7897,.T.); #18570=EDGE_CURVE('',#14790,#14792,#7898,.T.); #18571=EDGE_CURVE('',#14793,#14791,#7899,.T.); #18572=EDGE_CURVE('',#14793,#14792,#7900,.T.); #18573=EDGE_CURVE('',#14792,#14794,#7901,.T.); #18574=EDGE_CURVE('',#14795,#14793,#7902,.T.); #18575=EDGE_CURVE('',#14795,#14794,#7903,.T.); #18576=EDGE_CURVE('',#14794,#14796,#7904,.T.); #18577=EDGE_CURVE('',#14797,#14795,#7905,.T.); #18578=EDGE_CURVE('',#14797,#14796,#7906,.T.); #18579=EDGE_CURVE('',#14796,#14798,#7907,.T.); #18580=EDGE_CURVE('',#14799,#14797,#7908,.T.); #18581=EDGE_CURVE('',#14799,#14798,#7909,.T.); #18582=EDGE_CURVE('',#14798,#14800,#7910,.T.); #18583=EDGE_CURVE('',#14801,#14799,#7911,.T.); #18584=EDGE_CURVE('',#14801,#14800,#7912,.T.); #18585=EDGE_CURVE('',#14800,#14802,#7913,.T.); #18586=EDGE_CURVE('',#14803,#14801,#7914,.T.); #18587=EDGE_CURVE('',#14803,#14802,#7915,.T.); #18588=EDGE_CURVE('',#14802,#14804,#7916,.T.); #18589=EDGE_CURVE('',#14805,#14803,#7917,.T.); #18590=EDGE_CURVE('',#14805,#14804,#7918,.T.); #18591=EDGE_CURVE('',#14804,#14806,#7919,.T.); #18592=EDGE_CURVE('',#14807,#14805,#7920,.T.); #18593=EDGE_CURVE('',#14807,#14806,#7921,.T.); #18594=EDGE_CURVE('',#14806,#14808,#7922,.T.); #18595=EDGE_CURVE('',#14809,#14807,#7923,.T.); #18596=EDGE_CURVE('',#14809,#14808,#7924,.T.); #18597=EDGE_CURVE('',#14808,#14810,#7925,.T.); #18598=EDGE_CURVE('',#14811,#14809,#7926,.T.); #18599=EDGE_CURVE('',#14811,#14810,#7927,.T.); #18600=EDGE_CURVE('',#14810,#14812,#7928,.T.); #18601=EDGE_CURVE('',#14813,#14811,#7929,.T.); #18602=EDGE_CURVE('',#14813,#14812,#7930,.T.); #18603=EDGE_CURVE('',#14812,#14814,#7931,.T.); #18604=EDGE_CURVE('',#14815,#14813,#7932,.T.); #18605=EDGE_CURVE('',#14815,#14814,#7933,.T.); #18606=EDGE_CURVE('',#14814,#14816,#7934,.T.); #18607=EDGE_CURVE('',#14817,#14815,#7935,.T.); #18608=EDGE_CURVE('',#14817,#14816,#7936,.T.); #18609=EDGE_CURVE('',#14816,#14818,#7937,.T.); #18610=EDGE_CURVE('',#14819,#14817,#7938,.T.); #18611=EDGE_CURVE('',#14819,#14818,#7939,.T.); #18612=EDGE_CURVE('',#14818,#14820,#7940,.T.); #18613=EDGE_CURVE('',#14821,#14819,#7941,.T.); #18614=EDGE_CURVE('',#14821,#14820,#7942,.T.); #18615=EDGE_CURVE('',#14820,#14822,#7943,.T.); #18616=EDGE_CURVE('',#14823,#14821,#7944,.T.); #18617=EDGE_CURVE('',#14823,#14822,#7945,.T.); #18618=EDGE_CURVE('',#14822,#14824,#7946,.T.); #18619=EDGE_CURVE('',#14825,#14823,#7947,.T.); #18620=EDGE_CURVE('',#14825,#14824,#7948,.T.); #18621=EDGE_CURVE('',#14824,#14826,#7949,.T.); #18622=EDGE_CURVE('',#14827,#14825,#7950,.T.); #18623=EDGE_CURVE('',#14827,#14826,#7951,.T.); #18624=EDGE_CURVE('',#14826,#14828,#7952,.T.); #18625=EDGE_CURVE('',#14829,#14827,#7953,.T.); #18626=EDGE_CURVE('',#14829,#14828,#7954,.T.); #18627=EDGE_CURVE('',#14828,#14830,#7955,.T.); #18628=EDGE_CURVE('',#14831,#14829,#7956,.T.); #18629=EDGE_CURVE('',#14831,#14830,#7957,.T.); #18630=EDGE_CURVE('',#14830,#14832,#7958,.T.); #18631=EDGE_CURVE('',#14833,#14831,#7959,.T.); #18632=EDGE_CURVE('',#14833,#14832,#7960,.T.); #18633=EDGE_CURVE('',#14832,#14834,#7961,.T.); #18634=EDGE_CURVE('',#14835,#14833,#7962,.T.); #18635=EDGE_CURVE('',#14835,#14834,#7963,.T.); #18636=EDGE_CURVE('',#14834,#14836,#7964,.T.); #18637=EDGE_CURVE('',#14837,#14835,#7965,.T.); #18638=EDGE_CURVE('',#14837,#14836,#7966,.T.); #18639=EDGE_CURVE('',#14836,#14838,#7967,.T.); #18640=EDGE_CURVE('',#14839,#14837,#7968,.T.); #18641=EDGE_CURVE('',#14839,#14838,#7969,.T.); #18642=EDGE_CURVE('',#14838,#14840,#7970,.T.); #18643=EDGE_CURVE('',#14841,#14839,#7971,.T.); #18644=EDGE_CURVE('',#14841,#14840,#7972,.T.); #18645=EDGE_CURVE('',#14840,#14842,#7973,.T.); #18646=EDGE_CURVE('',#14843,#14841,#7974,.T.); #18647=EDGE_CURVE('',#14843,#14842,#7975,.T.); #18648=EDGE_CURVE('',#14842,#14844,#7976,.T.); #18649=EDGE_CURVE('',#14845,#14843,#7977,.T.); #18650=EDGE_CURVE('',#14845,#14844,#7978,.T.); #18651=EDGE_CURVE('',#14844,#14846,#7979,.T.); #18652=EDGE_CURVE('',#14847,#14845,#7980,.T.); #18653=EDGE_CURVE('',#14847,#14846,#7981,.T.); #18654=EDGE_CURVE('',#14846,#14848,#7982,.T.); #18655=EDGE_CURVE('',#14849,#14847,#7983,.T.); #18656=EDGE_CURVE('',#14849,#14848,#7984,.T.); #18657=EDGE_CURVE('',#14848,#14850,#7985,.T.); #18658=EDGE_CURVE('',#14851,#14849,#7986,.T.); #18659=EDGE_CURVE('',#14851,#14850,#7987,.T.); #18660=EDGE_CURVE('',#14850,#14852,#7988,.T.); #18661=EDGE_CURVE('',#14853,#14851,#7989,.T.); #18662=EDGE_CURVE('',#14853,#14852,#7990,.T.); #18663=EDGE_CURVE('',#14852,#14854,#7991,.T.); #18664=EDGE_CURVE('',#14855,#14853,#7992,.T.); #18665=EDGE_CURVE('',#14855,#14854,#7993,.T.); #18666=EDGE_CURVE('',#14854,#14856,#7994,.T.); #18667=EDGE_CURVE('',#14857,#14855,#7995,.T.); #18668=EDGE_CURVE('',#14857,#14856,#7996,.T.); #18669=EDGE_CURVE('',#14856,#14858,#7997,.T.); #18670=EDGE_CURVE('',#14859,#14857,#7998,.T.); #18671=EDGE_CURVE('',#14859,#14858,#7999,.T.); #18672=EDGE_CURVE('',#14858,#14860,#8000,.T.); #18673=EDGE_CURVE('',#14861,#14859,#8001,.T.); #18674=EDGE_CURVE('',#14861,#14860,#8002,.T.); #18675=EDGE_CURVE('',#14860,#14862,#8003,.T.); #18676=EDGE_CURVE('',#14863,#14861,#8004,.T.); #18677=EDGE_CURVE('',#14863,#14862,#8005,.T.); #18678=EDGE_CURVE('',#14862,#14864,#8006,.T.); #18679=EDGE_CURVE('',#14865,#14863,#8007,.T.); #18680=EDGE_CURVE('',#14865,#14864,#8008,.T.); #18681=EDGE_CURVE('',#14864,#14866,#8009,.T.); #18682=EDGE_CURVE('',#14867,#14865,#8010,.T.); #18683=EDGE_CURVE('',#14867,#14866,#8011,.T.); #18684=EDGE_CURVE('',#14866,#14868,#8012,.T.); #18685=EDGE_CURVE('',#14869,#14867,#8013,.T.); #18686=EDGE_CURVE('',#14869,#14868,#8014,.T.); #18687=EDGE_CURVE('',#14868,#14870,#8015,.T.); #18688=EDGE_CURVE('',#14871,#14869,#8016,.T.); #18689=EDGE_CURVE('',#14871,#14870,#8017,.T.); #18690=EDGE_CURVE('',#14870,#14872,#8018,.T.); #18691=EDGE_CURVE('',#14873,#14871,#8019,.T.); #18692=EDGE_CURVE('',#14873,#14872,#8020,.T.); #18693=EDGE_CURVE('',#14872,#14774,#8021,.T.); #18694=EDGE_CURVE('',#14776,#14873,#8022,.T.); #18695=EDGE_CURVE('',#14874,#14875,#8023,.T.); #18696=EDGE_CURVE('',#14876,#14874,#8024,.T.); #18697=EDGE_CURVE('',#14877,#14876,#8025,.T.); #18698=EDGE_CURVE('',#14877,#14875,#8026,.T.); #18699=EDGE_CURVE('',#14875,#14878,#8027,.T.); #18700=EDGE_CURVE('',#14879,#14877,#8028,.T.); #18701=EDGE_CURVE('',#14879,#14878,#8029,.T.); #18702=EDGE_CURVE('',#14878,#14880,#8030,.T.); #18703=EDGE_CURVE('',#14881,#14879,#8031,.T.); #18704=EDGE_CURVE('',#14881,#14880,#8032,.T.); #18705=EDGE_CURVE('',#14880,#14882,#8033,.T.); #18706=EDGE_CURVE('',#14883,#14881,#8034,.T.); #18707=EDGE_CURVE('',#14883,#14882,#8035,.T.); #18708=EDGE_CURVE('',#14882,#14884,#8036,.T.); #18709=EDGE_CURVE('',#14885,#14883,#8037,.T.); #18710=EDGE_CURVE('',#14885,#14884,#8038,.T.); #18711=EDGE_CURVE('',#14884,#14886,#8039,.T.); #18712=EDGE_CURVE('',#14887,#14885,#8040,.T.); #18713=EDGE_CURVE('',#14887,#14886,#8041,.T.); #18714=EDGE_CURVE('',#14886,#14888,#8042,.T.); #18715=EDGE_CURVE('',#14889,#14887,#8043,.T.); #18716=EDGE_CURVE('',#14889,#14888,#8044,.T.); #18717=EDGE_CURVE('',#14888,#14890,#8045,.T.); #18718=EDGE_CURVE('',#14891,#14889,#8046,.T.); #18719=EDGE_CURVE('',#14891,#14890,#8047,.T.); #18720=EDGE_CURVE('',#14892,#14893,#8048,.T.); #18721=EDGE_CURVE('',#14894,#14892,#8049,.T.); #18722=EDGE_CURVE('',#14895,#14894,#8050,.T.); #18723=EDGE_CURVE('',#14895,#14893,#8051,.T.); #18724=EDGE_CURVE('',#14893,#14896,#8052,.T.); #18725=EDGE_CURVE('',#14897,#14895,#8053,.T.); #18726=EDGE_CURVE('',#14897,#14896,#8054,.T.); #18727=EDGE_CURVE('',#14896,#14898,#8055,.T.); #18728=EDGE_CURVE('',#14899,#14897,#8056,.T.); #18729=EDGE_CURVE('',#14899,#14898,#8057,.T.); #18730=EDGE_CURVE('',#14898,#14900,#8058,.T.); #18731=EDGE_CURVE('',#14901,#14899,#8059,.T.); #18732=EDGE_CURVE('',#14901,#14900,#8060,.T.); #18733=EDGE_CURVE('',#14900,#14902,#8061,.T.); #18734=EDGE_CURVE('',#14903,#14901,#8062,.T.); #18735=EDGE_CURVE('',#14903,#14902,#8063,.T.); #18736=EDGE_CURVE('',#14902,#14904,#8064,.T.); #18737=EDGE_CURVE('',#14905,#14903,#8065,.T.); #18738=EDGE_CURVE('',#14905,#14904,#8066,.T.); #18739=EDGE_CURVE('',#14904,#14906,#8067,.T.); #18740=EDGE_CURVE('',#14907,#14905,#8068,.T.); #18741=EDGE_CURVE('',#14907,#14906,#8069,.T.); #18742=EDGE_CURVE('',#14906,#14908,#8070,.T.); #18743=EDGE_CURVE('',#14909,#14907,#8071,.T.); #18744=EDGE_CURVE('',#14909,#14908,#8072,.T.); #18745=EDGE_CURVE('',#14910,#14911,#8073,.T.); #18746=EDGE_CURVE('',#14912,#14910,#8074,.T.); #18747=EDGE_CURVE('',#14913,#14912,#8075,.T.); #18748=EDGE_CURVE('',#14913,#14911,#8076,.T.); #18749=EDGE_CURVE('',#14911,#14914,#8077,.T.); #18750=EDGE_CURVE('',#14915,#14913,#8078,.T.); #18751=EDGE_CURVE('',#14915,#14914,#8079,.T.); #18752=EDGE_CURVE('',#14914,#14916,#8080,.T.); #18753=EDGE_CURVE('',#14917,#14915,#8081,.T.); #18754=EDGE_CURVE('',#14917,#14916,#8082,.T.); #18755=EDGE_CURVE('',#14916,#14918,#8083,.T.); #18756=EDGE_CURVE('',#14919,#14917,#8084,.T.); #18757=EDGE_CURVE('',#14919,#14918,#8085,.T.); #18758=EDGE_CURVE('',#14918,#14920,#8086,.T.); #18759=EDGE_CURVE('',#14921,#14919,#8087,.T.); #18760=EDGE_CURVE('',#14921,#14920,#8088,.T.); #18761=EDGE_CURVE('',#14920,#14922,#8089,.T.); #18762=EDGE_CURVE('',#14923,#14921,#8090,.T.); #18763=EDGE_CURVE('',#14923,#14922,#8091,.T.); #18764=EDGE_CURVE('',#14922,#14924,#8092,.T.); #18765=EDGE_CURVE('',#14925,#14923,#8093,.T.); #18766=EDGE_CURVE('',#14925,#14924,#8094,.T.); #18767=EDGE_CURVE('',#14924,#14926,#8095,.T.); #18768=EDGE_CURVE('',#14927,#14925,#8096,.T.); #18769=EDGE_CURVE('',#14927,#14926,#8097,.T.); #18770=EDGE_CURVE('',#14926,#14928,#8098,.T.); #18771=EDGE_CURVE('',#14929,#14927,#8099,.T.); #18772=EDGE_CURVE('',#14929,#14928,#8100,.T.); #18773=EDGE_CURVE('',#14928,#14930,#8101,.T.); #18774=EDGE_CURVE('',#14931,#14929,#8102,.T.); #18775=EDGE_CURVE('',#14931,#14930,#8103,.T.); #18776=EDGE_CURVE('',#14930,#14932,#8104,.T.); #18777=EDGE_CURVE('',#14933,#14931,#8105,.T.); #18778=EDGE_CURVE('',#14933,#14932,#8106,.T.); #18779=EDGE_CURVE('',#14932,#14934,#8107,.T.); #18780=EDGE_CURVE('',#14935,#14933,#8108,.T.); #18781=EDGE_CURVE('',#14935,#14934,#8109,.T.); #18782=EDGE_CURVE('',#14934,#14936,#8110,.T.); #18783=EDGE_CURVE('',#14937,#14935,#8111,.T.); #18784=EDGE_CURVE('',#14937,#14936,#8112,.T.); #18785=EDGE_CURVE('',#14936,#14938,#8113,.T.); #18786=EDGE_CURVE('',#14939,#14937,#8114,.T.); #18787=EDGE_CURVE('',#14939,#14938,#8115,.T.); #18788=EDGE_CURVE('',#14938,#14940,#8116,.T.); #18789=EDGE_CURVE('',#14941,#14939,#8117,.T.); #18790=EDGE_CURVE('',#14941,#14940,#8118,.T.); #18791=EDGE_CURVE('',#14940,#14942,#8119,.T.); #18792=EDGE_CURVE('',#14943,#14941,#8120,.T.); #18793=EDGE_CURVE('',#14943,#14942,#8121,.T.); #18794=EDGE_CURVE('',#14942,#14944,#8122,.T.); #18795=EDGE_CURVE('',#14945,#14943,#8123,.T.); #18796=EDGE_CURVE('',#14945,#14944,#8124,.T.); #18797=EDGE_CURVE('',#14944,#14946,#8125,.T.); #18798=EDGE_CURVE('',#14947,#14945,#8126,.T.); #18799=EDGE_CURVE('',#14947,#14946,#8127,.T.); #18800=EDGE_CURVE('',#14946,#14948,#8128,.T.); #18801=EDGE_CURVE('',#14949,#14947,#8129,.T.); #18802=EDGE_CURVE('',#14949,#14948,#8130,.T.); #18803=EDGE_CURVE('',#14948,#14950,#8131,.T.); #18804=EDGE_CURVE('',#14951,#14949,#8132,.T.); #18805=EDGE_CURVE('',#14951,#14950,#8133,.T.); #18806=EDGE_CURVE('',#14950,#14952,#8134,.T.); #18807=EDGE_CURVE('',#14953,#14951,#8135,.T.); #18808=EDGE_CURVE('',#14953,#14952,#8136,.T.); #18809=EDGE_CURVE('',#14952,#14954,#8137,.T.); #18810=EDGE_CURVE('',#14955,#14953,#8138,.T.); #18811=EDGE_CURVE('',#14955,#14954,#8139,.T.); #18812=EDGE_CURVE('',#14954,#14956,#8140,.T.); #18813=EDGE_CURVE('',#14957,#14955,#8141,.T.); #18814=EDGE_CURVE('',#14957,#14956,#8142,.T.); #18815=EDGE_CURVE('',#14956,#14958,#8143,.T.); #18816=EDGE_CURVE('',#14959,#14957,#8144,.T.); #18817=EDGE_CURVE('',#14959,#14958,#8145,.T.); #18818=EDGE_CURVE('',#14960,#14961,#8146,.T.); #18819=EDGE_CURVE('',#14962,#14960,#8147,.T.); #18820=EDGE_CURVE('',#14963,#14962,#8148,.T.); #18821=EDGE_CURVE('',#14963,#14961,#8149,.T.); #18822=EDGE_CURVE('',#14961,#14964,#8150,.T.); #18823=EDGE_CURVE('',#14965,#14963,#8151,.T.); #18824=EDGE_CURVE('',#14965,#14964,#8152,.T.); #18825=EDGE_CURVE('',#14964,#14966,#8153,.T.); #18826=EDGE_CURVE('',#14967,#14965,#8154,.T.); #18827=EDGE_CURVE('',#14967,#14966,#8155,.T.); #18828=EDGE_CURVE('',#14966,#14968,#8156,.T.); #18829=EDGE_CURVE('',#14969,#14967,#8157,.T.); #18830=EDGE_CURVE('',#14969,#14968,#8158,.T.); #18831=EDGE_CURVE('',#14968,#14970,#8159,.T.); #18832=EDGE_CURVE('',#14971,#14969,#8160,.T.); #18833=EDGE_CURVE('',#14971,#14970,#8161,.T.); #18834=EDGE_CURVE('',#14890,#14972,#8162,.T.); #18835=EDGE_CURVE('',#14973,#14891,#8163,.T.); #18836=EDGE_CURVE('',#14973,#14972,#8164,.T.); #18837=EDGE_CURVE('',#14972,#14974,#8165,.T.); #18838=EDGE_CURVE('',#14975,#14973,#8166,.T.); #18839=EDGE_CURVE('',#14975,#14974,#8167,.T.); #18840=EDGE_CURVE('',#14974,#14976,#8168,.T.); #18841=EDGE_CURVE('',#14977,#14975,#8169,.T.); #18842=EDGE_CURVE('',#14977,#14976,#8170,.T.); #18843=EDGE_CURVE('',#14976,#14978,#8171,.T.); #18844=EDGE_CURVE('',#14979,#14977,#8172,.T.); #18845=EDGE_CURVE('',#14979,#14978,#8173,.T.); #18846=EDGE_CURVE('',#14978,#14980,#8174,.T.); #18847=EDGE_CURVE('',#14981,#14979,#8175,.T.); #18848=EDGE_CURVE('',#14981,#14980,#8176,.T.); #18849=EDGE_CURVE('',#14980,#14982,#8177,.T.); #18850=EDGE_CURVE('',#14983,#14981,#8178,.T.); #18851=EDGE_CURVE('',#14983,#14982,#8179,.T.); #18852=EDGE_CURVE('',#14982,#14984,#8180,.T.); #18853=EDGE_CURVE('',#14985,#14983,#8181,.T.); #18854=EDGE_CURVE('',#14985,#14984,#8182,.T.); #18855=EDGE_CURVE('',#14984,#14874,#8183,.T.); #18856=EDGE_CURVE('',#14876,#14985,#8184,.T.); #18857=EDGE_CURVE('',#14986,#14960,#8185,.T.); #18858=EDGE_CURVE('',#14987,#14986,#8186,.T.); #18859=EDGE_CURVE('',#14962,#14987,#8187,.T.); #18860=EDGE_CURVE('',#14988,#14989,#8188,.T.); #18861=EDGE_CURVE('',#14990,#14988,#8189,.T.); #18862=EDGE_CURVE('',#14991,#14990,#8190,.T.); #18863=EDGE_CURVE('',#14991,#14989,#8191,.T.); #18864=EDGE_CURVE('',#14989,#14992,#8192,.T.); #18865=EDGE_CURVE('',#14993,#14991,#8193,.T.); #18866=EDGE_CURVE('',#14993,#14992,#8194,.T.); #18867=EDGE_CURVE('',#14992,#14994,#8195,.T.); #18868=EDGE_CURVE('',#14995,#14993,#8196,.T.); #18869=EDGE_CURVE('',#14995,#14994,#8197,.T.); #18870=EDGE_CURVE('',#14994,#14996,#8198,.T.); #18871=EDGE_CURVE('',#14997,#14995,#8199,.T.); #18872=EDGE_CURVE('',#14997,#14996,#8200,.T.); #18873=EDGE_CURVE('',#14996,#14998,#8201,.T.); #18874=EDGE_CURVE('',#14999,#14997,#8202,.T.); #18875=EDGE_CURVE('',#14999,#14998,#8203,.T.); #18876=EDGE_CURVE('',#14998,#15000,#8204,.T.); #18877=EDGE_CURVE('',#15001,#14999,#8205,.T.); #18878=EDGE_CURVE('',#15001,#15000,#8206,.T.); #18879=EDGE_CURVE('',#15000,#15002,#8207,.T.); #18880=EDGE_CURVE('',#15003,#15001,#8208,.T.); #18881=EDGE_CURVE('',#15003,#15002,#8209,.T.); #18882=EDGE_CURVE('',#15002,#14910,#8210,.T.); #18883=EDGE_CURVE('',#14912,#15003,#8211,.T.); #18884=EDGE_CURVE('',#14908,#15004,#8212,.T.); #18885=EDGE_CURVE('',#15005,#14909,#8213,.T.); #18886=EDGE_CURVE('',#15005,#15004,#8214,.T.); #18887=EDGE_CURVE('',#15004,#15006,#8215,.T.); #18888=EDGE_CURVE('',#15007,#15005,#8216,.T.); #18889=EDGE_CURVE('',#15007,#15006,#8217,.T.); #18890=EDGE_CURVE('',#15006,#15008,#8218,.T.); #18891=EDGE_CURVE('',#15009,#15007,#8219,.T.); #18892=EDGE_CURVE('',#15009,#15008,#8220,.T.); #18893=EDGE_CURVE('',#15008,#15010,#8221,.T.); #18894=EDGE_CURVE('',#15011,#15009,#8222,.T.); #18895=EDGE_CURVE('',#15011,#15010,#8223,.T.); #18896=EDGE_CURVE('',#15010,#15012,#8224,.T.); #18897=EDGE_CURVE('',#15013,#15011,#8225,.T.); #18898=EDGE_CURVE('',#15013,#15012,#8226,.T.); #18899=EDGE_CURVE('',#15012,#15014,#8227,.T.); #18900=EDGE_CURVE('',#15015,#15013,#8228,.T.); #18901=EDGE_CURVE('',#15015,#15014,#8229,.T.); #18902=EDGE_CURVE('',#15014,#15016,#8230,.T.); #18903=EDGE_CURVE('',#15017,#15015,#8231,.T.); #18904=EDGE_CURVE('',#15017,#15016,#8232,.T.); #18905=EDGE_CURVE('',#15016,#14892,#8233,.T.); #18906=EDGE_CURVE('',#14894,#15017,#8234,.T.); #18907=EDGE_CURVE('',#15018,#15019,#8235,.T.); #18908=EDGE_CURVE('',#15020,#15018,#8236,.T.); #18909=EDGE_CURVE('',#15021,#15020,#8237,.T.); #18910=EDGE_CURVE('',#15021,#15019,#8238,.T.); #18911=EDGE_CURVE('',#15019,#15022,#8239,.T.); #18912=EDGE_CURVE('',#15023,#15021,#8240,.T.); #18913=EDGE_CURVE('',#15023,#15022,#8241,.T.); #18914=EDGE_CURVE('',#15022,#15024,#8242,.T.); #18915=EDGE_CURVE('',#15025,#15023,#8243,.T.); #18916=EDGE_CURVE('',#15025,#15024,#8244,.T.); #18917=EDGE_CURVE('',#15024,#14988,#8245,.T.); #18918=EDGE_CURVE('',#14990,#15025,#8246,.T.); #18919=EDGE_CURVE('',#14958,#15026,#8247,.T.); #18920=EDGE_CURVE('',#15027,#14959,#8248,.T.); #18921=EDGE_CURVE('',#15027,#15026,#8249,.T.); #18922=EDGE_CURVE('',#15026,#15028,#8250,.T.); #18923=EDGE_CURVE('',#15029,#15027,#8251,.T.); #18924=EDGE_CURVE('',#15029,#15028,#8252,.T.); #18925=EDGE_CURVE('',#15028,#14986,#8253,.T.); #18926=EDGE_CURVE('',#14987,#15029,#8254,.T.); #18927=EDGE_CURVE('',#14970,#15030,#8255,.T.); #18928=EDGE_CURVE('',#15031,#14971,#8256,.T.); #18929=EDGE_CURVE('',#15031,#15030,#8257,.T.); #18930=EDGE_CURVE('',#15030,#15032,#8258,.T.); #18931=EDGE_CURVE('',#15033,#15031,#8259,.T.); #18932=EDGE_CURVE('',#15033,#15032,#8260,.T.); #18933=EDGE_CURVE('',#15032,#15018,#8261,.T.); #18934=EDGE_CURVE('',#15020,#15033,#8262,.T.); #18935=EDGE_CURVE('',#15034,#15035,#8263,.T.); #18936=EDGE_CURVE('',#15036,#15034,#8264,.T.); #18937=EDGE_CURVE('',#15037,#15036,#8265,.T.); #18938=EDGE_CURVE('',#15037,#15035,#8266,.T.); #18939=EDGE_CURVE('',#15035,#15038,#8267,.T.); #18940=EDGE_CURVE('',#15039,#15037,#8268,.T.); #18941=EDGE_CURVE('',#15039,#15038,#8269,.T.); #18942=EDGE_CURVE('',#15038,#15040,#8270,.T.); #18943=EDGE_CURVE('',#15041,#15039,#8271,.T.); #18944=EDGE_CURVE('',#15041,#15040,#8272,.T.); #18945=EDGE_CURVE('',#15040,#15042,#8273,.T.); #18946=EDGE_CURVE('',#15043,#15041,#8274,.T.); #18947=EDGE_CURVE('',#15043,#15042,#8275,.T.); #18948=EDGE_CURVE('',#15042,#15044,#8276,.T.); #18949=EDGE_CURVE('',#15045,#15043,#8277,.T.); #18950=EDGE_CURVE('',#15045,#15044,#8278,.T.); #18951=EDGE_CURVE('',#15044,#15046,#8279,.T.); #18952=EDGE_CURVE('',#15047,#15045,#8280,.T.); #18953=EDGE_CURVE('',#15047,#15046,#8281,.T.); #18954=EDGE_CURVE('',#15046,#15048,#8282,.T.); #18955=EDGE_CURVE('',#15049,#15047,#8283,.T.); #18956=EDGE_CURVE('',#15049,#15048,#8284,.T.); #18957=EDGE_CURVE('',#15048,#15050,#8285,.T.); #18958=EDGE_CURVE('',#15051,#15049,#8286,.T.); #18959=EDGE_CURVE('',#15051,#15050,#8287,.T.); #18960=EDGE_CURVE('',#15050,#15052,#8288,.T.); #18961=EDGE_CURVE('',#15053,#15051,#8289,.T.); #18962=EDGE_CURVE('',#15053,#15052,#8290,.T.); #18963=EDGE_CURVE('',#15052,#15054,#8291,.T.); #18964=EDGE_CURVE('',#15055,#15053,#8292,.T.); #18965=EDGE_CURVE('',#15055,#15054,#8293,.T.); #18966=EDGE_CURVE('',#15054,#15056,#8294,.T.); #18967=EDGE_CURVE('',#15057,#15055,#8295,.T.); #18968=EDGE_CURVE('',#15057,#15056,#8296,.T.); #18969=EDGE_CURVE('',#15056,#15058,#8297,.T.); #18970=EDGE_CURVE('',#15059,#15057,#8298,.T.); #18971=EDGE_CURVE('',#15059,#15058,#8299,.T.); #18972=EDGE_CURVE('',#15058,#15060,#8300,.T.); #18973=EDGE_CURVE('',#15061,#15059,#8301,.T.); #18974=EDGE_CURVE('',#15061,#15060,#8302,.T.); #18975=EDGE_CURVE('',#15060,#15062,#8303,.T.); #18976=EDGE_CURVE('',#15063,#15061,#8304,.T.); #18977=EDGE_CURVE('',#15063,#15062,#8305,.T.); #18978=EDGE_CURVE('',#15062,#15064,#8306,.T.); #18979=EDGE_CURVE('',#15065,#15063,#8307,.T.); #18980=EDGE_CURVE('',#15065,#15064,#8308,.T.); #18981=EDGE_CURVE('',#15064,#15066,#8309,.T.); #18982=EDGE_CURVE('',#15067,#15065,#8310,.T.); #18983=EDGE_CURVE('',#15067,#15066,#8311,.T.); #18984=EDGE_CURVE('',#15066,#15068,#8312,.T.); #18985=EDGE_CURVE('',#15069,#15067,#8313,.T.); #18986=EDGE_CURVE('',#15069,#15068,#8314,.T.); #18987=EDGE_CURVE('',#15068,#15070,#8315,.T.); #18988=EDGE_CURVE('',#15071,#15069,#8316,.T.); #18989=EDGE_CURVE('',#15071,#15070,#8317,.T.); #18990=EDGE_CURVE('',#15070,#15072,#8318,.T.); #18991=EDGE_CURVE('',#15073,#15071,#8319,.T.); #18992=EDGE_CURVE('',#15073,#15072,#8320,.T.); #18993=EDGE_CURVE('',#15072,#15074,#8321,.T.); #18994=EDGE_CURVE('',#15075,#15073,#8322,.T.); #18995=EDGE_CURVE('',#15075,#15074,#8323,.T.); #18996=EDGE_CURVE('',#15076,#15077,#8324,.T.); #18997=EDGE_CURVE('',#15078,#15076,#8325,.T.); #18998=EDGE_CURVE('',#15079,#15078,#8326,.T.); #18999=EDGE_CURVE('',#15079,#15077,#8327,.T.); #19000=EDGE_CURVE('',#15077,#15080,#8328,.T.); #19001=EDGE_CURVE('',#15081,#15079,#8329,.T.); #19002=EDGE_CURVE('',#15081,#15080,#8330,.T.); #19003=EDGE_CURVE('',#15080,#15082,#8331,.T.); #19004=EDGE_CURVE('',#15083,#15081,#8332,.T.); #19005=EDGE_CURVE('',#15083,#15082,#8333,.T.); #19006=EDGE_CURVE('',#15082,#15084,#8334,.T.); #19007=EDGE_CURVE('',#15085,#15083,#8335,.T.); #19008=EDGE_CURVE('',#15085,#15084,#8336,.T.); #19009=EDGE_CURVE('',#15084,#15086,#8337,.T.); #19010=EDGE_CURVE('',#15087,#15085,#8338,.T.); #19011=EDGE_CURVE('',#15087,#15086,#8339,.T.); #19012=EDGE_CURVE('',#15086,#15088,#8340,.T.); #19013=EDGE_CURVE('',#15089,#15087,#8341,.T.); #19014=EDGE_CURVE('',#15089,#15088,#8342,.T.); #19015=EDGE_CURVE('',#15088,#15090,#8343,.T.); #19016=EDGE_CURVE('',#15091,#15089,#8344,.T.); #19017=EDGE_CURVE('',#15091,#15090,#8345,.T.); #19018=EDGE_CURVE('',#15090,#15092,#8346,.T.); #19019=EDGE_CURVE('',#15093,#15091,#8347,.T.); #19020=EDGE_CURVE('',#15093,#15092,#8348,.T.); #19021=EDGE_CURVE('',#15092,#15094,#8349,.T.); #19022=EDGE_CURVE('',#15095,#15093,#8350,.T.); #19023=EDGE_CURVE('',#15095,#15094,#8351,.T.); #19024=EDGE_CURVE('',#15094,#15096,#8352,.T.); #19025=EDGE_CURVE('',#15097,#15095,#8353,.T.); #19026=EDGE_CURVE('',#15097,#15096,#8354,.T.); #19027=EDGE_CURVE('',#15096,#15098,#8355,.T.); #19028=EDGE_CURVE('',#15099,#15097,#8356,.T.); #19029=EDGE_CURVE('',#15099,#15098,#8357,.T.); #19030=EDGE_CURVE('',#15098,#15100,#8358,.T.); #19031=EDGE_CURVE('',#15101,#15099,#8359,.T.); #19032=EDGE_CURVE('',#15101,#15100,#8360,.T.); #19033=EDGE_CURVE('',#15100,#15102,#8361,.T.); #19034=EDGE_CURVE('',#15103,#15101,#8362,.T.); #19035=EDGE_CURVE('',#15103,#15102,#8363,.T.); #19036=EDGE_CURVE('',#15102,#15104,#8364,.T.); #19037=EDGE_CURVE('',#15105,#15103,#8365,.T.); #19038=EDGE_CURVE('',#15105,#15104,#8366,.T.); #19039=EDGE_CURVE('',#15106,#15076,#8367,.T.); #19040=EDGE_CURVE('',#15107,#15106,#8368,.T.); #19041=EDGE_CURVE('',#15078,#15107,#8369,.T.); #19042=EDGE_CURVE('',#15074,#15108,#8370,.T.); #19043=EDGE_CURVE('',#15109,#15075,#8371,.T.); #19044=EDGE_CURVE('',#15109,#15108,#8372,.T.); #19045=EDGE_CURVE('',#15108,#15110,#8373,.T.); #19046=EDGE_CURVE('',#15111,#15109,#8374,.T.); #19047=EDGE_CURVE('',#15111,#15110,#8375,.T.); #19048=EDGE_CURVE('',#15110,#15112,#8376,.T.); #19049=EDGE_CURVE('',#15113,#15111,#8377,.T.); #19050=EDGE_CURVE('',#15113,#15112,#8378,.T.); #19051=EDGE_CURVE('',#15112,#15114,#8379,.T.); #19052=EDGE_CURVE('',#15115,#15113,#8380,.T.); #19053=EDGE_CURVE('',#15115,#15114,#8381,.T.); #19054=EDGE_CURVE('',#15114,#15116,#8382,.T.); #19055=EDGE_CURVE('',#15117,#15115,#8383,.T.); #19056=EDGE_CURVE('',#15117,#15116,#8384,.T.); #19057=EDGE_CURVE('',#15116,#15118,#8385,.T.); #19058=EDGE_CURVE('',#15119,#15117,#8386,.T.); #19059=EDGE_CURVE('',#15119,#15118,#8387,.T.); #19060=EDGE_CURVE('',#15118,#15120,#8388,.T.); #19061=EDGE_CURVE('',#15121,#15119,#8389,.T.); #19062=EDGE_CURVE('',#15121,#15120,#8390,.T.); #19063=EDGE_CURVE('',#15120,#15122,#8391,.T.); #19064=EDGE_CURVE('',#15123,#15121,#8392,.T.); #19065=EDGE_CURVE('',#15123,#15122,#8393,.T.); #19066=EDGE_CURVE('',#15122,#15124,#8394,.T.); #19067=EDGE_CURVE('',#15125,#15123,#8395,.T.); #19068=EDGE_CURVE('',#15125,#15124,#8396,.T.); #19069=EDGE_CURVE('',#15124,#15126,#8397,.T.); #19070=EDGE_CURVE('',#15127,#15125,#8398,.T.); #19071=EDGE_CURVE('',#15127,#15126,#8399,.T.); #19072=EDGE_CURVE('',#15128,#15106,#8400,.T.); #19073=EDGE_CURVE('',#15129,#15128,#8401,.T.); #19074=EDGE_CURVE('',#15107,#15129,#8402,.T.); #19075=EDGE_CURVE('',#15104,#15130,#8403,.T.); #19076=EDGE_CURVE('',#15131,#15105,#8404,.T.); #19077=EDGE_CURVE('',#15131,#15130,#8405,.T.); #19078=EDGE_CURVE('',#15130,#15132,#8406,.T.); #19079=EDGE_CURVE('',#15133,#15131,#8407,.T.); #19080=EDGE_CURVE('',#15133,#15132,#8408,.T.); #19081=EDGE_CURVE('',#15132,#15134,#8409,.T.); #19082=EDGE_CURVE('',#15135,#15133,#8410,.T.); #19083=EDGE_CURVE('',#15135,#15134,#8411,.T.); #19084=EDGE_CURVE('',#15134,#15136,#8412,.T.); #19085=EDGE_CURVE('',#15137,#15135,#8413,.T.); #19086=EDGE_CURVE('',#15137,#15136,#8414,.T.); #19087=EDGE_CURVE('',#15136,#15138,#8415,.T.); #19088=EDGE_CURVE('',#15139,#15137,#8416,.T.); #19089=EDGE_CURVE('',#15139,#15138,#8417,.T.); #19090=EDGE_CURVE('',#15138,#15140,#8418,.T.); #19091=EDGE_CURVE('',#15141,#15139,#8419,.T.); #19092=EDGE_CURVE('',#15141,#15140,#8420,.T.); #19093=EDGE_CURVE('',#15140,#15142,#8421,.T.); #19094=EDGE_CURVE('',#15143,#15141,#8422,.T.); #19095=EDGE_CURVE('',#15143,#15142,#8423,.T.); #19096=EDGE_CURVE('',#15142,#15144,#8424,.T.); #19097=EDGE_CURVE('',#15145,#15143,#8425,.T.); #19098=EDGE_CURVE('',#15145,#15144,#8426,.T.); #19099=EDGE_CURVE('',#15144,#15146,#8427,.T.); #19100=EDGE_CURVE('',#15147,#15145,#8428,.T.); #19101=EDGE_CURVE('',#15147,#15146,#8429,.T.); #19102=EDGE_CURVE('',#15146,#15148,#8430,.T.); #19103=EDGE_CURVE('',#15149,#15147,#8431,.T.); #19104=EDGE_CURVE('',#15149,#15148,#8432,.T.); #19105=EDGE_CURVE('',#15148,#15150,#8433,.T.); #19106=EDGE_CURVE('',#15151,#15149,#8434,.T.); #19107=EDGE_CURVE('',#15151,#15150,#8435,.T.); #19108=EDGE_CURVE('',#15150,#15128,#8436,.T.); #19109=EDGE_CURVE('',#15129,#15151,#8437,.T.); #19110=EDGE_CURVE('',#15126,#15152,#8438,.T.); #19111=EDGE_CURVE('',#15153,#15127,#8439,.T.); #19112=EDGE_CURVE('',#15153,#15152,#8440,.T.); #19113=EDGE_CURVE('',#15152,#15154,#8441,.T.); #19114=EDGE_CURVE('',#15155,#15153,#8442,.T.); #19115=EDGE_CURVE('',#15155,#15154,#8443,.T.); #19116=EDGE_CURVE('',#15154,#15156,#8444,.T.); #19117=EDGE_CURVE('',#15157,#15155,#8445,.T.); #19118=EDGE_CURVE('',#15157,#15156,#8446,.T.); #19119=EDGE_CURVE('',#15156,#15158,#8447,.T.); #19120=EDGE_CURVE('',#15159,#15157,#8448,.T.); #19121=EDGE_CURVE('',#15159,#15158,#8449,.T.); #19122=EDGE_CURVE('',#15158,#15160,#8450,.T.); #19123=EDGE_CURVE('',#15161,#15159,#8451,.T.); #19124=EDGE_CURVE('',#15161,#15160,#8452,.T.); #19125=EDGE_CURVE('',#15160,#15162,#8453,.T.); #19126=EDGE_CURVE('',#15163,#15161,#8454,.T.); #19127=EDGE_CURVE('',#15163,#15162,#8455,.T.); #19128=EDGE_CURVE('',#15162,#15164,#8456,.T.); #19129=EDGE_CURVE('',#15165,#15163,#8457,.T.); #19130=EDGE_CURVE('',#15165,#15164,#8458,.T.); #19131=EDGE_CURVE('',#15164,#15166,#8459,.T.); #19132=EDGE_CURVE('',#15167,#15165,#8460,.T.); #19133=EDGE_CURVE('',#15167,#15166,#8461,.T.); #19134=EDGE_CURVE('',#15166,#15168,#8462,.T.); #19135=EDGE_CURVE('',#15169,#15167,#8463,.T.); #19136=EDGE_CURVE('',#15169,#15168,#8464,.T.); #19137=EDGE_CURVE('',#15168,#15034,#8465,.T.); #19138=EDGE_CURVE('',#15036,#15169,#8466,.T.); #19139=EDGE_CURVE('',#15170,#15171,#8467,.T.); #19140=EDGE_CURVE('',#15172,#15171,#8468,.T.); #19141=EDGE_CURVE('',#15173,#15172,#8469,.T.); #19142=EDGE_CURVE('',#15173,#15174,#8470,.T.); #19143=EDGE_CURVE('',#15174,#15175,#8471,.T.); #19144=EDGE_CURVE('',#15175,#15176,#8472,.T.); #19145=EDGE_CURVE('',#15176,#15177,#8473,.T.); #19146=EDGE_CURVE('',#15178,#15177,#8474,.T.); #19147=EDGE_CURVE('',#15179,#15178,#8475,.T.); #19148=EDGE_CURVE('',#15180,#15179,#8476,.T.); #19149=EDGE_CURVE('',#15181,#15180,#8477,.T.); #19150=EDGE_CURVE('',#15170,#15181,#8478,.T.); #19151=EDGE_CURVE('',#15182,#15183,#12511,.T.); #19152=EDGE_CURVE('',#15183,#15184,#12512,.T.); #19153=EDGE_CURVE('',#15184,#15185,#12513,.T.); #19154=EDGE_CURVE('',#15185,#15182,#12514,.T.); #19155=EDGE_CURVE('',#15183,#15186,#12515,.T.); #19156=EDGE_CURVE('',#15182,#15186,#12516,.T.); #19157=EDGE_CURVE('',#15187,#15184,#12517,.T.); #19158=EDGE_CURVE('',#15187,#15185,#12518,.T.); #19159=EDGE_CURVE('',#15182,#15188,#8479,.T.); #19160=EDGE_CURVE('',#15188,#15189,#12519,.T.); #19161=EDGE_CURVE('',#15189,#15186,#8480,.T.); #19162=EDGE_CURVE('',#15190,#15185,#8481,.T.); #19163=EDGE_CURVE('',#15190,#15188,#12520,.T.); #19164=EDGE_CURVE('',#15187,#15191,#8482,.T.); #19165=EDGE_CURVE('',#15191,#15190,#12521,.T.); #19166=EDGE_CURVE('',#15192,#15193,#12522,.T.); #19167=EDGE_CURVE('',#15193,#15194,#8483,.T.); #19168=EDGE_CURVE('',#15194,#15195,#417,.T.); #19169=EDGE_CURVE('',#15195,#15192,#8484,.T.); #19170=EDGE_CURVE('',#15196,#15197,#12523,.T.); #19171=EDGE_CURVE('',#15197,#15193,#8485,.T.); #19172=EDGE_CURVE('',#15193,#15198,#12524,.T.); #19173=EDGE_CURVE('',#15198,#15196,#8486,.T.); #19174=EDGE_CURVE('',#15199,#15200,#12525,.T.); #19175=EDGE_CURVE('',#15200,#15197,#12526,.T.); #19176=EDGE_CURVE('',#15197,#15201,#12527,.T.); #19177=EDGE_CURVE('',#15201,#15199,#12528,.T.); #19178=EDGE_CURVE('',#15196,#15201,#12529,.T.); #19179=EDGE_CURVE('',#15192,#15198,#12530,.T.); #19180=EDGE_CURVE('',#15202,#15203,#12531,.T.); #19181=EDGE_CURVE('',#15203,#15200,#8487,.T.); #19182=EDGE_CURVE('',#15200,#15204,#12532,.T.); #19183=EDGE_CURVE('',#15204,#15202,#8488,.T.); #19184=EDGE_CURVE('',#15199,#15204,#12533,.T.); #19185=EDGE_CURVE('',#15203,#15194,#8489,.F.); #19186=EDGE_CURVE('',#15192,#15205,#8490,.T.); #19187=EDGE_CURVE('',#15205,#15206,#12534,.T.); #19188=EDGE_CURVE('',#15206,#15198,#8491,.T.); #19189=EDGE_CURVE('',#15207,#15204,#8492,.T.); #19190=EDGE_CURVE('',#15207,#15208,#8493,.T.); #19191=EDGE_CURVE('',#15202,#15208,#8494,.T.); #19192=EDGE_CURVE('',#15199,#15209,#8495,.T.); #19193=EDGE_CURVE('',#15209,#15207,#12535,.T.); #19194=EDGE_CURVE('',#15210,#15201,#8496,.T.); #19195=EDGE_CURVE('',#15210,#15209,#12536,.T.); #19196=EDGE_CURVE('',#15196,#15211,#8497,.T.); #19197=EDGE_CURVE('',#15211,#15210,#12537,.T.); #19198=EDGE_CURVE('',#15206,#15211,#8498,.T.); #19199=EDGE_CURVE('',#15212,#15212,#12538,.T.); #19200=EDGE_CURVE('',#15213,#15213,#12539,.T.); #19201=EDGE_CURVE('',#15214,#15214,#12540,.T.); #19202=EDGE_CURVE('',#15214,#15212,#8499,.T.); #19203=EDGE_CURVE('',#15213,#15215,#8500,.T.); #19204=EDGE_CURVE('',#15215,#15215,#12541,.T.); #19205=EDGE_CURVE('',#15216,#15202,#8501,.F.); #19206=EDGE_CURVE('',#15217,#15216,#8502,.F.); #19207=EDGE_CURVE('',#15218,#15217,#512,.T.); #19208=EDGE_CURVE('',#15194,#15218,#8503,.T.); #19209=EDGE_CURVE('',#15219,#15220,#8504,.T.); #19210=EDGE_CURVE('',#15220,#15221,#12542,.T.); #19211=EDGE_CURVE('',#15221,#15216,#8505,.T.); #19212=EDGE_CURVE('',#15208,#15219,#12543,.T.); #19213=EDGE_CURVE('',#15176,#15222,#8506,.T.); #19214=EDGE_CURVE('',#15223,#15222,#8507,.T.); #19215=EDGE_CURVE('',#15177,#15223,#8508,.T.); #19216=EDGE_CURVE('',#15224,#15173,#8509,.T.); #19217=EDGE_CURVE('',#15225,#15224,#8510,.T.); #19218=EDGE_CURVE('',#15226,#15225,#418,.T.); #19219=EDGE_CURVE('',#15174,#15226,#8511,.T.); #19220=EDGE_CURVE('',#15227,#15226,#419,.T.); #19221=EDGE_CURVE('',#15228,#15227,#8512,.T.); #19222=EDGE_CURVE('',#15175,#15228,#8513,.T.); #19223=EDGE_CURVE('',#15229,#15225,#420,.T.); #19224=EDGE_CURVE('',#15230,#15224,#12544,.T.); #19225=EDGE_CURVE('',#15230,#15229,#8514,.T.); #19226=EDGE_CURVE('',#15231,#15232,#12545,.T.); #19227=EDGE_CURVE('',#15232,#15233,#8515,.T.); #19228=EDGE_CURVE('',#15233,#15234,#12546,.T.); #19229=EDGE_CURVE('',#15234,#15231,#8516,.T.); #19230=EDGE_CURVE('',#15235,#15236,#12547,.T.); #19231=EDGE_CURVE('',#15236,#15234,#421,.T.); #19232=EDGE_CURVE('',#15233,#15235,#422,.T.); #19233=EDGE_CURVE('',#15237,#15238,#12548,.T.); #19234=EDGE_CURVE('',#15238,#15236,#8517,.T.); #19235=EDGE_CURVE('',#15235,#15237,#8518,.T.); #19236=EDGE_CURVE('',#15239,#15240,#12549,.T.); #19237=EDGE_CURVE('',#15240,#15238,#12550,.T.); #19238=EDGE_CURVE('',#15237,#15239,#12551,.T.); #19239=EDGE_CURVE('',#15240,#15241,#8519,.T.); #19240=EDGE_CURVE('',#15239,#15242,#8520,.T.); #19241=EDGE_CURVE('',#15241,#15242,#423,.F.); #19242=EDGE_CURVE('',#15243,#15244,#8521,.T.); #19243=EDGE_CURVE('',#15244,#15242,#8522,.T.); #19244=EDGE_CURVE('',#15239,#15245,#8523,.T.); #19245=EDGE_CURVE('',#15243,#15245,#8524,.T.); #19246=EDGE_CURVE('',#15230,#15237,#8525,.T.); #19247=EDGE_CURVE('',#15245,#15230,#8526,.T.); #19248=EDGE_CURVE('',#15229,#15235,#8527,.T.); #19249=EDGE_CURVE('',#15233,#15246,#8528,.T.); #19250=EDGE_CURVE('',#15227,#15246,#424,.T.); #19251=EDGE_CURVE('',#15247,#15232,#8529,.T.); #19252=EDGE_CURVE('',#15246,#15247,#8530,.T.); #19253=EDGE_CURVE('',#15195,#15248,#8531,.T.); #19254=EDGE_CURVE('',#15248,#15249,#513,.T.); #19255=EDGE_CURVE('',#15249,#15250,#8532,.T.); #19256=EDGE_CURVE('',#15220,#15250,#8533,.T.); #19257=EDGE_CURVE('',#15219,#15205,#8534,.T.); #19258=EDGE_CURVE('',#15251,#15221,#8535,.T.); #19259=EDGE_CURVE('',#15252,#15251,#8536,.F.); #19260=EDGE_CURVE('',#15216,#15252,#8537,.T.); #19261=EDGE_CURVE('',#15248,#15218,#8538,.T.); #19262=EDGE_CURVE('',#15253,#15217,#514,.T.); #19263=EDGE_CURVE('',#15253,#15254,#8539,.T.); #19264=EDGE_CURVE('',#15254,#15249,#12552,.F.); #19265=EDGE_CURVE('',#15252,#15255,#8540,.T.); #19266=EDGE_CURVE('',#15253,#15255,#8541,.T.); #19267=EDGE_CURVE('',#15256,#15254,#8542,.T.); #19268=EDGE_CURVE('',#15257,#15256,#8543,.T.); #19269=EDGE_CURVE('',#15250,#15257,#8544,.T.); #19270=EDGE_CURVE('',#15258,#15251,#8545,.T.); #19271=EDGE_CURVE('',#15258,#15255,#8546,.F.); #19272=EDGE_CURVE('',#15255,#15256,#8547,.T.); #19273=EDGE_CURVE('',#15257,#15258,#8548,.T.); #19274=EDGE_CURVE('',#15247,#15228,#12553,.T.); #19275=EDGE_CURVE('',#15172,#15243,#8549,.T.); #19276=EDGE_CURVE('',#15222,#15231,#8550,.T.); #19277=EDGE_CURVE('',#15184,#15259,#8551,.T.); #19278=EDGE_CURVE('',#15260,#15259,#12554,.T.); #19279=EDGE_CURVE('',#15260,#15187,#8552,.T.); #19280=EDGE_CURVE('',#15260,#15261,#8553,.T.); #19281=EDGE_CURVE('',#15261,#15191,#8554,.T.); #19282=EDGE_CURVE('',#15223,#15262,#8555,.T.); #19283=EDGE_CURVE('',#15178,#15262,#8556,.T.); #19284=EDGE_CURVE('',#15189,#15263,#8557,.T.); #19285=EDGE_CURVE('',#15261,#15264,#12555,.T.); #19286=EDGE_CURVE('',#15264,#15265,#12556,.T.); #19287=EDGE_CURVE('',#15265,#15263,#12557,.T.); #19288=EDGE_CURVE('',#15266,#15267,#12558,.T.); #19289=EDGE_CURVE('',#15267,#15268,#8558,.T.); #19290=EDGE_CURVE('',#15268,#15269,#12559,.T.); #19291=EDGE_CURVE('',#15269,#15270,#8559,.T.); #19292=EDGE_CURVE('',#15270,#15271,#12560,.T.); #19293=EDGE_CURVE('',#15271,#15272,#8560,.T.); #19294=EDGE_CURVE('',#15272,#15273,#12561,.T.); #19295=EDGE_CURVE('',#15273,#15266,#12562,.T.); #19296=EDGE_CURVE('',#15274,#15274,#12563,.T.); #19297=EDGE_CURVE('',#15171,#15275,#8561,.T.); #19298=EDGE_CURVE('',#15275,#15276,#8562,.T.); #19299=EDGE_CURVE('',#15276,#15244,#8563,.T.); #19300=EDGE_CURVE('',#15277,#15277,#12564,.T.); #19301=EDGE_CURVE('',#15276,#15278,#8564,.T.); #19302=EDGE_CURVE('',#15278,#15242,#8565,.T.); #19303=EDGE_CURVE('',#15279,#15280,#12565,.T.); #19304=EDGE_CURVE('',#15281,#15279,#12566,.T.); #19305=EDGE_CURVE('',#15259,#15281,#12567,.T.); #19306=EDGE_CURVE('',#15280,#15259,#12568,.T.); #19307=EDGE_CURVE('',#15280,#15282,#12569,.T.); #19308=EDGE_CURVE('',#15279,#15282,#12570,.T.); #19309=EDGE_CURVE('',#15260,#15281,#12571,.T.); #19310=EDGE_CURVE('',#15263,#15282,#8566,.T.); #19311=EDGE_CURVE('',#15279,#15265,#8567,.T.); #19312=EDGE_CURVE('',#15264,#15281,#8568,.T.); #19313=EDGE_CURVE('',#15283,#15284,#12572,.T.); #19314=EDGE_CURVE('',#15285,#15283,#8569,.T.); #19315=EDGE_CURVE('',#15286,#15285,#425,.T.); #19316=EDGE_CURVE('',#15284,#15286,#8570,.T.); #19317=EDGE_CURVE('',#15287,#15288,#12573,.T.); #19318=EDGE_CURVE('',#15289,#15287,#8571,.T.); #19319=EDGE_CURVE('',#15284,#15289,#12574,.T.); #19320=EDGE_CURVE('',#15288,#15284,#8572,.T.); #19321=EDGE_CURVE('',#15290,#15291,#12575,.T.); #19322=EDGE_CURVE('',#15292,#15290,#12576,.T.); #19323=EDGE_CURVE('',#15288,#15292,#12577,.T.); #19324=EDGE_CURVE('',#15291,#15288,#12578,.T.); #19325=EDGE_CURVE('',#15287,#15292,#12579,.T.); #19326=EDGE_CURVE('',#15283,#15289,#12580,.T.); #19327=EDGE_CURVE('',#15293,#15294,#12581,.T.); #19328=EDGE_CURVE('',#15295,#15293,#8573,.T.); #19329=EDGE_CURVE('',#15291,#15295,#12582,.T.); #19330=EDGE_CURVE('',#15294,#15291,#8574,.T.); #19331=EDGE_CURVE('',#15290,#15295,#12583,.T.); #19332=EDGE_CURVE('',#15294,#15286,#8575,.F.); #19333=EDGE_CURVE('',#15271,#15289,#8576,.T.); #19334=EDGE_CURVE('',#15283,#15270,#8577,.T.); #19335=EDGE_CURVE('',#15293,#15268,#8578,.T.); #19336=EDGE_CURVE('',#15267,#15295,#8579,.T.); #19337=EDGE_CURVE('',#15290,#15266,#8580,.T.); #19338=EDGE_CURVE('',#15273,#15292,#8581,.T.); #19339=EDGE_CURVE('',#15287,#15272,#8582,.T.); #19340=EDGE_CURVE('',#15296,#15296,#12584,.T.); #19341=EDGE_CURVE('',#15297,#15297,#12585,.T.); #19342=EDGE_CURVE('',#15277,#15296,#8583,.T.); #19343=EDGE_CURVE('',#15297,#15274,#8584,.T.); #19344=EDGE_CURVE('',#15298,#15278,#426,.F.); #19345=EDGE_CURVE('',#15241,#15298,#8585,.T.); #19346=EDGE_CURVE('',#15186,#15282,#8586,.T.); #19347=EDGE_CURVE('',#15183,#15280,#8587,.T.); #19348=EDGE_CURVE('',#15299,#15293,#8588,.F.); #19349=EDGE_CURVE('',#15286,#15300,#8589,.T.); #19350=EDGE_CURVE('',#15300,#15301,#515,.T.); #19351=EDGE_CURVE('',#15301,#15299,#8590,.F.); #19352=EDGE_CURVE('',#15269,#15302,#8591,.T.); #19353=EDGE_CURVE('',#15303,#15299,#8592,.T.); #19354=EDGE_CURVE('',#15302,#15303,#12586,.T.); #19355=EDGE_CURVE('',#15262,#15304,#8593,.T.); #19356=EDGE_CURVE('',#15179,#15304,#8594,.T.); #19357=EDGE_CURVE('',#15181,#15305,#8595,.T.); #19358=EDGE_CURVE('',#15305,#15306,#427,.T.); #19359=EDGE_CURVE('',#15306,#15307,#8596,.T.); #19360=EDGE_CURVE('',#15307,#15170,#8597,.T.); #19361=EDGE_CURVE('',#15180,#15308,#8598,.T.); #19362=EDGE_CURVE('',#15308,#15309,#8599,.T.); #19363=EDGE_CURVE('',#15309,#15305,#428,.T.); #19364=EDGE_CURVE('',#15310,#15306,#429,.T.); #19365=EDGE_CURVE('',#15311,#15310,#8600,.T.); #19366=EDGE_CURVE('',#15311,#15307,#12587,.T.); #19367=EDGE_CURVE('',#15312,#15313,#12588,.T.); #19368=EDGE_CURVE('',#15314,#15312,#8601,.T.); #19369=EDGE_CURVE('',#15315,#15314,#12589,.T.); #19370=EDGE_CURVE('',#15313,#15315,#8602,.T.); #19371=EDGE_CURVE('',#15316,#15317,#12590,.T.); #19372=EDGE_CURVE('',#15315,#15316,#430,.T.); #19373=EDGE_CURVE('',#15317,#15314,#431,.T.); #19374=EDGE_CURVE('',#15318,#15319,#12591,.T.); #19375=EDGE_CURVE('',#15316,#15318,#8603,.T.); #19376=EDGE_CURVE('',#15319,#15317,#8604,.T.); #19377=EDGE_CURVE('',#15320,#15321,#12592,.T.); #19378=EDGE_CURVE('',#15318,#15320,#12593,.T.); #19379=EDGE_CURVE('',#15321,#15319,#12594,.T.); #19380=EDGE_CURVE('',#15321,#15298,#8605,.T.); #19381=EDGE_CURVE('',#15320,#15278,#8606,.T.); #19382=EDGE_CURVE('',#15275,#15322,#8607,.T.); #19383=EDGE_CURVE('',#15320,#15322,#8608,.T.); #19384=EDGE_CURVE('',#15322,#15311,#8609,.T.); #19385=EDGE_CURVE('',#15311,#15318,#8610,.T.); #19386=EDGE_CURVE('',#15310,#15316,#8611,.T.); #19387=EDGE_CURVE('',#15309,#15323,#432,.T.); #19388=EDGE_CURVE('',#15315,#15323,#8612,.T.); #19389=EDGE_CURVE('',#15323,#15324,#8613,.T.); #19390=EDGE_CURVE('',#15324,#15313,#8614,.T.); #19391=EDGE_CURVE('',#15285,#15325,#8615,.T.); #19392=EDGE_CURVE('',#15302,#15326,#8616,.T.); #19393=EDGE_CURVE('',#15327,#15326,#8617,.T.); #19394=EDGE_CURVE('',#15325,#15327,#516,.T.); #19395=EDGE_CURVE('',#15299,#15328,#8618,.T.); #19396=EDGE_CURVE('',#15328,#15329,#8619,.F.); #19397=EDGE_CURVE('',#15329,#15303,#8620,.T.); #19398=EDGE_CURVE('',#15330,#15327,#12595,.F.); #19399=EDGE_CURVE('',#15331,#15330,#8621,.T.); #19400=EDGE_CURVE('',#15331,#15301,#517,.T.); #19401=EDGE_CURVE('',#15325,#15300,#8622,.T.); #19402=EDGE_CURVE('',#15331,#15332,#8623,.T.); #19403=EDGE_CURVE('',#15328,#15332,#8624,.T.); #19404=EDGE_CURVE('',#15326,#15333,#8625,.T.); #19405=EDGE_CURVE('',#15333,#15334,#8626,.T.); #19406=EDGE_CURVE('',#15334,#15330,#8627,.T.); #19407=EDGE_CURVE('',#15335,#15332,#8628,.F.); #19408=EDGE_CURVE('',#15335,#15329,#8629,.T.); #19409=EDGE_CURVE('',#15333,#15335,#8630,.T.); #19410=EDGE_CURVE('',#15332,#15334,#8631,.T.); #19411=EDGE_CURVE('',#15324,#15308,#12596,.T.); #19412=EDGE_CURVE('',#15304,#15312,#8632,.T.); #19413=EDGE_CURVE('',#15336,#15336,#12597,.T.); #19414=EDGE_CURVE('',#15336,#15337,#12598,.T.); #19415=EDGE_CURVE('',#15337,#15338,#12599,.T.); #19416=EDGE_CURVE('',#15338,#15337,#12600,.T.); #19417=EDGE_CURVE('',#15339,#15340,#12601,.T.); #19418=EDGE_CURVE('',#15340,#15339,#12602,.T.); #19419=EDGE_CURVE('',#15340,#15338,#8633,.T.); #19420=EDGE_CURVE('',#15341,#15341,#12603,.T.); #19421=EDGE_CURVE('',#15342,#15342,#12604,.T.); #19422=EDGE_CURVE('',#15342,#15339,#8634,.T.); #19423=EDGE_CURVE('',#15343,#15344,#12605,.T.); #19424=EDGE_CURVE('',#15344,#15343,#12606,.T.); #19425=EDGE_CURVE('',#15343,#15345,#12607,.T.); #19426=EDGE_CURVE('',#15345,#15345,#12608,.T.); #19427=EDGE_CURVE('',#15346,#15347,#8635,.T.); #19428=EDGE_CURVE('',#15347,#15348,#8636,.T.); #19429=EDGE_CURVE('',#15348,#15349,#8637,.T.); #19430=EDGE_CURVE('',#15349,#15350,#8638,.T.); #19431=EDGE_CURVE('',#15350,#15351,#8639,.T.); #19432=EDGE_CURVE('',#15351,#15346,#8640,.T.); #19433=EDGE_CURVE('',#15341,#15344,#8641,.T.); #19434=EDGE_CURVE('',#15352,#15353,#518,.T.); #19435=EDGE_CURVE('',#15352,#15351,#8642,.T.); #19436=EDGE_CURVE('',#15353,#15350,#8643,.T.); #19437=EDGE_CURVE('',#15354,#15352,#519,.T.); #19438=EDGE_CURVE('',#15354,#15346,#8644,.T.); #19439=EDGE_CURVE('',#15355,#15354,#520,.T.); #19440=EDGE_CURVE('',#15355,#15347,#8645,.T.); #19441=EDGE_CURVE('',#15356,#15355,#521,.T.); #19442=EDGE_CURVE('',#15348,#15356,#8646,.T.); #19443=EDGE_CURVE('',#15353,#15357,#522,.T.); #19444=EDGE_CURVE('',#15358,#15349,#8647,.T.); #19445=EDGE_CURVE('',#15357,#15358,#523,.T.); #19446=EDGE_CURVE('',#15358,#15356,#524,.T.); #19447=EDGE_CURVE('',#15359,#15357,#8648,.T.); #19448=ORIENTED_EDGE('',*,*,#15360,.F.); #19449=ORIENTED_EDGE('',*,*,#15361,.T.); #19450=ORIENTED_EDGE('',*,*,#15362,.F.); #19451=ORIENTED_EDGE('',*,*,#15361,.F.); #19452=ORIENTED_EDGE('',*,*,#15363,.F.); #19453=ORIENTED_EDGE('',*,*,#15364,.T.); #19454=ORIENTED_EDGE('',*,*,#15365,.F.); #19455=ORIENTED_EDGE('',*,*,#15364,.F.); #19456=ORIENTED_EDGE('',*,*,#15366,.F.); #19457=ORIENTED_EDGE('',*,*,#15367,.T.); #19458=ORIENTED_EDGE('',*,*,#15368,.F.); #19459=ORIENTED_EDGE('',*,*,#15367,.F.); #19460=ORIENTED_EDGE('',*,*,#15369,.F.); #19461=ORIENTED_EDGE('',*,*,#15370,.T.); #19462=ORIENTED_EDGE('',*,*,#15371,.F.); #19463=ORIENTED_EDGE('',*,*,#15370,.F.); #19464=ORIENTED_EDGE('',*,*,#15372,.F.); #19465=ORIENTED_EDGE('',*,*,#15373,.T.); #19466=ORIENTED_EDGE('',*,*,#15374,.F.); #19467=ORIENTED_EDGE('',*,*,#15373,.F.); #19468=ORIENTED_EDGE('',*,*,#15375,.F.); #19469=ORIENTED_EDGE('',*,*,#15376,.T.); #19470=ORIENTED_EDGE('',*,*,#15377,.F.); #19471=ORIENTED_EDGE('',*,*,#15376,.F.); #19472=ORIENTED_EDGE('',*,*,#15378,.F.); #19473=ORIENTED_EDGE('',*,*,#15379,.T.); #19474=ORIENTED_EDGE('',*,*,#15380,.F.); #19475=ORIENTED_EDGE('',*,*,#15379,.F.); #19476=ORIENTED_EDGE('',*,*,#15381,.F.); #19477=ORIENTED_EDGE('',*,*,#15382,.T.); #19478=ORIENTED_EDGE('',*,*,#15383,.F.); #19479=ORIENTED_EDGE('',*,*,#15382,.F.); #19480=ORIENTED_EDGE('',*,*,#15384,.F.); #19481=ORIENTED_EDGE('',*,*,#15385,.T.); #19482=ORIENTED_EDGE('',*,*,#15386,.F.); #19483=ORIENTED_EDGE('',*,*,#15385,.F.); #19484=ORIENTED_EDGE('',*,*,#15387,.F.); #19485=ORIENTED_EDGE('',*,*,#15388,.T.); #19486=ORIENTED_EDGE('',*,*,#15389,.F.); #19487=ORIENTED_EDGE('',*,*,#15388,.F.); #19488=ORIENTED_EDGE('',*,*,#15390,.F.); #19489=ORIENTED_EDGE('',*,*,#15391,.T.); #19490=ORIENTED_EDGE('',*,*,#15392,.F.); #19491=ORIENTED_EDGE('',*,*,#15391,.F.); #19492=ORIENTED_EDGE('',*,*,#15393,.F.); #19493=ORIENTED_EDGE('',*,*,#15394,.T.); #19494=ORIENTED_EDGE('',*,*,#15395,.F.); #19495=ORIENTED_EDGE('',*,*,#15394,.F.); #19496=ORIENTED_EDGE('',*,*,#15396,.F.); #19497=ORIENTED_EDGE('',*,*,#15397,.T.); #19498=ORIENTED_EDGE('',*,*,#15398,.F.); #19499=ORIENTED_EDGE('',*,*,#15397,.F.); #19500=ORIENTED_EDGE('',*,*,#15399,.F.); #19501=ORIENTED_EDGE('',*,*,#15400,.T.); #19502=ORIENTED_EDGE('',*,*,#15401,.F.); #19503=ORIENTED_EDGE('',*,*,#15400,.F.); #19504=ORIENTED_EDGE('',*,*,#15402,.F.); #19505=ORIENTED_EDGE('',*,*,#15403,.F.); #19506=ORIENTED_EDGE('',*,*,#15404,.F.); #19507=ORIENTED_EDGE('',*,*,#15405,.T.); #19508=ORIENTED_EDGE('',*,*,#15406,.F.); #19509=ORIENTED_EDGE('',*,*,#15405,.F.); #19510=ORIENTED_EDGE('',*,*,#15407,.F.); #19511=ORIENTED_EDGE('',*,*,#15408,.T.); #19512=ORIENTED_EDGE('',*,*,#15409,.F.); #19513=ORIENTED_EDGE('',*,*,#15408,.F.); #19514=ORIENTED_EDGE('',*,*,#15410,.F.); #19515=ORIENTED_EDGE('',*,*,#15411,.T.); #19516=ORIENTED_EDGE('',*,*,#15412,.F.); #19517=ORIENTED_EDGE('',*,*,#15411,.F.); #19518=ORIENTED_EDGE('',*,*,#15413,.F.); #19519=ORIENTED_EDGE('',*,*,#15403,.T.); #19520=ORIENTED_EDGE('',*,*,#15414,.F.); #19521=ORIENTED_EDGE('',*,*,#15415,.T.); #19522=ORIENTED_EDGE('',*,*,#15416,.F.); #19523=ORIENTED_EDGE('',*,*,#15415,.F.); #19524=ORIENTED_EDGE('',*,*,#15417,.F.); #19525=ORIENTED_EDGE('',*,*,#15418,.T.); #19526=ORIENTED_EDGE('',*,*,#15419,.F.); #19527=ORIENTED_EDGE('',*,*,#15418,.F.); #19528=ORIENTED_EDGE('',*,*,#15420,.F.); #19529=ORIENTED_EDGE('',*,*,#15421,.T.); #19530=ORIENTED_EDGE('',*,*,#15422,.F.); #19531=ORIENTED_EDGE('',*,*,#15421,.F.); #19532=ORIENTED_EDGE('',*,*,#15423,.F.); #19533=ORIENTED_EDGE('',*,*,#15424,.T.); #19534=ORIENTED_EDGE('',*,*,#15425,.F.); #19535=ORIENTED_EDGE('',*,*,#15424,.F.); #19536=ORIENTED_EDGE('',*,*,#15426,.F.); #19537=ORIENTED_EDGE('',*,*,#15427,.T.); #19538=ORIENTED_EDGE('',*,*,#15428,.F.); #19539=ORIENTED_EDGE('',*,*,#15427,.F.); #19540=ORIENTED_EDGE('',*,*,#15429,.F.); #19541=ORIENTED_EDGE('',*,*,#15430,.T.); #19542=ORIENTED_EDGE('',*,*,#15431,.F.); #19543=ORIENTED_EDGE('',*,*,#15430,.F.); #19544=ORIENTED_EDGE('',*,*,#15432,.F.); #19545=ORIENTED_EDGE('',*,*,#15433,.F.); #19546=ORIENTED_EDGE('',*,*,#15434,.F.); #19547=ORIENTED_EDGE('',*,*,#15435,.T.); #19548=ORIENTED_EDGE('',*,*,#15436,.F.); #19549=ORIENTED_EDGE('',*,*,#15435,.F.); #19550=ORIENTED_EDGE('',*,*,#15437,.F.); #19551=ORIENTED_EDGE('',*,*,#15438,.T.); #19552=ORIENTED_EDGE('',*,*,#15439,.F.); #19553=ORIENTED_EDGE('',*,*,#15438,.F.); #19554=ORIENTED_EDGE('',*,*,#15440,.F.); #19555=ORIENTED_EDGE('',*,*,#15441,.T.); #19556=ORIENTED_EDGE('',*,*,#15442,.F.); #19557=ORIENTED_EDGE('',*,*,#15441,.F.); #19558=ORIENTED_EDGE('',*,*,#15443,.F.); #19559=ORIENTED_EDGE('',*,*,#15433,.T.); #19560=ORIENTED_EDGE('',*,*,#15444,.F.); #19561=ORIENTED_EDGE('',*,*,#15445,.F.); #19562=ORIENTED_EDGE('',*,*,#15446,.F.); #19563=ORIENTED_EDGE('',*,*,#15447,.T.); #19564=ORIENTED_EDGE('',*,*,#15448,.F.); #19565=ORIENTED_EDGE('',*,*,#15447,.F.); #19566=ORIENTED_EDGE('',*,*,#15449,.F.); #19567=ORIENTED_EDGE('',*,*,#15450,.T.); #19568=ORIENTED_EDGE('',*,*,#15451,.F.); #19569=ORIENTED_EDGE('',*,*,#15450,.F.); #19570=ORIENTED_EDGE('',*,*,#15452,.F.); #19571=ORIENTED_EDGE('',*,*,#15453,.T.); #19572=ORIENTED_EDGE('',*,*,#15454,.F.); #19573=ORIENTED_EDGE('',*,*,#15453,.F.); #19574=ORIENTED_EDGE('',*,*,#15455,.F.); #19575=ORIENTED_EDGE('',*,*,#15445,.T.); #19576=ORIENTED_EDGE('',*,*,#15456,.F.); #19577=ORIENTED_EDGE('',*,*,#15457,.F.); #19578=ORIENTED_EDGE('',*,*,#15458,.F.); #19579=ORIENTED_EDGE('',*,*,#15459,.T.); #19580=ORIENTED_EDGE('',*,*,#15460,.F.); #19581=ORIENTED_EDGE('',*,*,#15459,.F.); #19582=ORIENTED_EDGE('',*,*,#15461,.F.); #19583=ORIENTED_EDGE('',*,*,#15462,.T.); #19584=ORIENTED_EDGE('',*,*,#15463,.F.); #19585=ORIENTED_EDGE('',*,*,#15462,.F.); #19586=ORIENTED_EDGE('',*,*,#15464,.F.); #19587=ORIENTED_EDGE('',*,*,#15465,.T.); #19588=ORIENTED_EDGE('',*,*,#15466,.F.); #19589=ORIENTED_EDGE('',*,*,#15465,.F.); #19590=ORIENTED_EDGE('',*,*,#15467,.F.); #19591=ORIENTED_EDGE('',*,*,#15457,.T.); #19592=ORIENTED_EDGE('',*,*,#15468,.T.); #19593=ORIENTED_EDGE('',*,*,#15469,.T.); #19594=ORIENTED_EDGE('',*,*,#15470,.T.); #19595=ORIENTED_EDGE('',*,*,#15471,.T.); #19596=ORIENTED_EDGE('',*,*,#15471,.F.); #19597=ORIENTED_EDGE('',*,*,#15472,.F.); #19598=ORIENTED_EDGE('',*,*,#15473,.F.); #19599=ORIENTED_EDGE('',*,*,#15474,.F.); #19600=ORIENTED_EDGE('',*,*,#15470,.F.); #19601=ORIENTED_EDGE('',*,*,#15475,.T.); #19602=ORIENTED_EDGE('',*,*,#15476,.T.); #19603=ORIENTED_EDGE('',*,*,#15477,.T.); #19604=ORIENTED_EDGE('',*,*,#15478,.T.); #19605=ORIENTED_EDGE('',*,*,#15479,.T.); #19606=ORIENTED_EDGE('',*,*,#15480,.T.); #19607=ORIENTED_EDGE('',*,*,#15481,.T.); #19608=ORIENTED_EDGE('',*,*,#15482,.T.); #19609=ORIENTED_EDGE('',*,*,#15483,.T.); #19610=ORIENTED_EDGE('',*,*,#15484,.T.); #19611=ORIENTED_EDGE('',*,*,#15485,.F.); #19612=ORIENTED_EDGE('',*,*,#15486,.T.); #19613=ORIENTED_EDGE('',*,*,#15487,.T.); #19614=ORIENTED_EDGE('',*,*,#15472,.T.); #19615=ORIENTED_EDGE('',*,*,#15362,.T.); #19616=ORIENTED_EDGE('',*,*,#15365,.T.); #19617=ORIENTED_EDGE('',*,*,#15368,.T.); #19618=ORIENTED_EDGE('',*,*,#15371,.T.); #19619=ORIENTED_EDGE('',*,*,#15374,.T.); #19620=ORIENTED_EDGE('',*,*,#15377,.T.); #19621=ORIENTED_EDGE('',*,*,#15380,.T.); #19622=ORIENTED_EDGE('',*,*,#15383,.T.); #19623=ORIENTED_EDGE('',*,*,#15386,.T.); #19624=ORIENTED_EDGE('',*,*,#15389,.T.); #19625=ORIENTED_EDGE('',*,*,#15392,.T.); #19626=ORIENTED_EDGE('',*,*,#15395,.T.); #19627=ORIENTED_EDGE('',*,*,#15398,.T.); #19628=ORIENTED_EDGE('',*,*,#15401,.T.); #19629=ORIENTED_EDGE('',*,*,#15409,.T.); #19630=ORIENTED_EDGE('',*,*,#15412,.T.); #19631=ORIENTED_EDGE('',*,*,#15402,.T.); #19632=ORIENTED_EDGE('',*,*,#15406,.T.); #19633=ORIENTED_EDGE('',*,*,#15416,.T.); #19634=ORIENTED_EDGE('',*,*,#15419,.T.); #19635=ORIENTED_EDGE('',*,*,#15422,.T.); #19636=ORIENTED_EDGE('',*,*,#15425,.T.); #19637=ORIENTED_EDGE('',*,*,#15428,.T.); #19638=ORIENTED_EDGE('',*,*,#15431,.T.); #19639=ORIENTED_EDGE('',*,*,#15439,.T.); #19640=ORIENTED_EDGE('',*,*,#15442,.T.); #19641=ORIENTED_EDGE('',*,*,#15432,.T.); #19642=ORIENTED_EDGE('',*,*,#15436,.T.); #19643=ORIENTED_EDGE('',*,*,#15451,.T.); #19644=ORIENTED_EDGE('',*,*,#15454,.T.); #19645=ORIENTED_EDGE('',*,*,#15444,.T.); #19646=ORIENTED_EDGE('',*,*,#15448,.T.); #19647=ORIENTED_EDGE('',*,*,#15463,.T.); #19648=ORIENTED_EDGE('',*,*,#15466,.T.); #19649=ORIENTED_EDGE('',*,*,#15456,.T.); #19650=ORIENTED_EDGE('',*,*,#15460,.T.); #19651=ORIENTED_EDGE('',*,*,#15485,.T.); #19652=ORIENTED_EDGE('',*,*,#15488,.T.); #19653=ORIENTED_EDGE('',*,*,#15489,.T.); #19654=ORIENTED_EDGE('',*,*,#15490,.T.); #19655=ORIENTED_EDGE('',*,*,#15490,.F.); #19656=ORIENTED_EDGE('',*,*,#15491,.F.); #19657=ORIENTED_EDGE('',*,*,#15492,.T.); #19658=ORIENTED_EDGE('',*,*,#15486,.F.); #19659=ORIENTED_EDGE('',*,*,#15487,.F.); #19660=ORIENTED_EDGE('',*,*,#15492,.F.); #19661=ORIENTED_EDGE('',*,*,#15493,.F.); #19662=ORIENTED_EDGE('',*,*,#15473,.T.); #19663=ORIENTED_EDGE('',*,*,#15468,.F.); #19664=ORIENTED_EDGE('',*,*,#15474,.T.); #19665=ORIENTED_EDGE('',*,*,#15493,.T.); #19666=ORIENTED_EDGE('',*,*,#15491,.T.); #19667=ORIENTED_EDGE('',*,*,#15489,.F.); #19668=ORIENTED_EDGE('',*,*,#15494,.T.); #19669=ORIENTED_EDGE('',*,*,#15495,.T.); #19670=ORIENTED_EDGE('',*,*,#15496,.T.); #19671=ORIENTED_EDGE('',*,*,#15497,.T.); #19672=ORIENTED_EDGE('',*,*,#15498,.T.); #19673=ORIENTED_EDGE('',*,*,#15499,.T.); #19674=ORIENTED_EDGE('',*,*,#15500,.T.); #19675=ORIENTED_EDGE('',*,*,#15501,.T.); #19676=ORIENTED_EDGE('',*,*,#15502,.T.); #19677=ORIENTED_EDGE('',*,*,#15503,.T.); #19678=ORIENTED_EDGE('',*,*,#15360,.T.); #19679=ORIENTED_EDGE('',*,*,#15363,.T.); #19680=ORIENTED_EDGE('',*,*,#15366,.T.); #19681=ORIENTED_EDGE('',*,*,#15369,.T.); #19682=ORIENTED_EDGE('',*,*,#15372,.T.); #19683=ORIENTED_EDGE('',*,*,#15375,.T.); #19684=ORIENTED_EDGE('',*,*,#15378,.T.); #19685=ORIENTED_EDGE('',*,*,#15381,.T.); #19686=ORIENTED_EDGE('',*,*,#15384,.T.); #19687=ORIENTED_EDGE('',*,*,#15387,.T.); #19688=ORIENTED_EDGE('',*,*,#15390,.T.); #19689=ORIENTED_EDGE('',*,*,#15393,.T.); #19690=ORIENTED_EDGE('',*,*,#15396,.T.); #19691=ORIENTED_EDGE('',*,*,#15399,.T.); #19692=ORIENTED_EDGE('',*,*,#15410,.T.); #19693=ORIENTED_EDGE('',*,*,#15407,.T.); #19694=ORIENTED_EDGE('',*,*,#15404,.T.); #19695=ORIENTED_EDGE('',*,*,#15413,.T.); #19696=ORIENTED_EDGE('',*,*,#15414,.T.); #19697=ORIENTED_EDGE('',*,*,#15417,.T.); #19698=ORIENTED_EDGE('',*,*,#15420,.T.); #19699=ORIENTED_EDGE('',*,*,#15423,.T.); #19700=ORIENTED_EDGE('',*,*,#15426,.T.); #19701=ORIENTED_EDGE('',*,*,#15429,.T.); #19702=ORIENTED_EDGE('',*,*,#15440,.T.); #19703=ORIENTED_EDGE('',*,*,#15437,.T.); #19704=ORIENTED_EDGE('',*,*,#15434,.T.); #19705=ORIENTED_EDGE('',*,*,#15443,.T.); #19706=ORIENTED_EDGE('',*,*,#15452,.T.); #19707=ORIENTED_EDGE('',*,*,#15449,.T.); #19708=ORIENTED_EDGE('',*,*,#15446,.T.); #19709=ORIENTED_EDGE('',*,*,#15455,.T.); #19710=ORIENTED_EDGE('',*,*,#15464,.T.); #19711=ORIENTED_EDGE('',*,*,#15461,.T.); #19712=ORIENTED_EDGE('',*,*,#15458,.T.); #19713=ORIENTED_EDGE('',*,*,#15467,.T.); #19714=ORIENTED_EDGE('',*,*,#15488,.F.); #19715=ORIENTED_EDGE('',*,*,#15484,.F.); #19716=ORIENTED_EDGE('',*,*,#15504,.T.); #19717=ORIENTED_EDGE('',*,*,#15494,.F.); #19718=ORIENTED_EDGE('',*,*,#15505,.F.); #19719=ORIENTED_EDGE('',*,*,#15495,.F.); #19720=ORIENTED_EDGE('',*,*,#15504,.F.); #19721=ORIENTED_EDGE('',*,*,#15483,.F.); #19722=ORIENTED_EDGE('',*,*,#15469,.F.); #19723=ORIENTED_EDGE('',*,*,#15503,.F.); #19724=ORIENTED_EDGE('',*,*,#15506,.F.); #19725=ORIENTED_EDGE('',*,*,#15475,.F.); #19726=ORIENTED_EDGE('',*,*,#15506,.T.); #19727=ORIENTED_EDGE('',*,*,#15502,.F.); #19728=ORIENTED_EDGE('',*,*,#15507,.F.); #19729=ORIENTED_EDGE('',*,*,#15476,.F.); #19730=ORIENTED_EDGE('',*,*,#15507,.T.); #19731=ORIENTED_EDGE('',*,*,#15501,.F.); #19732=ORIENTED_EDGE('',*,*,#15508,.F.); #19733=ORIENTED_EDGE('',*,*,#15477,.F.); #19734=ORIENTED_EDGE('',*,*,#15508,.T.); #19735=ORIENTED_EDGE('',*,*,#15500,.F.); #19736=ORIENTED_EDGE('',*,*,#15509,.F.); #19737=ORIENTED_EDGE('',*,*,#15478,.F.); #19738=ORIENTED_EDGE('',*,*,#15509,.T.); #19739=ORIENTED_EDGE('',*,*,#15499,.F.); #19740=ORIENTED_EDGE('',*,*,#15510,.F.); #19741=ORIENTED_EDGE('',*,*,#15479,.F.); #19742=ORIENTED_EDGE('',*,*,#15510,.T.); #19743=ORIENTED_EDGE('',*,*,#15498,.F.); #19744=ORIENTED_EDGE('',*,*,#15511,.F.); #19745=ORIENTED_EDGE('',*,*,#15480,.F.); #19746=ORIENTED_EDGE('',*,*,#15511,.T.); #19747=ORIENTED_EDGE('',*,*,#15497,.F.); #19748=ORIENTED_EDGE('',*,*,#15512,.F.); #19749=ORIENTED_EDGE('',*,*,#15481,.F.); #19750=ORIENTED_EDGE('',*,*,#15512,.T.); #19751=ORIENTED_EDGE('',*,*,#15496,.F.); #19752=ORIENTED_EDGE('',*,*,#15505,.T.); #19753=ORIENTED_EDGE('',*,*,#15482,.F.); #19754=ORIENTED_EDGE('',*,*,#15513,.F.); #19755=ORIENTED_EDGE('',*,*,#15514,.T.); #19756=ORIENTED_EDGE('',*,*,#15515,.F.); #19757=ORIENTED_EDGE('',*,*,#15514,.F.); #19758=ORIENTED_EDGE('',*,*,#15516,.F.); #19759=ORIENTED_EDGE('',*,*,#15517,.T.); #19760=ORIENTED_EDGE('',*,*,#15518,.F.); #19761=ORIENTED_EDGE('',*,*,#15517,.F.); #19762=ORIENTED_EDGE('',*,*,#15519,.F.); #19763=ORIENTED_EDGE('',*,*,#15520,.T.); #19764=ORIENTED_EDGE('',*,*,#15521,.F.); #19765=ORIENTED_EDGE('',*,*,#15520,.F.); #19766=ORIENTED_EDGE('',*,*,#15522,.F.); #19767=ORIENTED_EDGE('',*,*,#15523,.T.); #19768=ORIENTED_EDGE('',*,*,#15524,.F.); #19769=ORIENTED_EDGE('',*,*,#15523,.F.); #19770=ORIENTED_EDGE('',*,*,#15525,.F.); #19771=ORIENTED_EDGE('',*,*,#15526,.T.); #19772=ORIENTED_EDGE('',*,*,#15527,.F.); #19773=ORIENTED_EDGE('',*,*,#15526,.F.); #19774=ORIENTED_EDGE('',*,*,#15528,.F.); #19775=ORIENTED_EDGE('',*,*,#15513,.T.); #19776=ORIENTED_EDGE('',*,*,#15529,.F.); #19777=ORIENTED_EDGE('',*,*,#15530,.T.); #19778=ORIENTED_EDGE('',*,*,#15528,.T.); #19779=ORIENTED_EDGE('',*,*,#15530,.F.); #19780=ORIENTED_EDGE('',*,*,#15531,.F.); #19781=ORIENTED_EDGE('',*,*,#15518,.T.); #19782=ORIENTED_EDGE('',*,*,#15521,.T.); #19783=ORIENTED_EDGE('',*,*,#15524,.T.); #19784=ORIENTED_EDGE('',*,*,#15527,.T.); #19785=ORIENTED_EDGE('',*,*,#15529,.T.); #19786=ORIENTED_EDGE('',*,*,#15532,.F.); #19787=ORIENTED_EDGE('',*,*,#15533,.T.); #19788=ORIENTED_EDGE('',*,*,#15531,.T.); #19789=ORIENTED_EDGE('',*,*,#15533,.F.); #19790=ORIENTED_EDGE('',*,*,#15534,.F.); #19791=ORIENTED_EDGE('',*,*,#15535,.T.); #19792=ORIENTED_EDGE('',*,*,#15532,.T.); #19793=ORIENTED_EDGE('',*,*,#15535,.F.); #19794=ORIENTED_EDGE('',*,*,#15534,.T.); #19795=ORIENTED_EDGE('',*,*,#15516,.T.); #19796=ORIENTED_EDGE('',*,*,#15519,.T.); #19797=ORIENTED_EDGE('',*,*,#15522,.T.); #19798=ORIENTED_EDGE('',*,*,#15525,.T.); #19799=ORIENTED_EDGE('',*,*,#15536,.F.); #19800=ORIENTED_EDGE('',*,*,#15537,.F.); #19801=ORIENTED_EDGE('',*,*,#15538,.T.); #19802=ORIENTED_EDGE('',*,*,#15536,.T.); #19803=ORIENTED_EDGE('',*,*,#15538,.F.); #19804=ORIENTED_EDGE('',*,*,#15539,.F.); #19805=ORIENTED_EDGE('',*,*,#15540,.T.); #19806=ORIENTED_EDGE('',*,*,#15537,.T.); #19807=ORIENTED_EDGE('',*,*,#15540,.F.); #19808=ORIENTED_EDGE('',*,*,#15539,.T.); #19809=ORIENTED_EDGE('',*,*,#15541,.F.); #19810=ORIENTED_EDGE('',*,*,#15541,.T.); #19811=ORIENTED_EDGE('',*,*,#15542,.T.); #19812=ORIENTED_EDGE('',*,*,#15543,.F.); #19813=ORIENTED_EDGE('',*,*,#15542,.F.); #19814=ORIENTED_EDGE('',*,*,#15543,.T.); #19815=ORIENTED_EDGE('',*,*,#15544,.T.); #19816=ORIENTED_EDGE('',*,*,#15545,.F.); #19817=ORIENTED_EDGE('',*,*,#15544,.F.); #19818=ORIENTED_EDGE('',*,*,#15545,.T.); #19819=ORIENTED_EDGE('',*,*,#15546,.F.); #19820=ORIENTED_EDGE('',*,*,#15546,.T.); #19821=ORIENTED_EDGE('',*,*,#15547,.T.); #19822=ORIENTED_EDGE('',*,*,#15548,.F.); #19823=ORIENTED_EDGE('',*,*,#15547,.F.); #19824=ORIENTED_EDGE('',*,*,#15548,.T.); #19825=ORIENTED_EDGE('',*,*,#15515,.T.); #19826=ORIENTED_EDGE('',*,*,#15549,.F.); #19827=ORIENTED_EDGE('',*,*,#15550,.T.); #19828=ORIENTED_EDGE('',*,*,#15551,.T.); #19829=ORIENTED_EDGE('',*,*,#15552,.F.); #19830=ORIENTED_EDGE('',*,*,#15553,.F.); #19831=ORIENTED_EDGE('',*,*,#15554,.T.); #19832=ORIENTED_EDGE('',*,*,#15552,.T.); #19833=ORIENTED_EDGE('',*,*,#15554,.F.); #19834=ORIENTED_EDGE('',*,*,#15555,.F.); #19835=ORIENTED_EDGE('',*,*,#15553,.T.); #19836=ORIENTED_EDGE('',*,*,#15556,.T.); #19837=ORIENTED_EDGE('',*,*,#15557,.F.); #19838=ORIENTED_EDGE('',*,*,#15558,.F.); #19839=ORIENTED_EDGE('',*,*,#15556,.F.); #19840=ORIENTED_EDGE('',*,*,#15555,.T.); #19841=ORIENTED_EDGE('',*,*,#15550,.F.); #19842=ORIENTED_EDGE('',*,*,#15559,.T.); #19843=ORIENTED_EDGE('',*,*,#15557,.T.); #19844=ORIENTED_EDGE('',*,*,#15558,.T.); #19845=ORIENTED_EDGE('',*,*,#15559,.F.); #19846=ORIENTED_EDGE('',*,*,#15549,.T.); #19847=ORIENTED_EDGE('',*,*,#15560,.T.); #19848=ORIENTED_EDGE('',*,*,#15561,.T.); #19849=ORIENTED_EDGE('',*,*,#15560,.F.); #19850=ORIENTED_EDGE('',*,*,#15562,.F.); #19851=ORIENTED_EDGE('',*,*,#15563,.T.); #19852=ORIENTED_EDGE('',*,*,#15564,.T.); #19853=ORIENTED_EDGE('',*,*,#15565,.F.); #19854=ORIENTED_EDGE('',*,*,#15566,.F.); #19855=ORIENTED_EDGE('',*,*,#15567,.F.); #19856=ORIENTED_EDGE('',*,*,#15568,.T.); #19857=ORIENTED_EDGE('',*,*,#15562,.T.); #19858=ORIENTED_EDGE('',*,*,#15568,.F.); #19859=ORIENTED_EDGE('',*,*,#15566,.T.); #19860=ORIENTED_EDGE('',*,*,#15567,.T.); #19861=ORIENTED_EDGE('',*,*,#15569,.T.); #19862=ORIENTED_EDGE('',*,*,#15570,.F.); #19863=ORIENTED_EDGE('',*,*,#15571,.F.); #19864=ORIENTED_EDGE('',*,*,#15569,.F.); #19865=ORIENTED_EDGE('',*,*,#15564,.F.); #19866=ORIENTED_EDGE('',*,*,#15572,.T.); #19867=ORIENTED_EDGE('',*,*,#15570,.T.); #19868=ORIENTED_EDGE('',*,*,#15571,.T.); #19869=ORIENTED_EDGE('',*,*,#15572,.F.); #19870=ORIENTED_EDGE('',*,*,#15565,.T.); #19871=ORIENTED_EDGE('',*,*,#15573,.T.); #19872=ORIENTED_EDGE('',*,*,#15574,.T.); #19873=ORIENTED_EDGE('',*,*,#15573,.F.); #19874=ORIENTED_EDGE('',*,*,#15575,.T.); #19875=ORIENTED_EDGE('',*,*,#15576,.T.); #19876=ORIENTED_EDGE('',*,*,#15563,.F.); #19877=ORIENTED_EDGE('',*,*,#15576,.F.); #19878=ORIENTED_EDGE('',*,*,#15575,.F.); #19879=ORIENTED_EDGE('',*,*,#15577,.T.); #19880=ORIENTED_EDGE('',*,*,#15578,.F.); #19881=ORIENTED_EDGE('',*,*,#15579,.T.); #19882=ORIENTED_EDGE('',*,*,#15580,.F.); #19883=ORIENTED_EDGE('',*,*,#15561,.F.); #19884=ORIENTED_EDGE('',*,*,#15580,.T.); #19885=ORIENTED_EDGE('',*,*,#15581,.T.); #19886=ORIENTED_EDGE('',*,*,#15582,.F.); #19887=ORIENTED_EDGE('',*,*,#15583,.F.); #19888=ORIENTED_EDGE('',*,*,#15581,.F.); #19889=ORIENTED_EDGE('',*,*,#15584,.F.); #19890=ORIENTED_EDGE('',*,*,#15585,.T.); #19891=ORIENTED_EDGE('',*,*,#15582,.T.); #19892=ORIENTED_EDGE('',*,*,#15583,.T.); #19893=ORIENTED_EDGE('',*,*,#15585,.F.); #19894=ORIENTED_EDGE('',*,*,#15586,.F.); #19895=ORIENTED_EDGE('',*,*,#15584,.T.); #19896=ORIENTED_EDGE('',*,*,#15587,.T.); #19897=ORIENTED_EDGE('',*,*,#15579,.F.); #19898=ORIENTED_EDGE('',*,*,#15587,.F.); #19899=ORIENTED_EDGE('',*,*,#15586,.T.); #19900=ORIENTED_EDGE('',*,*,#15588,.F.); #19901=ORIENTED_EDGE('',*,*,#15589,.F.); #19902=ORIENTED_EDGE('',*,*,#15590,.T.); #19903=ORIENTED_EDGE('',*,*,#15578,.T.); #19904=ORIENTED_EDGE('',*,*,#15590,.F.); #19905=ORIENTED_EDGE('',*,*,#15577,.F.); #19906=ORIENTED_EDGE('',*,*,#15591,.T.); #19907=ORIENTED_EDGE('',*,*,#15588,.T.); #19908=ORIENTED_EDGE('',*,*,#15589,.T.); #19909=ORIENTED_EDGE('',*,*,#15591,.F.); #19910=ORIENTED_EDGE('',*,*,#15592,.T.); #19911=ORIENTED_EDGE('',*,*,#15593,.T.); #19912=ORIENTED_EDGE('',*,*,#15551,.F.); #19913=ORIENTED_EDGE('',*,*,#15593,.F.); #19914=ORIENTED_EDGE('',*,*,#15574,.F.); #19915=ORIENTED_EDGE('',*,*,#15594,.T.); #19916=ORIENTED_EDGE('',*,*,#15595,.F.); #19917=ORIENTED_EDGE('',*,*,#15596,.T.); #19918=ORIENTED_EDGE('',*,*,#15597,.F.); #19919=ORIENTED_EDGE('',*,*,#15592,.F.); #19920=ORIENTED_EDGE('',*,*,#15597,.T.); #19921=ORIENTED_EDGE('',*,*,#15598,.T.); #19922=ORIENTED_EDGE('',*,*,#15599,.F.); #19923=ORIENTED_EDGE('',*,*,#15600,.F.); #19924=ORIENTED_EDGE('',*,*,#15598,.F.); #19925=ORIENTED_EDGE('',*,*,#15601,.F.); #19926=ORIENTED_EDGE('',*,*,#15602,.F.); #19927=ORIENTED_EDGE('',*,*,#15603,.T.); #19928=ORIENTED_EDGE('',*,*,#15599,.T.); #19929=ORIENTED_EDGE('',*,*,#15600,.T.); #19930=ORIENTED_EDGE('',*,*,#15603,.F.); #19931=ORIENTED_EDGE('',*,*,#15601,.T.); #19932=ORIENTED_EDGE('',*,*,#15602,.T.); #19933=ORIENTED_EDGE('',*,*,#15604,.T.); #19934=ORIENTED_EDGE('',*,*,#15596,.F.); #19935=ORIENTED_EDGE('',*,*,#15604,.F.); #19936=ORIENTED_EDGE('',*,*,#15605,.F.); #19937=ORIENTED_EDGE('',*,*,#15606,.T.); #19938=ORIENTED_EDGE('',*,*,#15595,.T.); #19939=ORIENTED_EDGE('',*,*,#15606,.F.); #19940=ORIENTED_EDGE('',*,*,#15607,.F.); #19941=ORIENTED_EDGE('',*,*,#15594,.F.); #19942=ORIENTED_EDGE('',*,*,#15608,.T.); #19943=ORIENTED_EDGE('',*,*,#15607,.T.); #19944=ORIENTED_EDGE('',*,*,#15605,.T.); #19945=ORIENTED_EDGE('',*,*,#15608,.F.); #19946=ORIENTED_EDGE('',*,*,#15609,.F.); #19947=ORIENTED_EDGE('',*,*,#15610,.F.); #19948=ORIENTED_EDGE('',*,*,#15611,.F.); #19949=ORIENTED_EDGE('',*,*,#15612,.T.); #19950=ORIENTED_EDGE('',*,*,#15613,.T.); #19951=ORIENTED_EDGE('',*,*,#15612,.F.); #19952=ORIENTED_EDGE('',*,*,#15614,.F.); #19953=ORIENTED_EDGE('',*,*,#15615,.T.); #19954=ORIENTED_EDGE('',*,*,#15616,.T.); #19955=ORIENTED_EDGE('',*,*,#15617,.F.); #19956=ORIENTED_EDGE('',*,*,#15616,.F.); #19957=ORIENTED_EDGE('',*,*,#15618,.F.); #19958=ORIENTED_EDGE('',*,*,#15619,.T.); #19959=ORIENTED_EDGE('',*,*,#15610,.T.); #19960=ORIENTED_EDGE('',*,*,#15619,.F.); #19961=ORIENTED_EDGE('',*,*,#15618,.T.); #19962=ORIENTED_EDGE('',*,*,#15615,.F.); #19963=ORIENTED_EDGE('',*,*,#15617,.T.); #19964=ORIENTED_EDGE('',*,*,#15613,.F.); #19965=ORIENTED_EDGE('',*,*,#15620,.T.); #19966=ORIENTED_EDGE('',*,*,#15621,.T.); #19967=ORIENTED_EDGE('',*,*,#15622,.F.); #19968=ORIENTED_EDGE('',*,*,#15623,.F.); #19969=ORIENTED_EDGE('',*,*,#15624,.T.); #19970=ORIENTED_EDGE('',*,*,#15625,.T.); #19971=ORIENTED_EDGE('',*,*,#15624,.F.); #19972=ORIENTED_EDGE('',*,*,#15626,.T.); #19973=ORIENTED_EDGE('',*,*,#15627,.T.); #19974=ORIENTED_EDGE('',*,*,#15628,.F.); #19975=ORIENTED_EDGE('',*,*,#15627,.F.); #19976=ORIENTED_EDGE('',*,*,#15629,.F.); #19977=ORIENTED_EDGE('',*,*,#15630,.T.); #19978=ORIENTED_EDGE('',*,*,#15622,.T.); #19979=ORIENTED_EDGE('',*,*,#15630,.F.); #19980=ORIENTED_EDGE('',*,*,#15629,.T.); #19981=ORIENTED_EDGE('',*,*,#15626,.F.); #19982=ORIENTED_EDGE('',*,*,#15628,.T.); #19983=ORIENTED_EDGE('',*,*,#15625,.F.); #19984=ORIENTED_EDGE('',*,*,#15631,.F.); #19985=ORIENTED_EDGE('',*,*,#15632,.T.); #19986=ORIENTED_EDGE('',*,*,#15632,.F.); #19987=ORIENTED_EDGE('',*,*,#15633,.F.); #19988=ORIENTED_EDGE('',*,*,#15634,.F.); #19989=ORIENTED_EDGE('',*,*,#15634,.T.); #19990=ORIENTED_EDGE('',*,*,#15635,.F.); #19991=ORIENTED_EDGE('',*,*,#15636,.F.); #19992=ORIENTED_EDGE('',*,*,#15637,.T.); #19993=ORIENTED_EDGE('',*,*,#15637,.F.); #19994=ORIENTED_EDGE('',*,*,#15638,.F.); #19995=ORIENTED_EDGE('',*,*,#15639,.F.); #19996=ORIENTED_EDGE('',*,*,#15639,.T.); #19997=ORIENTED_EDGE('',*,*,#15640,.F.); #19998=ORIENTED_EDGE('',*,*,#15641,.F.); #19999=ORIENTED_EDGE('',*,*,#15642,.T.); #20000=ORIENTED_EDGE('',*,*,#15642,.F.); #20001=ORIENTED_EDGE('',*,*,#15643,.F.); #20002=ORIENTED_EDGE('',*,*,#15644,.F.); #20003=ORIENTED_EDGE('',*,*,#15644,.T.); #20004=ORIENTED_EDGE('',*,*,#15645,.F.); #20005=ORIENTED_EDGE('',*,*,#15646,.F.); #20006=ORIENTED_EDGE('',*,*,#15647,.T.); #20007=ORIENTED_EDGE('',*,*,#15647,.F.); #20008=ORIENTED_EDGE('',*,*,#15648,.F.); #20009=ORIENTED_EDGE('',*,*,#15649,.F.); #20010=ORIENTED_EDGE('',*,*,#15649,.T.); #20011=ORIENTED_EDGE('',*,*,#15650,.F.); #20012=ORIENTED_EDGE('',*,*,#15651,.F.); #20013=ORIENTED_EDGE('',*,*,#15652,.T.); #20014=ORIENTED_EDGE('',*,*,#15652,.F.); #20015=ORIENTED_EDGE('',*,*,#15653,.F.); #20016=ORIENTED_EDGE('',*,*,#15654,.F.); #20017=ORIENTED_EDGE('',*,*,#15654,.T.); #20018=ORIENTED_EDGE('',*,*,#15655,.F.); #20019=ORIENTED_EDGE('',*,*,#15656,.F.); #20020=ORIENTED_EDGE('',*,*,#15657,.T.); #20021=ORIENTED_EDGE('',*,*,#15658,.F.); #20022=ORIENTED_EDGE('',*,*,#15659,.T.); #20023=ORIENTED_EDGE('',*,*,#15659,.F.); #20024=ORIENTED_EDGE('',*,*,#15660,.F.); #20025=ORIENTED_EDGE('',*,*,#15657,.F.); #20026=ORIENTED_EDGE('',*,*,#15661,.F.); #20027=ORIENTED_EDGE('',*,*,#15662,.F.); #20028=ORIENTED_EDGE('',*,*,#15662,.T.); #20029=ORIENTED_EDGE('',*,*,#15663,.F.); #20030=ORIENTED_EDGE('',*,*,#15664,.T.); #20031=ORIENTED_EDGE('',*,*,#15664,.F.); #20032=ORIENTED_EDGE('',*,*,#15665,.F.); #20033=ORIENTED_EDGE('',*,*,#15666,.F.); #20034=ORIENTED_EDGE('',*,*,#15667,.F.); #20035=ORIENTED_EDGE('',*,*,#15667,.T.); #20036=ORIENTED_EDGE('',*,*,#15668,.F.); #20037=ORIENTED_EDGE('',*,*,#15669,.T.); #20038=ORIENTED_EDGE('',*,*,#15669,.F.); #20039=ORIENTED_EDGE('',*,*,#15670,.F.); #20040=ORIENTED_EDGE('',*,*,#15671,.F.); #20041=ORIENTED_EDGE('',*,*,#15672,.F.); #20042=ORIENTED_EDGE('',*,*,#15672,.T.); #20043=ORIENTED_EDGE('',*,*,#15673,.F.); #20044=ORIENTED_EDGE('',*,*,#15674,.T.); #20045=ORIENTED_EDGE('',*,*,#15674,.F.); #20046=ORIENTED_EDGE('',*,*,#15675,.F.); #20047=ORIENTED_EDGE('',*,*,#15676,.F.); #20048=ORIENTED_EDGE('',*,*,#15677,.F.); #20049=ORIENTED_EDGE('',*,*,#15677,.T.); #20050=ORIENTED_EDGE('',*,*,#15678,.F.); #20051=ORIENTED_EDGE('',*,*,#15679,.T.); #20052=ORIENTED_EDGE('',*,*,#15679,.F.); #20053=ORIENTED_EDGE('',*,*,#15680,.F.); #20054=ORIENTED_EDGE('',*,*,#15681,.F.); #20055=ORIENTED_EDGE('',*,*,#15682,.F.); #20056=ORIENTED_EDGE('',*,*,#15682,.T.); #20057=ORIENTED_EDGE('',*,*,#15683,.F.); #20058=ORIENTED_EDGE('',*,*,#15684,.T.); #20059=ORIENTED_EDGE('',*,*,#15684,.F.); #20060=ORIENTED_EDGE('',*,*,#15685,.F.); #20061=ORIENTED_EDGE('',*,*,#15686,.F.); #20062=ORIENTED_EDGE('',*,*,#15687,.T.); #20063=ORIENTED_EDGE('',*,*,#15688,.F.); #20064=ORIENTED_EDGE('',*,*,#15687,.F.); #20065=ORIENTED_EDGE('',*,*,#15689,.F.); #20066=ORIENTED_EDGE('',*,*,#15690,.F.); #20067=ORIENTED_EDGE('',*,*,#15690,.T.); #20068=ORIENTED_EDGE('',*,*,#15691,.T.); #20069=ORIENTED_EDGE('',*,*,#15691,.F.); #20070=ORIENTED_EDGE('',*,*,#15631,.T.); #20071=ORIENTED_EDGE('',*,*,#15633,.T.); #20072=ORIENTED_EDGE('',*,*,#15692,.F.); #20073=ORIENTED_EDGE('',*,*,#15693,.T.); #20074=ORIENTED_EDGE('',*,*,#15693,.F.); #20075=ORIENTED_EDGE('',*,*,#15636,.T.); #20076=ORIENTED_EDGE('',*,*,#15638,.T.); #20077=ORIENTED_EDGE('',*,*,#15694,.F.); #20078=ORIENTED_EDGE('',*,*,#15695,.T.); #20079=ORIENTED_EDGE('',*,*,#15695,.F.); #20080=ORIENTED_EDGE('',*,*,#15641,.T.); #20081=ORIENTED_EDGE('',*,*,#15643,.T.); #20082=ORIENTED_EDGE('',*,*,#15696,.F.); #20083=ORIENTED_EDGE('',*,*,#15697,.T.); #20084=ORIENTED_EDGE('',*,*,#15697,.F.); #20085=ORIENTED_EDGE('',*,*,#15646,.T.); #20086=ORIENTED_EDGE('',*,*,#15648,.T.); #20087=ORIENTED_EDGE('',*,*,#15698,.F.); #20088=ORIENTED_EDGE('',*,*,#15699,.T.); #20089=ORIENTED_EDGE('',*,*,#15699,.F.); #20090=ORIENTED_EDGE('',*,*,#15651,.T.); #20091=ORIENTED_EDGE('',*,*,#15653,.T.); #20092=ORIENTED_EDGE('',*,*,#15700,.F.); #20093=ORIENTED_EDGE('',*,*,#15701,.F.); #20094=ORIENTED_EDGE('',*,*,#15702,.F.); #20095=ORIENTED_EDGE('',*,*,#15703,.T.); #20096=ORIENTED_EDGE('',*,*,#15703,.F.); #20097=ORIENTED_EDGE('',*,*,#15660,.T.); #20098=ORIENTED_EDGE('',*,*,#15658,.T.); #20099=ORIENTED_EDGE('',*,*,#15704,.T.); #20100=ORIENTED_EDGE('',*,*,#15704,.F.); #20101=ORIENTED_EDGE('',*,*,#15661,.T.); #20102=ORIENTED_EDGE('',*,*,#15663,.T.); #20103=ORIENTED_EDGE('',*,*,#15705,.F.); #20104=ORIENTED_EDGE('',*,*,#15706,.T.); #20105=ORIENTED_EDGE('',*,*,#15706,.F.); #20106=ORIENTED_EDGE('',*,*,#15666,.T.); #20107=ORIENTED_EDGE('',*,*,#15668,.T.); #20108=ORIENTED_EDGE('',*,*,#15707,.F.); #20109=ORIENTED_EDGE('',*,*,#15708,.T.); #20110=ORIENTED_EDGE('',*,*,#15708,.F.); #20111=ORIENTED_EDGE('',*,*,#15671,.T.); #20112=ORIENTED_EDGE('',*,*,#15673,.T.); #20113=ORIENTED_EDGE('',*,*,#15709,.F.); #20114=ORIENTED_EDGE('',*,*,#15710,.T.); #20115=ORIENTED_EDGE('',*,*,#15710,.F.); #20116=ORIENTED_EDGE('',*,*,#15676,.T.); #20117=ORIENTED_EDGE('',*,*,#15678,.T.); #20118=ORIENTED_EDGE('',*,*,#15711,.F.); #20119=ORIENTED_EDGE('',*,*,#15712,.T.); #20120=ORIENTED_EDGE('',*,*,#15712,.F.); #20121=ORIENTED_EDGE('',*,*,#15681,.T.); #20122=ORIENTED_EDGE('',*,*,#15683,.T.); #20123=ORIENTED_EDGE('',*,*,#15713,.F.); #20124=ORIENTED_EDGE('',*,*,#15714,.F.); #20125=ORIENTED_EDGE('',*,*,#15715,.F.); #20126=ORIENTED_EDGE('',*,*,#15716,.F.); #20127=ORIENTED_EDGE('',*,*,#15716,.T.); #20128=ORIENTED_EDGE('',*,*,#15686,.T.); #20129=ORIENTED_EDGE('',*,*,#15689,.T.); #20130=ORIENTED_EDGE('',*,*,#15714,.T.); #20131=ORIENTED_EDGE('',*,*,#15717,.T.); #20132=ORIENTED_EDGE('',*,*,#15620,.F.); #20133=ORIENTED_EDGE('',*,*,#15718,.T.); #20134=ORIENTED_EDGE('',*,*,#15702,.T.); #20135=ORIENTED_EDGE('',*,*,#15719,.T.); #20136=ORIENTED_EDGE('',*,*,#15719,.F.); #20137=ORIENTED_EDGE('',*,*,#15701,.T.); #20138=ORIENTED_EDGE('',*,*,#15718,.F.); #20139=ORIENTED_EDGE('',*,*,#15621,.F.); #20140=ORIENTED_EDGE('',*,*,#15717,.F.); #20141=ORIENTED_EDGE('',*,*,#15715,.T.); #20142=ORIENTED_EDGE('',*,*,#15720,.F.); #20143=ORIENTED_EDGE('',*,*,#15720,.T.); #20144=ORIENTED_EDGE('',*,*,#15635,.T.); #20145=ORIENTED_EDGE('',*,*,#15640,.T.); #20146=ORIENTED_EDGE('',*,*,#15645,.T.); #20147=ORIENTED_EDGE('',*,*,#15650,.T.); #20148=ORIENTED_EDGE('',*,*,#15655,.T.); #20149=ORIENTED_EDGE('',*,*,#15656,.T.); #20150=ORIENTED_EDGE('',*,*,#15665,.T.); #20151=ORIENTED_EDGE('',*,*,#15670,.T.); #20152=ORIENTED_EDGE('',*,*,#15675,.T.); #20153=ORIENTED_EDGE('',*,*,#15680,.T.); #20154=ORIENTED_EDGE('',*,*,#15685,.T.); #20155=ORIENTED_EDGE('',*,*,#15688,.T.); #20156=ORIENTED_EDGE('',*,*,#15692,.T.); #20157=ORIENTED_EDGE('',*,*,#15694,.T.); #20158=ORIENTED_EDGE('',*,*,#15696,.T.); #20159=ORIENTED_EDGE('',*,*,#15698,.T.); #20160=ORIENTED_EDGE('',*,*,#15700,.T.); #20161=ORIENTED_EDGE('',*,*,#15705,.T.); #20162=ORIENTED_EDGE('',*,*,#15707,.T.); #20163=ORIENTED_EDGE('',*,*,#15709,.T.); #20164=ORIENTED_EDGE('',*,*,#15711,.T.); #20165=ORIENTED_EDGE('',*,*,#15713,.T.); #20166=ORIENTED_EDGE('',*,*,#15609,.T.); #20167=ORIENTED_EDGE('',*,*,#15721,.F.); #20168=ORIENTED_EDGE('',*,*,#15722,.F.); #20169=ORIENTED_EDGE('',*,*,#15723,.T.); #20170=ORIENTED_EDGE('',*,*,#15724,.T.); #20171=ORIENTED_EDGE('',*,*,#15725,.T.); #20172=ORIENTED_EDGE('',*,*,#15723,.F.); #20173=ORIENTED_EDGE('',*,*,#15726,.F.); #20174=ORIENTED_EDGE('',*,*,#15727,.F.); #20175=ORIENTED_EDGE('',*,*,#15728,.T.); #20176=ORIENTED_EDGE('',*,*,#15729,.T.); #20177=ORIENTED_EDGE('',*,*,#15730,.T.); #20178=ORIENTED_EDGE('',*,*,#15728,.F.); #20179=ORIENTED_EDGE('',*,*,#15721,.T.); #20180=ORIENTED_EDGE('',*,*,#15722,.T.); #20181=ORIENTED_EDGE('',*,*,#15731,.T.); #20182=ORIENTED_EDGE('',*,*,#15614,.T.); #20183=ORIENTED_EDGE('',*,*,#15611,.T.); #20184=ORIENTED_EDGE('',*,*,#15731,.F.); #20185=ORIENTED_EDGE('',*,*,#15726,.T.); #20186=ORIENTED_EDGE('',*,*,#15727,.T.); #20187=ORIENTED_EDGE('',*,*,#15732,.T.); #20188=ORIENTED_EDGE('',*,*,#15724,.F.); #20189=ORIENTED_EDGE('',*,*,#15725,.F.); #20190=ORIENTED_EDGE('',*,*,#15732,.F.); #20191=ORIENTED_EDGE('',*,*,#15623,.T.); #20192=ORIENTED_EDGE('',*,*,#15733,.T.); #20193=ORIENTED_EDGE('',*,*,#15729,.F.); #20194=ORIENTED_EDGE('',*,*,#15730,.F.); #20195=ORIENTED_EDGE('',*,*,#15733,.F.); #20196=ORIENTED_EDGE('',*,*,#15734,.T.); #20197=ORIENTED_EDGE('',*,*,#15735,.T.); #20198=ORIENTED_EDGE('',*,*,#15736,.T.); #20199=ORIENTED_EDGE('',*,*,#15737,.T.); #20200=ORIENTED_EDGE('',*,*,#15737,.F.); #20201=ORIENTED_EDGE('',*,*,#15738,.T.); #20202=ORIENTED_EDGE('',*,*,#15739,.T.); #20203=ORIENTED_EDGE('',*,*,#15740,.T.); #20204=ORIENTED_EDGE('',*,*,#15736,.F.); #20205=ORIENTED_EDGE('',*,*,#15741,.F.); #20206=ORIENTED_EDGE('',*,*,#15742,.F.); #20207=ORIENTED_EDGE('',*,*,#15743,.F.); #20208=ORIENTED_EDGE('',*,*,#15744,.F.); #20209=ORIENTED_EDGE('',*,*,#15745,.F.); #20210=ORIENTED_EDGE('',*,*,#15746,.F.); #20211=ORIENTED_EDGE('',*,*,#15747,.F.); #20212=ORIENTED_EDGE('',*,*,#15748,.F.); #20213=ORIENTED_EDGE('',*,*,#15738,.F.); #20214=ORIENTED_EDGE('',*,*,#15749,.T.); #20215=ORIENTED_EDGE('',*,*,#15750,.T.); #20216=ORIENTED_EDGE('',*,*,#15751,.T.); #20217=ORIENTED_EDGE('',*,*,#15752,.T.); #20218=ORIENTED_EDGE('',*,*,#15746,.T.); #20219=ORIENTED_EDGE('',*,*,#15753,.T.); #20220=ORIENTED_EDGE('',*,*,#15752,.F.); #20221=ORIENTED_EDGE('',*,*,#15754,.T.); #20222=ORIENTED_EDGE('',*,*,#15755,.F.); #20223=ORIENTED_EDGE('',*,*,#15747,.T.); #20224=ORIENTED_EDGE('',*,*,#15756,.F.); #20225=ORIENTED_EDGE('',*,*,#15757,.T.); #20226=ORIENTED_EDGE('',*,*,#15749,.F.); #20227=ORIENTED_EDGE('',*,*,#15757,.F.); #20228=ORIENTED_EDGE('',*,*,#15758,.F.); #20229=ORIENTED_EDGE('',*,*,#15759,.T.); #20230=ORIENTED_EDGE('',*,*,#15750,.F.); #20231=ORIENTED_EDGE('',*,*,#15759,.F.); #20232=ORIENTED_EDGE('',*,*,#15735,.F.); #20233=ORIENTED_EDGE('',*,*,#15760,.T.); #20234=ORIENTED_EDGE('',*,*,#15761,.F.); #20235=ORIENTED_EDGE('',*,*,#15741,.T.); #20236=ORIENTED_EDGE('',*,*,#15762,.T.); #20237=ORIENTED_EDGE('',*,*,#15763,.F.); #20238=ORIENTED_EDGE('',*,*,#15742,.T.); #20239=ORIENTED_EDGE('',*,*,#15761,.T.); #20240=ORIENTED_EDGE('',*,*,#15764,.T.); #20241=ORIENTED_EDGE('',*,*,#15765,.F.); #20242=ORIENTED_EDGE('',*,*,#15743,.T.); #20243=ORIENTED_EDGE('',*,*,#15763,.T.); #20244=ORIENTED_EDGE('',*,*,#15766,.T.); #20245=ORIENTED_EDGE('',*,*,#15767,.F.); #20246=ORIENTED_EDGE('',*,*,#15744,.T.); #20247=ORIENTED_EDGE('',*,*,#15765,.T.); #20248=ORIENTED_EDGE('',*,*,#15753,.F.); #20249=ORIENTED_EDGE('',*,*,#15745,.T.); #20250=ORIENTED_EDGE('',*,*,#15767,.T.); #20251=ORIENTED_EDGE('',*,*,#15768,.T.); #20252=ORIENTED_EDGE('',*,*,#15769,.T.); #20253=ORIENTED_EDGE('',*,*,#15739,.F.); #20254=ORIENTED_EDGE('',*,*,#15748,.T.); #20255=ORIENTED_EDGE('',*,*,#15755,.T.); #20256=ORIENTED_EDGE('',*,*,#15734,.F.); #20257=ORIENTED_EDGE('',*,*,#15740,.F.); #20258=ORIENTED_EDGE('',*,*,#15769,.F.); #20259=ORIENTED_EDGE('',*,*,#15754,.F.); #20260=ORIENTED_EDGE('',*,*,#15751,.F.); #20261=ORIENTED_EDGE('',*,*,#15768,.F.); #20262=ORIENTED_EDGE('',*,*,#15766,.F.); #20263=ORIENTED_EDGE('',*,*,#15764,.F.); #20264=ORIENTED_EDGE('',*,*,#15762,.F.); #20265=ORIENTED_EDGE('',*,*,#15760,.F.); #20266=ORIENTED_EDGE('',*,*,#15756,.T.); #20267=ORIENTED_EDGE('',*,*,#15758,.T.); #20268=ORIENTED_EDGE('',*,*,#15770,.T.); #20269=ORIENTED_EDGE('',*,*,#15771,.T.); #20270=ORIENTED_EDGE('',*,*,#15772,.T.); #20271=ORIENTED_EDGE('',*,*,#15773,.F.); #20272=ORIENTED_EDGE('',*,*,#15774,.T.); #20273=ORIENTED_EDGE('',*,*,#15775,.T.); #20274=ORIENTED_EDGE('',*,*,#15776,.T.); #20275=ORIENTED_EDGE('',*,*,#15777,.F.); #20276=ORIENTED_EDGE('',*,*,#15778,.T.); #20277=ORIENTED_EDGE('',*,*,#15779,.T.); #20278=ORIENTED_EDGE('',*,*,#15780,.T.); #20279=ORIENTED_EDGE('',*,*,#15781,.F.); #20280=ORIENTED_EDGE('',*,*,#15782,.T.); #20281=ORIENTED_EDGE('',*,*,#15783,.T.); #20282=ORIENTED_EDGE('',*,*,#15784,.T.); #20283=ORIENTED_EDGE('',*,*,#15785,.F.); #20284=ORIENTED_EDGE('',*,*,#15786,.T.); #20285=ORIENTED_EDGE('',*,*,#15787,.T.); #20286=ORIENTED_EDGE('',*,*,#15788,.T.); #20287=ORIENTED_EDGE('',*,*,#15789,.F.); #20288=ORIENTED_EDGE('',*,*,#15790,.T.); #20289=ORIENTED_EDGE('',*,*,#15791,.T.); #20290=ORIENTED_EDGE('',*,*,#15792,.T.); #20291=ORIENTED_EDGE('',*,*,#15793,.F.); #20292=ORIENTED_EDGE('',*,*,#15794,.T.); #20293=ORIENTED_EDGE('',*,*,#15795,.T.); #20294=ORIENTED_EDGE('',*,*,#15796,.T.); #20295=ORIENTED_EDGE('',*,*,#15797,.F.); #20296=ORIENTED_EDGE('',*,*,#15798,.T.); #20297=ORIENTED_EDGE('',*,*,#15799,.T.); #20298=ORIENTED_EDGE('',*,*,#15800,.T.); #20299=ORIENTED_EDGE('',*,*,#15801,.F.); #20300=ORIENTED_EDGE('',*,*,#15802,.T.); #20301=ORIENTED_EDGE('',*,*,#15803,.T.); #20302=ORIENTED_EDGE('',*,*,#15804,.T.); #20303=ORIENTED_EDGE('',*,*,#15805,.F.); #20304=ORIENTED_EDGE('',*,*,#15806,.T.); #20305=ORIENTED_EDGE('',*,*,#15807,.T.); #20306=ORIENTED_EDGE('',*,*,#15808,.T.); #20307=ORIENTED_EDGE('',*,*,#15809,.F.); #20308=ORIENTED_EDGE('',*,*,#15810,.T.); #20309=ORIENTED_EDGE('',*,*,#15811,.T.); #20310=ORIENTED_EDGE('',*,*,#15812,.T.); #20311=ORIENTED_EDGE('',*,*,#15813,.F.); #20312=ORIENTED_EDGE('',*,*,#15814,.T.); #20313=ORIENTED_EDGE('',*,*,#15815,.T.); #20314=ORIENTED_EDGE('',*,*,#15816,.T.); #20315=ORIENTED_EDGE('',*,*,#15817,.F.); #20316=ORIENTED_EDGE('',*,*,#15818,.F.); #20317=ORIENTED_EDGE('',*,*,#15770,.F.); #20318=ORIENTED_EDGE('',*,*,#15819,.T.); #20319=ORIENTED_EDGE('',*,*,#15820,.T.); #20320=ORIENTED_EDGE('',*,*,#15821,.F.); #20321=ORIENTED_EDGE('',*,*,#15822,.F.); #20322=ORIENTED_EDGE('',*,*,#15823,.F.); #20323=ORIENTED_EDGE('',*,*,#15811,.F.); #20324=ORIENTED_EDGE('',*,*,#15824,.T.); #20325=ORIENTED_EDGE('',*,*,#15825,.F.); #20326=ORIENTED_EDGE('',*,*,#15826,.F.); #20327=ORIENTED_EDGE('',*,*,#15807,.F.); #20328=ORIENTED_EDGE('',*,*,#15827,.T.); #20329=ORIENTED_EDGE('',*,*,#15828,.F.); #20330=ORIENTED_EDGE('',*,*,#15829,.F.); #20331=ORIENTED_EDGE('',*,*,#15803,.F.); #20332=ORIENTED_EDGE('',*,*,#15830,.T.); #20333=ORIENTED_EDGE('',*,*,#15831,.F.); #20334=ORIENTED_EDGE('',*,*,#15832,.F.); #20335=ORIENTED_EDGE('',*,*,#15799,.F.); #20336=ORIENTED_EDGE('',*,*,#15833,.T.); #20337=ORIENTED_EDGE('',*,*,#15834,.F.); #20338=ORIENTED_EDGE('',*,*,#15835,.F.); #20339=ORIENTED_EDGE('',*,*,#15795,.F.); #20340=ORIENTED_EDGE('',*,*,#15836,.T.); #20341=ORIENTED_EDGE('',*,*,#15837,.F.); #20342=ORIENTED_EDGE('',*,*,#15838,.F.); #20343=ORIENTED_EDGE('',*,*,#15791,.F.); #20344=ORIENTED_EDGE('',*,*,#15839,.T.); #20345=ORIENTED_EDGE('',*,*,#15840,.F.); #20346=ORIENTED_EDGE('',*,*,#15841,.F.); #20347=ORIENTED_EDGE('',*,*,#15787,.F.); #20348=ORIENTED_EDGE('',*,*,#15842,.T.); #20349=ORIENTED_EDGE('',*,*,#15843,.F.); #20350=ORIENTED_EDGE('',*,*,#15844,.F.); #20351=ORIENTED_EDGE('',*,*,#15783,.F.); #20352=ORIENTED_EDGE('',*,*,#15845,.T.); #20353=ORIENTED_EDGE('',*,*,#15846,.T.); #20354=ORIENTED_EDGE('',*,*,#15847,.F.); #20355=ORIENTED_EDGE('',*,*,#15848,.F.); #20356=ORIENTED_EDGE('',*,*,#15779,.F.); #20357=ORIENTED_EDGE('',*,*,#15849,.T.); #20358=ORIENTED_EDGE('',*,*,#15850,.F.); #20359=ORIENTED_EDGE('',*,*,#15851,.F.); #20360=ORIENTED_EDGE('',*,*,#15775,.F.); #20361=ORIENTED_EDGE('',*,*,#15821,.T.); #20362=ORIENTED_EDGE('',*,*,#15852,.F.); #20363=ORIENTED_EDGE('',*,*,#15853,.F.); #20364=ORIENTED_EDGE('',*,*,#15771,.F.); #20365=ORIENTED_EDGE('',*,*,#15854,.F.); #20366=ORIENTED_EDGE('',*,*,#15815,.F.); #20367=ORIENTED_EDGE('',*,*,#15855,.T.); #20368=ORIENTED_EDGE('',*,*,#15856,.F.); #20369=ORIENTED_EDGE('',*,*,#15820,.F.); #20370=ORIENTED_EDGE('',*,*,#15857,.T.); #20371=ORIENTED_EDGE('',*,*,#15858,.F.); #20372=ORIENTED_EDGE('',*,*,#15856,.T.); #20373=ORIENTED_EDGE('',*,*,#15859,.F.); #20374=ORIENTED_EDGE('',*,*,#15860,.T.); #20375=ORIENTED_EDGE('',*,*,#15861,.F.); #20376=ORIENTED_EDGE('',*,*,#15822,.T.); #20377=ORIENTED_EDGE('',*,*,#15862,.F.); #20378=ORIENTED_EDGE('',*,*,#15863,.T.); #20379=ORIENTED_EDGE('',*,*,#15864,.F.); #20380=ORIENTED_EDGE('',*,*,#15825,.T.); #20381=ORIENTED_EDGE('',*,*,#15865,.F.); #20382=ORIENTED_EDGE('',*,*,#15866,.T.); #20383=ORIENTED_EDGE('',*,*,#15867,.F.); #20384=ORIENTED_EDGE('',*,*,#15828,.T.); #20385=ORIENTED_EDGE('',*,*,#15868,.F.); #20386=ORIENTED_EDGE('',*,*,#15869,.T.); #20387=ORIENTED_EDGE('',*,*,#15870,.F.); #20388=ORIENTED_EDGE('',*,*,#15831,.T.); #20389=ORIENTED_EDGE('',*,*,#15871,.F.); #20390=ORIENTED_EDGE('',*,*,#15872,.T.); #20391=ORIENTED_EDGE('',*,*,#15873,.F.); #20392=ORIENTED_EDGE('',*,*,#15834,.T.); #20393=ORIENTED_EDGE('',*,*,#15874,.F.); #20394=ORIENTED_EDGE('',*,*,#15875,.T.); #20395=ORIENTED_EDGE('',*,*,#15876,.F.); #20396=ORIENTED_EDGE('',*,*,#15837,.T.); #20397=ORIENTED_EDGE('',*,*,#15877,.F.); #20398=ORIENTED_EDGE('',*,*,#15878,.T.); #20399=ORIENTED_EDGE('',*,*,#15879,.F.); #20400=ORIENTED_EDGE('',*,*,#15840,.T.); #20401=ORIENTED_EDGE('',*,*,#15880,.F.); #20402=ORIENTED_EDGE('',*,*,#15881,.T.); #20403=ORIENTED_EDGE('',*,*,#15882,.F.); #20404=ORIENTED_EDGE('',*,*,#15843,.T.); #20405=ORIENTED_EDGE('',*,*,#15883,.F.); #20406=ORIENTED_EDGE('',*,*,#15884,.T.); #20407=ORIENTED_EDGE('',*,*,#15885,.F.); #20408=ORIENTED_EDGE('',*,*,#15847,.T.); #20409=ORIENTED_EDGE('',*,*,#15886,.F.); #20410=ORIENTED_EDGE('',*,*,#15887,.T.); #20411=ORIENTED_EDGE('',*,*,#15888,.F.); #20412=ORIENTED_EDGE('',*,*,#15850,.T.); #20413=ORIENTED_EDGE('',*,*,#15889,.F.); #20414=ORIENTED_EDGE('',*,*,#15890,.T.); #20415=ORIENTED_EDGE('',*,*,#15891,.F.); #20416=ORIENTED_EDGE('',*,*,#15852,.T.); #20417=ORIENTED_EDGE('',*,*,#15892,.F.); #20418=ORIENTED_EDGE('',*,*,#15893,.F.); #20419=ORIENTED_EDGE('',*,*,#15894,.F.); #20420=ORIENTED_EDGE('',*,*,#15895,.F.); #20421=ORIENTED_EDGE('',*,*,#15896,.F.); #20422=ORIENTED_EDGE('',*,*,#15897,.F.); #20423=ORIENTED_EDGE('',*,*,#15898,.F.); #20424=ORIENTED_EDGE('',*,*,#15899,.F.); #20425=ORIENTED_EDGE('',*,*,#15900,.F.); #20426=ORIENTED_EDGE('',*,*,#15901,.F.); #20427=ORIENTED_EDGE('',*,*,#15902,.F.); #20428=ORIENTED_EDGE('',*,*,#15903,.F.); #20429=ORIENTED_EDGE('',*,*,#15904,.F.); #20430=ORIENTED_EDGE('',*,*,#15905,.F.); #20431=ORIENTED_EDGE('',*,*,#15906,.F.); #20432=ORIENTED_EDGE('',*,*,#15907,.F.); #20433=ORIENTED_EDGE('',*,*,#15908,.F.); #20434=ORIENTED_EDGE('',*,*,#15909,.F.); #20435=ORIENTED_EDGE('',*,*,#15910,.F.); #20436=ORIENTED_EDGE('',*,*,#15911,.F.); #20437=ORIENTED_EDGE('',*,*,#15912,.F.); #20438=ORIENTED_EDGE('',*,*,#15913,.F.); #20439=ORIENTED_EDGE('',*,*,#15914,.F.); #20440=ORIENTED_EDGE('',*,*,#15915,.F.); #20441=ORIENTED_EDGE('',*,*,#15916,.F.); #20442=ORIENTED_EDGE('',*,*,#15917,.F.); #20443=ORIENTED_EDGE('',*,*,#15918,.F.); #20444=ORIENTED_EDGE('',*,*,#15919,.F.); #20445=ORIENTED_EDGE('',*,*,#15920,.F.); #20446=ORIENTED_EDGE('',*,*,#15921,.F.); #20447=ORIENTED_EDGE('',*,*,#15922,.F.); #20448=ORIENTED_EDGE('',*,*,#15923,.F.); #20449=ORIENTED_EDGE('',*,*,#15924,.F.); #20450=ORIENTED_EDGE('',*,*,#15925,.F.); #20451=ORIENTED_EDGE('',*,*,#15926,.F.); #20452=ORIENTED_EDGE('',*,*,#15927,.F.); #20453=ORIENTED_EDGE('',*,*,#15928,.F.); #20454=ORIENTED_EDGE('',*,*,#15929,.F.); #20455=ORIENTED_EDGE('',*,*,#15930,.F.); #20456=ORIENTED_EDGE('',*,*,#15931,.F.); #20457=ORIENTED_EDGE('',*,*,#15932,.F.); #20458=ORIENTED_EDGE('',*,*,#15933,.F.); #20459=ORIENTED_EDGE('',*,*,#15934,.F.); #20460=ORIENTED_EDGE('',*,*,#15935,.F.); #20461=ORIENTED_EDGE('',*,*,#15936,.F.); #20462=ORIENTED_EDGE('',*,*,#15937,.F.); #20463=ORIENTED_EDGE('',*,*,#15938,.F.); #20464=ORIENTED_EDGE('',*,*,#15939,.F.); #20465=ORIENTED_EDGE('',*,*,#15940,.F.); #20466=ORIENTED_EDGE('',*,*,#15941,.F.); #20467=ORIENTED_EDGE('',*,*,#15942,.F.); #20468=ORIENTED_EDGE('',*,*,#15943,.F.); #20469=ORIENTED_EDGE('',*,*,#15944,.F.); #20470=ORIENTED_EDGE('',*,*,#15945,.F.); #20471=ORIENTED_EDGE('',*,*,#15946,.F.); #20472=ORIENTED_EDGE('',*,*,#15947,.F.); #20473=ORIENTED_EDGE('',*,*,#15948,.F.); #20474=ORIENTED_EDGE('',*,*,#15949,.F.); #20475=ORIENTED_EDGE('',*,*,#15950,.F.); #20476=ORIENTED_EDGE('',*,*,#15951,.F.); #20477=ORIENTED_EDGE('',*,*,#15952,.F.); #20478=ORIENTED_EDGE('',*,*,#15953,.F.); #20479=ORIENTED_EDGE('',*,*,#15954,.F.); #20480=ORIENTED_EDGE('',*,*,#15955,.F.); #20481=ORIENTED_EDGE('',*,*,#15956,.F.); #20482=ORIENTED_EDGE('',*,*,#15957,.F.); #20483=ORIENTED_EDGE('',*,*,#15958,.F.); #20484=ORIENTED_EDGE('',*,*,#15959,.F.); #20485=ORIENTED_EDGE('',*,*,#15960,.F.); #20486=ORIENTED_EDGE('',*,*,#15961,.F.); #20487=ORIENTED_EDGE('',*,*,#15962,.F.); #20488=ORIENTED_EDGE('',*,*,#15963,.F.); #20489=ORIENTED_EDGE('',*,*,#15964,.F.); #20490=ORIENTED_EDGE('',*,*,#15965,.F.); #20491=ORIENTED_EDGE('',*,*,#15966,.F.); #20492=ORIENTED_EDGE('',*,*,#15967,.F.); #20493=ORIENTED_EDGE('',*,*,#15968,.F.); #20494=ORIENTED_EDGE('',*,*,#15969,.F.); #20495=ORIENTED_EDGE('',*,*,#15970,.F.); #20496=ORIENTED_EDGE('',*,*,#15971,.F.); #20497=ORIENTED_EDGE('',*,*,#15972,.F.); #20498=ORIENTED_EDGE('',*,*,#15973,.F.); #20499=ORIENTED_EDGE('',*,*,#15974,.F.); #20500=ORIENTED_EDGE('',*,*,#15975,.F.); #20501=ORIENTED_EDGE('',*,*,#15976,.F.); #20502=ORIENTED_EDGE('',*,*,#15977,.F.); #20503=ORIENTED_EDGE('',*,*,#15978,.F.); #20504=ORIENTED_EDGE('',*,*,#15979,.F.); #20505=ORIENTED_EDGE('',*,*,#15980,.F.); #20506=ORIENTED_EDGE('',*,*,#15981,.F.); #20507=ORIENTED_EDGE('',*,*,#15982,.F.); #20508=ORIENTED_EDGE('',*,*,#15983,.F.); #20509=ORIENTED_EDGE('',*,*,#15984,.F.); #20510=ORIENTED_EDGE('',*,*,#15985,.F.); #20511=ORIENTED_EDGE('',*,*,#15986,.F.); #20512=ORIENTED_EDGE('',*,*,#15987,.F.); #20513=ORIENTED_EDGE('',*,*,#15988,.F.); #20514=ORIENTED_EDGE('',*,*,#15989,.F.); #20515=ORIENTED_EDGE('',*,*,#15990,.F.); #20516=ORIENTED_EDGE('',*,*,#15991,.F.); #20517=ORIENTED_EDGE('',*,*,#15992,.F.); #20518=ORIENTED_EDGE('',*,*,#15993,.F.); #20519=ORIENTED_EDGE('',*,*,#15994,.F.); #20520=ORIENTED_EDGE('',*,*,#15995,.F.); #20521=ORIENTED_EDGE('',*,*,#15996,.F.); #20522=ORIENTED_EDGE('',*,*,#15997,.F.); #20523=ORIENTED_EDGE('',*,*,#15998,.F.); #20524=ORIENTED_EDGE('',*,*,#15999,.F.); #20525=ORIENTED_EDGE('',*,*,#16000,.F.); #20526=ORIENTED_EDGE('',*,*,#16001,.F.); #20527=ORIENTED_EDGE('',*,*,#16002,.F.); #20528=ORIENTED_EDGE('',*,*,#16003,.F.); #20529=ORIENTED_EDGE('',*,*,#16004,.F.); #20530=ORIENTED_EDGE('',*,*,#16005,.F.); #20531=ORIENTED_EDGE('',*,*,#16006,.F.); #20532=ORIENTED_EDGE('',*,*,#16007,.F.); #20533=ORIENTED_EDGE('',*,*,#16008,.F.); #20534=ORIENTED_EDGE('',*,*,#16009,.F.); #20535=ORIENTED_EDGE('',*,*,#16010,.F.); #20536=ORIENTED_EDGE('',*,*,#16011,.F.); #20537=ORIENTED_EDGE('',*,*,#16012,.F.); #20538=ORIENTED_EDGE('',*,*,#16013,.F.); #20539=ORIENTED_EDGE('',*,*,#16014,.F.); #20540=ORIENTED_EDGE('',*,*,#16015,.F.); #20541=ORIENTED_EDGE('',*,*,#16016,.F.); #20542=ORIENTED_EDGE('',*,*,#16017,.F.); #20543=ORIENTED_EDGE('',*,*,#16018,.F.); #20544=ORIENTED_EDGE('',*,*,#16019,.F.); #20545=ORIENTED_EDGE('',*,*,#16020,.F.); #20546=ORIENTED_EDGE('',*,*,#16021,.F.); #20547=ORIENTED_EDGE('',*,*,#16022,.F.); #20548=ORIENTED_EDGE('',*,*,#16023,.F.); #20549=ORIENTED_EDGE('',*,*,#16024,.F.); #20550=ORIENTED_EDGE('',*,*,#16025,.F.); #20551=ORIENTED_EDGE('',*,*,#16026,.F.); #20552=ORIENTED_EDGE('',*,*,#16027,.F.); #20553=ORIENTED_EDGE('',*,*,#16028,.F.); #20554=ORIENTED_EDGE('',*,*,#16029,.F.); #20555=ORIENTED_EDGE('',*,*,#16030,.F.); #20556=ORIENTED_EDGE('',*,*,#16031,.F.); #20557=ORIENTED_EDGE('',*,*,#16032,.F.); #20558=ORIENTED_EDGE('',*,*,#16033,.F.); #20559=ORIENTED_EDGE('',*,*,#16034,.F.); #20560=ORIENTED_EDGE('',*,*,#16035,.F.); #20561=ORIENTED_EDGE('',*,*,#16036,.F.); #20562=ORIENTED_EDGE('',*,*,#16037,.F.); #20563=ORIENTED_EDGE('',*,*,#16038,.F.); #20564=ORIENTED_EDGE('',*,*,#16039,.F.); #20565=ORIENTED_EDGE('',*,*,#16040,.F.); #20566=ORIENTED_EDGE('',*,*,#16041,.F.); #20567=ORIENTED_EDGE('',*,*,#16042,.F.); #20568=ORIENTED_EDGE('',*,*,#16043,.F.); #20569=ORIENTED_EDGE('',*,*,#16044,.F.); #20570=ORIENTED_EDGE('',*,*,#16045,.F.); #20571=ORIENTED_EDGE('',*,*,#16046,.F.); #20572=ORIENTED_EDGE('',*,*,#16047,.F.); #20573=ORIENTED_EDGE('',*,*,#16048,.F.); #20574=ORIENTED_EDGE('',*,*,#16049,.F.); #20575=ORIENTED_EDGE('',*,*,#16050,.F.); #20576=ORIENTED_EDGE('',*,*,#16051,.F.); #20577=ORIENTED_EDGE('',*,*,#16052,.F.); #20578=ORIENTED_EDGE('',*,*,#16053,.F.); #20579=ORIENTED_EDGE('',*,*,#16054,.F.); #20580=ORIENTED_EDGE('',*,*,#16055,.F.); #20581=ORIENTED_EDGE('',*,*,#16056,.F.); #20582=ORIENTED_EDGE('',*,*,#16057,.F.); #20583=ORIENTED_EDGE('',*,*,#16058,.F.); #20584=ORIENTED_EDGE('',*,*,#16059,.F.); #20585=ORIENTED_EDGE('',*,*,#16060,.F.); #20586=ORIENTED_EDGE('',*,*,#16061,.F.); #20587=ORIENTED_EDGE('',*,*,#16062,.F.); #20588=ORIENTED_EDGE('',*,*,#16063,.F.); #20589=ORIENTED_EDGE('',*,*,#16064,.F.); #20590=ORIENTED_EDGE('',*,*,#16065,.F.); #20591=ORIENTED_EDGE('',*,*,#16066,.F.); #20592=ORIENTED_EDGE('',*,*,#16067,.F.); #20593=ORIENTED_EDGE('',*,*,#16068,.F.); #20594=ORIENTED_EDGE('',*,*,#16069,.F.); #20595=ORIENTED_EDGE('',*,*,#16070,.F.); #20596=ORIENTED_EDGE('',*,*,#16071,.F.); #20597=ORIENTED_EDGE('',*,*,#16072,.F.); #20598=ORIENTED_EDGE('',*,*,#16073,.F.); #20599=ORIENTED_EDGE('',*,*,#16074,.F.); #20600=ORIENTED_EDGE('',*,*,#16075,.F.); #20601=ORIENTED_EDGE('',*,*,#16076,.F.); #20602=ORIENTED_EDGE('',*,*,#16077,.F.); #20603=ORIENTED_EDGE('',*,*,#16078,.F.); #20604=ORIENTED_EDGE('',*,*,#16079,.F.); #20605=ORIENTED_EDGE('',*,*,#16080,.F.); #20606=ORIENTED_EDGE('',*,*,#16081,.F.); #20607=ORIENTED_EDGE('',*,*,#16082,.F.); #20608=ORIENTED_EDGE('',*,*,#16083,.F.); #20609=ORIENTED_EDGE('',*,*,#16084,.F.); #20610=ORIENTED_EDGE('',*,*,#16085,.F.); #20611=ORIENTED_EDGE('',*,*,#16086,.F.); #20612=ORIENTED_EDGE('',*,*,#16087,.F.); #20613=ORIENTED_EDGE('',*,*,#16088,.F.); #20614=ORIENTED_EDGE('',*,*,#16089,.F.); #20615=ORIENTED_EDGE('',*,*,#16090,.F.); #20616=ORIENTED_EDGE('',*,*,#16091,.F.); #20617=ORIENTED_EDGE('',*,*,#16092,.F.); #20618=ORIENTED_EDGE('',*,*,#16093,.F.); #20619=ORIENTED_EDGE('',*,*,#16094,.F.); #20620=ORIENTED_EDGE('',*,*,#16095,.F.); #20621=ORIENTED_EDGE('',*,*,#16096,.F.); #20622=ORIENTED_EDGE('',*,*,#16097,.F.); #20623=ORIENTED_EDGE('',*,*,#16098,.F.); #20624=ORIENTED_EDGE('',*,*,#16099,.F.); #20625=ORIENTED_EDGE('',*,*,#16100,.F.); #20626=ORIENTED_EDGE('',*,*,#16101,.F.); #20627=ORIENTED_EDGE('',*,*,#16102,.F.); #20628=ORIENTED_EDGE('',*,*,#16103,.F.); #20629=ORIENTED_EDGE('',*,*,#16104,.F.); #20630=ORIENTED_EDGE('',*,*,#16105,.F.); #20631=ORIENTED_EDGE('',*,*,#16106,.F.); #20632=ORIENTED_EDGE('',*,*,#16107,.F.); #20633=ORIENTED_EDGE('',*,*,#16108,.F.); #20634=ORIENTED_EDGE('',*,*,#16109,.F.); #20635=ORIENTED_EDGE('',*,*,#16110,.F.); #20636=ORIENTED_EDGE('',*,*,#16111,.F.); #20637=ORIENTED_EDGE('',*,*,#16112,.F.); #20638=ORIENTED_EDGE('',*,*,#16113,.F.); #20639=ORIENTED_EDGE('',*,*,#16114,.F.); #20640=ORIENTED_EDGE('',*,*,#16115,.F.); #20641=ORIENTED_EDGE('',*,*,#16116,.F.); #20642=ORIENTED_EDGE('',*,*,#16117,.F.); #20643=ORIENTED_EDGE('',*,*,#16118,.F.); #20644=ORIENTED_EDGE('',*,*,#16119,.F.); #20645=ORIENTED_EDGE('',*,*,#16120,.F.); #20646=ORIENTED_EDGE('',*,*,#16121,.F.); #20647=ORIENTED_EDGE('',*,*,#16122,.F.); #20648=ORIENTED_EDGE('',*,*,#16123,.F.); #20649=ORIENTED_EDGE('',*,*,#16124,.F.); #20650=ORIENTED_EDGE('',*,*,#16125,.F.); #20651=ORIENTED_EDGE('',*,*,#16126,.F.); #20652=ORIENTED_EDGE('',*,*,#16127,.F.); #20653=ORIENTED_EDGE('',*,*,#16128,.F.); #20654=ORIENTED_EDGE('',*,*,#16129,.F.); #20655=ORIENTED_EDGE('',*,*,#16130,.F.); #20656=ORIENTED_EDGE('',*,*,#16131,.F.); #20657=ORIENTED_EDGE('',*,*,#16132,.F.); #20658=ORIENTED_EDGE('',*,*,#16133,.F.); #20659=ORIENTED_EDGE('',*,*,#16134,.F.); #20660=ORIENTED_EDGE('',*,*,#16135,.F.); #20661=ORIENTED_EDGE('',*,*,#16136,.F.); #20662=ORIENTED_EDGE('',*,*,#16137,.F.); #20663=ORIENTED_EDGE('',*,*,#16138,.F.); #20664=ORIENTED_EDGE('',*,*,#16139,.F.); #20665=ORIENTED_EDGE('',*,*,#16140,.F.); #20666=ORIENTED_EDGE('',*,*,#16141,.F.); #20667=ORIENTED_EDGE('',*,*,#16142,.F.); #20668=ORIENTED_EDGE('',*,*,#16143,.F.); #20669=ORIENTED_EDGE('',*,*,#16144,.F.); #20670=ORIENTED_EDGE('',*,*,#16145,.F.); #20671=ORIENTED_EDGE('',*,*,#16146,.F.); #20672=ORIENTED_EDGE('',*,*,#16147,.F.); #20673=ORIENTED_EDGE('',*,*,#16148,.F.); #20674=ORIENTED_EDGE('',*,*,#16149,.F.); #20675=ORIENTED_EDGE('',*,*,#16150,.F.); #20676=ORIENTED_EDGE('',*,*,#16151,.F.); #20677=ORIENTED_EDGE('',*,*,#16152,.F.); #20678=ORIENTED_EDGE('',*,*,#16153,.F.); #20679=ORIENTED_EDGE('',*,*,#15772,.F.); #20680=ORIENTED_EDGE('',*,*,#15853,.T.); #20681=ORIENTED_EDGE('',*,*,#15891,.T.); #20682=ORIENTED_EDGE('',*,*,#16154,.F.); #20683=ORIENTED_EDGE('',*,*,#15773,.T.); #20684=ORIENTED_EDGE('',*,*,#16154,.T.); #20685=ORIENTED_EDGE('',*,*,#15890,.F.); #20686=ORIENTED_EDGE('',*,*,#16155,.F.); #20687=ORIENTED_EDGE('',*,*,#15774,.F.); #20688=ORIENTED_EDGE('',*,*,#16155,.T.); #20689=ORIENTED_EDGE('',*,*,#15889,.T.); #20690=ORIENTED_EDGE('',*,*,#15849,.F.); #20691=ORIENTED_EDGE('',*,*,#15776,.F.); #20692=ORIENTED_EDGE('',*,*,#15851,.T.); #20693=ORIENTED_EDGE('',*,*,#15888,.T.); #20694=ORIENTED_EDGE('',*,*,#16156,.F.); #20695=ORIENTED_EDGE('',*,*,#15777,.T.); #20696=ORIENTED_EDGE('',*,*,#16156,.T.); #20697=ORIENTED_EDGE('',*,*,#15887,.F.); #20698=ORIENTED_EDGE('',*,*,#16157,.F.); #20699=ORIENTED_EDGE('',*,*,#15778,.F.); #20700=ORIENTED_EDGE('',*,*,#16157,.T.); #20701=ORIENTED_EDGE('',*,*,#15886,.T.); #20702=ORIENTED_EDGE('',*,*,#15846,.F.); #20703=ORIENTED_EDGE('',*,*,#15780,.F.); #20704=ORIENTED_EDGE('',*,*,#15848,.T.); #20705=ORIENTED_EDGE('',*,*,#15885,.T.); #20706=ORIENTED_EDGE('',*,*,#16158,.F.); #20707=ORIENTED_EDGE('',*,*,#15781,.T.); #20708=ORIENTED_EDGE('',*,*,#16158,.T.); #20709=ORIENTED_EDGE('',*,*,#15884,.F.); #20710=ORIENTED_EDGE('',*,*,#16159,.F.); #20711=ORIENTED_EDGE('',*,*,#15782,.F.); #20712=ORIENTED_EDGE('',*,*,#16159,.T.); #20713=ORIENTED_EDGE('',*,*,#15883,.T.); #20714=ORIENTED_EDGE('',*,*,#15845,.F.); #20715=ORIENTED_EDGE('',*,*,#15784,.F.); #20716=ORIENTED_EDGE('',*,*,#15844,.T.); #20717=ORIENTED_EDGE('',*,*,#15882,.T.); #20718=ORIENTED_EDGE('',*,*,#16160,.F.); #20719=ORIENTED_EDGE('',*,*,#15785,.T.); #20720=ORIENTED_EDGE('',*,*,#16160,.T.); #20721=ORIENTED_EDGE('',*,*,#15881,.F.); #20722=ORIENTED_EDGE('',*,*,#16161,.F.); #20723=ORIENTED_EDGE('',*,*,#15786,.F.); #20724=ORIENTED_EDGE('',*,*,#16161,.T.); #20725=ORIENTED_EDGE('',*,*,#15880,.T.); #20726=ORIENTED_EDGE('',*,*,#15842,.F.); #20727=ORIENTED_EDGE('',*,*,#15788,.F.); #20728=ORIENTED_EDGE('',*,*,#15841,.T.); #20729=ORIENTED_EDGE('',*,*,#15879,.T.); #20730=ORIENTED_EDGE('',*,*,#16162,.F.); #20731=ORIENTED_EDGE('',*,*,#15789,.T.); #20732=ORIENTED_EDGE('',*,*,#16162,.T.); #20733=ORIENTED_EDGE('',*,*,#15878,.F.); #20734=ORIENTED_EDGE('',*,*,#16163,.F.); #20735=ORIENTED_EDGE('',*,*,#15790,.F.); #20736=ORIENTED_EDGE('',*,*,#16163,.T.); #20737=ORIENTED_EDGE('',*,*,#15877,.T.); #20738=ORIENTED_EDGE('',*,*,#15839,.F.); #20739=ORIENTED_EDGE('',*,*,#15792,.F.); #20740=ORIENTED_EDGE('',*,*,#15838,.T.); #20741=ORIENTED_EDGE('',*,*,#15876,.T.); #20742=ORIENTED_EDGE('',*,*,#16164,.F.); #20743=ORIENTED_EDGE('',*,*,#15793,.T.); #20744=ORIENTED_EDGE('',*,*,#16164,.T.); #20745=ORIENTED_EDGE('',*,*,#15875,.F.); #20746=ORIENTED_EDGE('',*,*,#16165,.F.); #20747=ORIENTED_EDGE('',*,*,#15794,.F.); #20748=ORIENTED_EDGE('',*,*,#16165,.T.); #20749=ORIENTED_EDGE('',*,*,#15874,.T.); #20750=ORIENTED_EDGE('',*,*,#15836,.F.); #20751=ORIENTED_EDGE('',*,*,#15796,.F.); #20752=ORIENTED_EDGE('',*,*,#15835,.T.); #20753=ORIENTED_EDGE('',*,*,#15873,.T.); #20754=ORIENTED_EDGE('',*,*,#16166,.F.); #20755=ORIENTED_EDGE('',*,*,#15797,.T.); #20756=ORIENTED_EDGE('',*,*,#16166,.T.); #20757=ORIENTED_EDGE('',*,*,#15872,.F.); #20758=ORIENTED_EDGE('',*,*,#16167,.F.); #20759=ORIENTED_EDGE('',*,*,#15798,.F.); #20760=ORIENTED_EDGE('',*,*,#16167,.T.); #20761=ORIENTED_EDGE('',*,*,#15871,.T.); #20762=ORIENTED_EDGE('',*,*,#15833,.F.); #20763=ORIENTED_EDGE('',*,*,#15800,.F.); #20764=ORIENTED_EDGE('',*,*,#15832,.T.); #20765=ORIENTED_EDGE('',*,*,#15870,.T.); #20766=ORIENTED_EDGE('',*,*,#16168,.F.); #20767=ORIENTED_EDGE('',*,*,#15801,.T.); #20768=ORIENTED_EDGE('',*,*,#16168,.T.); #20769=ORIENTED_EDGE('',*,*,#15869,.F.); #20770=ORIENTED_EDGE('',*,*,#16169,.F.); #20771=ORIENTED_EDGE('',*,*,#15802,.F.); #20772=ORIENTED_EDGE('',*,*,#16169,.T.); #20773=ORIENTED_EDGE('',*,*,#15868,.T.); #20774=ORIENTED_EDGE('',*,*,#15830,.F.); #20775=ORIENTED_EDGE('',*,*,#15804,.F.); #20776=ORIENTED_EDGE('',*,*,#15829,.T.); #20777=ORIENTED_EDGE('',*,*,#15867,.T.); #20778=ORIENTED_EDGE('',*,*,#16170,.F.); #20779=ORIENTED_EDGE('',*,*,#15805,.T.); #20780=ORIENTED_EDGE('',*,*,#16170,.T.); #20781=ORIENTED_EDGE('',*,*,#15866,.F.); #20782=ORIENTED_EDGE('',*,*,#16171,.F.); #20783=ORIENTED_EDGE('',*,*,#15806,.F.); #20784=ORIENTED_EDGE('',*,*,#16171,.T.); #20785=ORIENTED_EDGE('',*,*,#15865,.T.); #20786=ORIENTED_EDGE('',*,*,#15827,.F.); #20787=ORIENTED_EDGE('',*,*,#15808,.F.); #20788=ORIENTED_EDGE('',*,*,#15826,.T.); #20789=ORIENTED_EDGE('',*,*,#15864,.T.); #20790=ORIENTED_EDGE('',*,*,#16172,.F.); #20791=ORIENTED_EDGE('',*,*,#15809,.T.); #20792=ORIENTED_EDGE('',*,*,#16172,.T.); #20793=ORIENTED_EDGE('',*,*,#15863,.F.); #20794=ORIENTED_EDGE('',*,*,#16173,.F.); #20795=ORIENTED_EDGE('',*,*,#15810,.F.); #20796=ORIENTED_EDGE('',*,*,#16173,.T.); #20797=ORIENTED_EDGE('',*,*,#15862,.T.); #20798=ORIENTED_EDGE('',*,*,#15824,.F.); #20799=ORIENTED_EDGE('',*,*,#15812,.F.); #20800=ORIENTED_EDGE('',*,*,#15823,.T.); #20801=ORIENTED_EDGE('',*,*,#15861,.T.); #20802=ORIENTED_EDGE('',*,*,#16174,.F.); #20803=ORIENTED_EDGE('',*,*,#15813,.T.); #20804=ORIENTED_EDGE('',*,*,#16174,.T.); #20805=ORIENTED_EDGE('',*,*,#15860,.F.); #20806=ORIENTED_EDGE('',*,*,#16175,.F.); #20807=ORIENTED_EDGE('',*,*,#15814,.F.); #20808=ORIENTED_EDGE('',*,*,#16175,.T.); #20809=ORIENTED_EDGE('',*,*,#15859,.T.); #20810=ORIENTED_EDGE('',*,*,#15855,.F.); #20811=ORIENTED_EDGE('',*,*,#15816,.F.); #20812=ORIENTED_EDGE('',*,*,#15854,.T.); #20813=ORIENTED_EDGE('',*,*,#15858,.T.); #20814=ORIENTED_EDGE('',*,*,#16176,.F.); #20815=ORIENTED_EDGE('',*,*,#15817,.T.); #20816=ORIENTED_EDGE('',*,*,#16176,.T.); #20817=ORIENTED_EDGE('',*,*,#15857,.F.); #20818=ORIENTED_EDGE('',*,*,#15819,.F.); #20819=ORIENTED_EDGE('',*,*,#15892,.T.); #20820=ORIENTED_EDGE('',*,*,#16177,.T.); #20821=ORIENTED_EDGE('',*,*,#16178,.T.); #20822=ORIENTED_EDGE('',*,*,#16179,.F.); #20823=ORIENTED_EDGE('',*,*,#15893,.T.); #20824=ORIENTED_EDGE('',*,*,#16179,.T.); #20825=ORIENTED_EDGE('',*,*,#16180,.T.); #20826=ORIENTED_EDGE('',*,*,#16181,.F.); #20827=ORIENTED_EDGE('',*,*,#15894,.T.); #20828=ORIENTED_EDGE('',*,*,#16181,.T.); #20829=ORIENTED_EDGE('',*,*,#16182,.T.); #20830=ORIENTED_EDGE('',*,*,#16183,.F.); #20831=ORIENTED_EDGE('',*,*,#15895,.T.); #20832=ORIENTED_EDGE('',*,*,#16183,.T.); #20833=ORIENTED_EDGE('',*,*,#16184,.T.); #20834=ORIENTED_EDGE('',*,*,#16185,.F.); #20835=ORIENTED_EDGE('',*,*,#15896,.T.); #20836=ORIENTED_EDGE('',*,*,#16185,.T.); #20837=ORIENTED_EDGE('',*,*,#16186,.T.); #20838=ORIENTED_EDGE('',*,*,#16187,.F.); #20839=ORIENTED_EDGE('',*,*,#15897,.T.); #20840=ORIENTED_EDGE('',*,*,#16187,.T.); #20841=ORIENTED_EDGE('',*,*,#16188,.T.); #20842=ORIENTED_EDGE('',*,*,#16189,.F.); #20843=ORIENTED_EDGE('',*,*,#15898,.T.); #20844=ORIENTED_EDGE('',*,*,#16189,.T.); #20845=ORIENTED_EDGE('',*,*,#16190,.T.); #20846=ORIENTED_EDGE('',*,*,#16191,.F.); #20847=ORIENTED_EDGE('',*,*,#15899,.T.); #20848=ORIENTED_EDGE('',*,*,#16191,.T.); #20849=ORIENTED_EDGE('',*,*,#16192,.T.); #20850=ORIENTED_EDGE('',*,*,#16177,.F.); #20851=ORIENTED_EDGE('',*,*,#16178,.F.); #20852=ORIENTED_EDGE('',*,*,#16192,.F.); #20853=ORIENTED_EDGE('',*,*,#16190,.F.); #20854=ORIENTED_EDGE('',*,*,#16188,.F.); #20855=ORIENTED_EDGE('',*,*,#16186,.F.); #20856=ORIENTED_EDGE('',*,*,#16184,.F.); #20857=ORIENTED_EDGE('',*,*,#16182,.F.); #20858=ORIENTED_EDGE('',*,*,#16180,.F.); #20859=ORIENTED_EDGE('',*,*,#16193,.F.); #20860=ORIENTED_EDGE('',*,*,#16194,.F.); #20861=ORIENTED_EDGE('',*,*,#16195,.F.); #20862=ORIENTED_EDGE('',*,*,#16196,.F.); #20863=ORIENTED_EDGE('',*,*,#16197,.F.); #20864=ORIENTED_EDGE('',*,*,#16198,.F.); #20865=ORIENTED_EDGE('',*,*,#16199,.F.); #20866=ORIENTED_EDGE('',*,*,#16200,.F.); #20867=ORIENTED_EDGE('',*,*,#16201,.F.); #20868=ORIENTED_EDGE('',*,*,#16202,.F.); #20869=ORIENTED_EDGE('',*,*,#16203,.F.); #20870=ORIENTED_EDGE('',*,*,#16204,.F.); #20871=ORIENTED_EDGE('',*,*,#16205,.F.); #20872=ORIENTED_EDGE('',*,*,#16206,.F.); #20873=ORIENTED_EDGE('',*,*,#16207,.F.); #20874=ORIENTED_EDGE('',*,*,#16208,.F.); #20875=ORIENTED_EDGE('',*,*,#16209,.F.); #20876=ORIENTED_EDGE('',*,*,#16210,.F.); #20877=ORIENTED_EDGE('',*,*,#16211,.F.); #20878=ORIENTED_EDGE('',*,*,#16212,.F.); #20879=ORIENTED_EDGE('',*,*,#16213,.F.); #20880=ORIENTED_EDGE('',*,*,#16214,.F.); #20881=ORIENTED_EDGE('',*,*,#16215,.F.); #20882=ORIENTED_EDGE('',*,*,#16216,.F.); #20883=ORIENTED_EDGE('',*,*,#16217,.F.); #20884=ORIENTED_EDGE('',*,*,#16218,.F.); #20885=ORIENTED_EDGE('',*,*,#16219,.F.); #20886=ORIENTED_EDGE('',*,*,#16220,.F.); #20887=ORIENTED_EDGE('',*,*,#16221,.F.); #20888=ORIENTED_EDGE('',*,*,#16222,.F.); #20889=ORIENTED_EDGE('',*,*,#16223,.F.); #20890=ORIENTED_EDGE('',*,*,#16224,.F.); #20891=ORIENTED_EDGE('',*,*,#16225,.F.); #20892=ORIENTED_EDGE('',*,*,#16226,.F.); #20893=ORIENTED_EDGE('',*,*,#16227,.F.); #20894=ORIENTED_EDGE('',*,*,#16228,.F.); #20895=ORIENTED_EDGE('',*,*,#16229,.F.); #20896=ORIENTED_EDGE('',*,*,#16230,.F.); #20897=ORIENTED_EDGE('',*,*,#16231,.F.); #20898=ORIENTED_EDGE('',*,*,#16232,.F.); #20899=ORIENTED_EDGE('',*,*,#16233,.F.); #20900=ORIENTED_EDGE('',*,*,#16234,.F.); #20901=ORIENTED_EDGE('',*,*,#16235,.F.); #20902=ORIENTED_EDGE('',*,*,#16236,.F.); #20903=ORIENTED_EDGE('',*,*,#16237,.F.); #20904=ORIENTED_EDGE('',*,*,#16238,.F.); #20905=ORIENTED_EDGE('',*,*,#16239,.F.); #20906=ORIENTED_EDGE('',*,*,#16240,.F.); #20907=ORIENTED_EDGE('',*,*,#16241,.F.); #20908=ORIENTED_EDGE('',*,*,#16242,.F.); #20909=ORIENTED_EDGE('',*,*,#16243,.F.); #20910=ORIENTED_EDGE('',*,*,#16244,.F.); #20911=ORIENTED_EDGE('',*,*,#16245,.F.); #20912=ORIENTED_EDGE('',*,*,#16246,.F.); #20913=ORIENTED_EDGE('',*,*,#16247,.F.); #20914=ORIENTED_EDGE('',*,*,#16248,.F.); #20915=ORIENTED_EDGE('',*,*,#16249,.F.); #20916=ORIENTED_EDGE('',*,*,#16250,.F.); #20917=ORIENTED_EDGE('',*,*,#16251,.F.); #20918=ORIENTED_EDGE('',*,*,#16252,.F.); #20919=ORIENTED_EDGE('',*,*,#16253,.F.); #20920=ORIENTED_EDGE('',*,*,#16254,.F.); #20921=ORIENTED_EDGE('',*,*,#16255,.F.); #20922=ORIENTED_EDGE('',*,*,#16256,.F.); #20923=ORIENTED_EDGE('',*,*,#16257,.F.); #20924=ORIENTED_EDGE('',*,*,#16258,.F.); #20925=ORIENTED_EDGE('',*,*,#16259,.F.); #20926=ORIENTED_EDGE('',*,*,#16260,.F.); #20927=ORIENTED_EDGE('',*,*,#16261,.F.); #20928=ORIENTED_EDGE('',*,*,#16262,.F.); #20929=ORIENTED_EDGE('',*,*,#16263,.F.); #20930=ORIENTED_EDGE('',*,*,#16264,.F.); #20931=ORIENTED_EDGE('',*,*,#16265,.F.); #20932=ORIENTED_EDGE('',*,*,#16266,.F.); #20933=ORIENTED_EDGE('',*,*,#16267,.F.); #20934=ORIENTED_EDGE('',*,*,#16268,.F.); #20935=ORIENTED_EDGE('',*,*,#16269,.F.); #20936=ORIENTED_EDGE('',*,*,#16270,.F.); #20937=ORIENTED_EDGE('',*,*,#16271,.F.); #20938=ORIENTED_EDGE('',*,*,#16272,.F.); #20939=ORIENTED_EDGE('',*,*,#16273,.F.); #20940=ORIENTED_EDGE('',*,*,#16274,.F.); #20941=ORIENTED_EDGE('',*,*,#16275,.F.); #20942=ORIENTED_EDGE('',*,*,#16276,.F.); #20943=ORIENTED_EDGE('',*,*,#16277,.F.); #20944=ORIENTED_EDGE('',*,*,#16278,.F.); #20945=ORIENTED_EDGE('',*,*,#16279,.F.); #20946=ORIENTED_EDGE('',*,*,#16280,.F.); #20947=ORIENTED_EDGE('',*,*,#16281,.F.); #20948=ORIENTED_EDGE('',*,*,#16282,.F.); #20949=ORIENTED_EDGE('',*,*,#16283,.F.); #20950=ORIENTED_EDGE('',*,*,#16284,.F.); #20951=ORIENTED_EDGE('',*,*,#16285,.F.); #20952=ORIENTED_EDGE('',*,*,#16286,.F.); #20953=ORIENTED_EDGE('',*,*,#16287,.F.); #20954=ORIENTED_EDGE('',*,*,#16288,.F.); #20955=ORIENTED_EDGE('',*,*,#16289,.F.); #20956=ORIENTED_EDGE('',*,*,#16290,.F.); #20957=ORIENTED_EDGE('',*,*,#16291,.F.); #20958=ORIENTED_EDGE('',*,*,#16292,.F.); #20959=ORIENTED_EDGE('',*,*,#16293,.F.); #20960=ORIENTED_EDGE('',*,*,#16294,.F.); #20961=ORIENTED_EDGE('',*,*,#16295,.F.); #20962=ORIENTED_EDGE('',*,*,#16296,.F.); #20963=ORIENTED_EDGE('',*,*,#16297,.F.); #20964=ORIENTED_EDGE('',*,*,#16298,.F.); #20965=ORIENTED_EDGE('',*,*,#16299,.F.); #20966=ORIENTED_EDGE('',*,*,#16300,.F.); #20967=ORIENTED_EDGE('',*,*,#16301,.F.); #20968=ORIENTED_EDGE('',*,*,#16302,.F.); #20969=ORIENTED_EDGE('',*,*,#16303,.F.); #20970=ORIENTED_EDGE('',*,*,#16304,.F.); #20971=ORIENTED_EDGE('',*,*,#16305,.F.); #20972=ORIENTED_EDGE('',*,*,#16306,.F.); #20973=ORIENTED_EDGE('',*,*,#16307,.F.); #20974=ORIENTED_EDGE('',*,*,#16308,.F.); #20975=ORIENTED_EDGE('',*,*,#16309,.F.); #20976=ORIENTED_EDGE('',*,*,#16310,.F.); #20977=ORIENTED_EDGE('',*,*,#16311,.F.); #20978=ORIENTED_EDGE('',*,*,#16312,.F.); #20979=ORIENTED_EDGE('',*,*,#16313,.F.); #20980=ORIENTED_EDGE('',*,*,#16314,.F.); #20981=ORIENTED_EDGE('',*,*,#16315,.F.); #20982=ORIENTED_EDGE('',*,*,#16316,.F.); #20983=ORIENTED_EDGE('',*,*,#16317,.F.); #20984=ORIENTED_EDGE('',*,*,#16318,.F.); #20985=ORIENTED_EDGE('',*,*,#16319,.F.); #20986=ORIENTED_EDGE('',*,*,#16320,.F.); #20987=ORIENTED_EDGE('',*,*,#16321,.F.); #20988=ORIENTED_EDGE('',*,*,#16322,.F.); #20989=ORIENTED_EDGE('',*,*,#16323,.F.); #20990=ORIENTED_EDGE('',*,*,#16324,.F.); #20991=ORIENTED_EDGE('',*,*,#16325,.F.); #20992=ORIENTED_EDGE('',*,*,#16326,.F.); #20993=ORIENTED_EDGE('',*,*,#16327,.F.); #20994=ORIENTED_EDGE('',*,*,#16328,.F.); #20995=ORIENTED_EDGE('',*,*,#16329,.F.); #20996=ORIENTED_EDGE('',*,*,#16330,.F.); #20997=ORIENTED_EDGE('',*,*,#16331,.F.); #20998=ORIENTED_EDGE('',*,*,#16332,.F.); #20999=ORIENTED_EDGE('',*,*,#16333,.F.); #21000=ORIENTED_EDGE('',*,*,#16334,.F.); #21001=ORIENTED_EDGE('',*,*,#16335,.F.); #21002=ORIENTED_EDGE('',*,*,#16336,.F.); #21003=ORIENTED_EDGE('',*,*,#16337,.F.); #21004=ORIENTED_EDGE('',*,*,#16338,.F.); #21005=ORIENTED_EDGE('',*,*,#16339,.F.); #21006=ORIENTED_EDGE('',*,*,#16340,.F.); #21007=ORIENTED_EDGE('',*,*,#16341,.F.); #21008=ORIENTED_EDGE('',*,*,#16342,.F.); #21009=ORIENTED_EDGE('',*,*,#16343,.F.); #21010=ORIENTED_EDGE('',*,*,#16344,.F.); #21011=ORIENTED_EDGE('',*,*,#16345,.F.); #21012=ORIENTED_EDGE('',*,*,#16346,.F.); #21013=ORIENTED_EDGE('',*,*,#16347,.F.); #21014=ORIENTED_EDGE('',*,*,#16348,.F.); #21015=ORIENTED_EDGE('',*,*,#16349,.F.); #21016=ORIENTED_EDGE('',*,*,#16350,.F.); #21017=ORIENTED_EDGE('',*,*,#16351,.F.); #21018=ORIENTED_EDGE('',*,*,#16352,.F.); #21019=ORIENTED_EDGE('',*,*,#16353,.F.); #21020=ORIENTED_EDGE('',*,*,#16354,.F.); #21021=ORIENTED_EDGE('',*,*,#16355,.F.); #21022=ORIENTED_EDGE('',*,*,#16356,.F.); #21023=ORIENTED_EDGE('',*,*,#16357,.F.); #21024=ORIENTED_EDGE('',*,*,#16358,.F.); #21025=ORIENTED_EDGE('',*,*,#16359,.F.); #21026=ORIENTED_EDGE('',*,*,#16360,.F.); #21027=ORIENTED_EDGE('',*,*,#16361,.F.); #21028=ORIENTED_EDGE('',*,*,#16362,.F.); #21029=ORIENTED_EDGE('',*,*,#16363,.F.); #21030=ORIENTED_EDGE('',*,*,#16364,.F.); #21031=ORIENTED_EDGE('',*,*,#16365,.F.); #21032=ORIENTED_EDGE('',*,*,#16366,.F.); #21033=ORIENTED_EDGE('',*,*,#16367,.F.); #21034=ORIENTED_EDGE('',*,*,#16368,.F.); #21035=ORIENTED_EDGE('',*,*,#16369,.F.); #21036=ORIENTED_EDGE('',*,*,#16370,.F.); #21037=ORIENTED_EDGE('',*,*,#16371,.F.); #21038=ORIENTED_EDGE('',*,*,#16372,.F.); #21039=ORIENTED_EDGE('',*,*,#16373,.F.); #21040=ORIENTED_EDGE('',*,*,#16374,.F.); #21041=ORIENTED_EDGE('',*,*,#16375,.F.); #21042=ORIENTED_EDGE('',*,*,#16376,.F.); #21043=ORIENTED_EDGE('',*,*,#16377,.F.); #21044=ORIENTED_EDGE('',*,*,#16378,.F.); #21045=ORIENTED_EDGE('',*,*,#16379,.F.); #21046=ORIENTED_EDGE('',*,*,#16380,.F.); #21047=ORIENTED_EDGE('',*,*,#16381,.F.); #21048=ORIENTED_EDGE('',*,*,#16382,.F.); #21049=ORIENTED_EDGE('',*,*,#16383,.F.); #21050=ORIENTED_EDGE('',*,*,#16384,.F.); #21051=ORIENTED_EDGE('',*,*,#16385,.F.); #21052=ORIENTED_EDGE('',*,*,#16386,.F.); #21053=ORIENTED_EDGE('',*,*,#16387,.F.); #21054=ORIENTED_EDGE('',*,*,#16388,.F.); #21055=ORIENTED_EDGE('',*,*,#16389,.F.); #21056=ORIENTED_EDGE('',*,*,#16390,.F.); #21057=ORIENTED_EDGE('',*,*,#16391,.F.); #21058=ORIENTED_EDGE('',*,*,#16392,.F.); #21059=ORIENTED_EDGE('',*,*,#16393,.F.); #21060=ORIENTED_EDGE('',*,*,#16394,.F.); #21061=ORIENTED_EDGE('',*,*,#16395,.F.); #21062=ORIENTED_EDGE('',*,*,#16396,.F.); #21063=ORIENTED_EDGE('',*,*,#16397,.F.); #21064=ORIENTED_EDGE('',*,*,#16398,.F.); #21065=ORIENTED_EDGE('',*,*,#16399,.F.); #21066=ORIENTED_EDGE('',*,*,#16400,.F.); #21067=ORIENTED_EDGE('',*,*,#16401,.F.); #21068=ORIENTED_EDGE('',*,*,#16402,.F.); #21069=ORIENTED_EDGE('',*,*,#16403,.F.); #21070=ORIENTED_EDGE('',*,*,#16404,.F.); #21071=ORIENTED_EDGE('',*,*,#16405,.F.); #21072=ORIENTED_EDGE('',*,*,#16406,.F.); #21073=ORIENTED_EDGE('',*,*,#16407,.F.); #21074=ORIENTED_EDGE('',*,*,#16408,.F.); #21075=ORIENTED_EDGE('',*,*,#16409,.F.); #21076=ORIENTED_EDGE('',*,*,#16410,.F.); #21077=ORIENTED_EDGE('',*,*,#16411,.F.); #21078=ORIENTED_EDGE('',*,*,#16412,.F.); #21079=ORIENTED_EDGE('',*,*,#16413,.F.); #21080=ORIENTED_EDGE('',*,*,#16414,.F.); #21081=ORIENTED_EDGE('',*,*,#16415,.F.); #21082=ORIENTED_EDGE('',*,*,#16416,.F.); #21083=ORIENTED_EDGE('',*,*,#16417,.F.); #21084=ORIENTED_EDGE('',*,*,#16418,.F.); #21085=ORIENTED_EDGE('',*,*,#16419,.F.); #21086=ORIENTED_EDGE('',*,*,#16420,.F.); #21087=ORIENTED_EDGE('',*,*,#16421,.F.); #21088=ORIENTED_EDGE('',*,*,#16422,.F.); #21089=ORIENTED_EDGE('',*,*,#16423,.F.); #21090=ORIENTED_EDGE('',*,*,#16424,.F.); #21091=ORIENTED_EDGE('',*,*,#16425,.F.); #21092=ORIENTED_EDGE('',*,*,#16426,.F.); #21093=ORIENTED_EDGE('',*,*,#16427,.F.); #21094=ORIENTED_EDGE('',*,*,#16428,.F.); #21095=ORIENTED_EDGE('',*,*,#16429,.F.); #21096=ORIENTED_EDGE('',*,*,#16430,.F.); #21097=ORIENTED_EDGE('',*,*,#16431,.F.); #21098=ORIENTED_EDGE('',*,*,#16432,.F.); #21099=ORIENTED_EDGE('',*,*,#16433,.F.); #21100=ORIENTED_EDGE('',*,*,#16434,.F.); #21101=ORIENTED_EDGE('',*,*,#16435,.F.); #21102=ORIENTED_EDGE('',*,*,#16436,.F.); #21103=ORIENTED_EDGE('',*,*,#16437,.F.); #21104=ORIENTED_EDGE('',*,*,#16438,.F.); #21105=ORIENTED_EDGE('',*,*,#16439,.F.); #21106=ORIENTED_EDGE('',*,*,#16440,.F.); #21107=ORIENTED_EDGE('',*,*,#16441,.F.); #21108=ORIENTED_EDGE('',*,*,#16442,.F.); #21109=ORIENTED_EDGE('',*,*,#16443,.F.); #21110=ORIENTED_EDGE('',*,*,#16444,.F.); #21111=ORIENTED_EDGE('',*,*,#16445,.F.); #21112=ORIENTED_EDGE('',*,*,#15901,.T.); #21113=ORIENTED_EDGE('',*,*,#16446,.T.); #21114=ORIENTED_EDGE('',*,*,#16193,.T.); #21115=ORIENTED_EDGE('',*,*,#16447,.F.); #21116=ORIENTED_EDGE('',*,*,#15902,.T.); #21117=ORIENTED_EDGE('',*,*,#16447,.T.); #21118=ORIENTED_EDGE('',*,*,#16246,.T.); #21119=ORIENTED_EDGE('',*,*,#16448,.F.); #21120=ORIENTED_EDGE('',*,*,#15903,.T.); #21121=ORIENTED_EDGE('',*,*,#16448,.T.); #21122=ORIENTED_EDGE('',*,*,#16245,.T.); #21123=ORIENTED_EDGE('',*,*,#16449,.F.); #21124=ORIENTED_EDGE('',*,*,#15904,.T.); #21125=ORIENTED_EDGE('',*,*,#16449,.T.); #21126=ORIENTED_EDGE('',*,*,#16244,.T.); #21127=ORIENTED_EDGE('',*,*,#16450,.F.); #21128=ORIENTED_EDGE('',*,*,#15905,.T.); #21129=ORIENTED_EDGE('',*,*,#16450,.T.); #21130=ORIENTED_EDGE('',*,*,#16243,.T.); #21131=ORIENTED_EDGE('',*,*,#16451,.F.); #21132=ORIENTED_EDGE('',*,*,#15906,.T.); #21133=ORIENTED_EDGE('',*,*,#16451,.T.); #21134=ORIENTED_EDGE('',*,*,#16242,.T.); #21135=ORIENTED_EDGE('',*,*,#16452,.F.); #21136=ORIENTED_EDGE('',*,*,#15907,.T.); #21137=ORIENTED_EDGE('',*,*,#16452,.T.); #21138=ORIENTED_EDGE('',*,*,#16241,.T.); #21139=ORIENTED_EDGE('',*,*,#16453,.F.); #21140=ORIENTED_EDGE('',*,*,#15908,.T.); #21141=ORIENTED_EDGE('',*,*,#16453,.T.); #21142=ORIENTED_EDGE('',*,*,#16240,.T.); #21143=ORIENTED_EDGE('',*,*,#16454,.F.); #21144=ORIENTED_EDGE('',*,*,#15909,.T.); #21145=ORIENTED_EDGE('',*,*,#16454,.T.); #21146=ORIENTED_EDGE('',*,*,#16239,.T.); #21147=ORIENTED_EDGE('',*,*,#16455,.F.); #21148=ORIENTED_EDGE('',*,*,#15910,.T.); #21149=ORIENTED_EDGE('',*,*,#16455,.T.); #21150=ORIENTED_EDGE('',*,*,#16238,.T.); #21151=ORIENTED_EDGE('',*,*,#16456,.F.); #21152=ORIENTED_EDGE('',*,*,#15911,.T.); #21153=ORIENTED_EDGE('',*,*,#16456,.T.); #21154=ORIENTED_EDGE('',*,*,#16237,.T.); #21155=ORIENTED_EDGE('',*,*,#16457,.F.); #21156=ORIENTED_EDGE('',*,*,#15912,.T.); #21157=ORIENTED_EDGE('',*,*,#16457,.T.); #21158=ORIENTED_EDGE('',*,*,#16236,.T.); #21159=ORIENTED_EDGE('',*,*,#16458,.F.); #21160=ORIENTED_EDGE('',*,*,#15913,.T.); #21161=ORIENTED_EDGE('',*,*,#16458,.T.); #21162=ORIENTED_EDGE('',*,*,#16235,.T.); #21163=ORIENTED_EDGE('',*,*,#16459,.F.); #21164=ORIENTED_EDGE('',*,*,#15914,.T.); #21165=ORIENTED_EDGE('',*,*,#16459,.T.); #21166=ORIENTED_EDGE('',*,*,#16234,.T.); #21167=ORIENTED_EDGE('',*,*,#16460,.F.); #21168=ORIENTED_EDGE('',*,*,#15915,.T.); #21169=ORIENTED_EDGE('',*,*,#16460,.T.); #21170=ORIENTED_EDGE('',*,*,#16233,.T.); #21171=ORIENTED_EDGE('',*,*,#16461,.F.); #21172=ORIENTED_EDGE('',*,*,#15916,.T.); #21173=ORIENTED_EDGE('',*,*,#16461,.T.); #21174=ORIENTED_EDGE('',*,*,#16232,.T.); #21175=ORIENTED_EDGE('',*,*,#16462,.F.); #21176=ORIENTED_EDGE('',*,*,#15917,.T.); #21177=ORIENTED_EDGE('',*,*,#16462,.T.); #21178=ORIENTED_EDGE('',*,*,#16231,.T.); #21179=ORIENTED_EDGE('',*,*,#16463,.F.); #21180=ORIENTED_EDGE('',*,*,#15918,.T.); #21181=ORIENTED_EDGE('',*,*,#16463,.T.); #21182=ORIENTED_EDGE('',*,*,#16230,.T.); #21183=ORIENTED_EDGE('',*,*,#16464,.F.); #21184=ORIENTED_EDGE('',*,*,#15919,.T.); #21185=ORIENTED_EDGE('',*,*,#16464,.T.); #21186=ORIENTED_EDGE('',*,*,#16229,.T.); #21187=ORIENTED_EDGE('',*,*,#16465,.F.); #21188=ORIENTED_EDGE('',*,*,#15920,.T.); #21189=ORIENTED_EDGE('',*,*,#16465,.T.); #21190=ORIENTED_EDGE('',*,*,#16228,.T.); #21191=ORIENTED_EDGE('',*,*,#16466,.F.); #21192=ORIENTED_EDGE('',*,*,#15921,.T.); #21193=ORIENTED_EDGE('',*,*,#16466,.T.); #21194=ORIENTED_EDGE('',*,*,#16227,.T.); #21195=ORIENTED_EDGE('',*,*,#16467,.F.); #21196=ORIENTED_EDGE('',*,*,#15922,.T.); #21197=ORIENTED_EDGE('',*,*,#16467,.T.); #21198=ORIENTED_EDGE('',*,*,#16226,.T.); #21199=ORIENTED_EDGE('',*,*,#16468,.F.); #21200=ORIENTED_EDGE('',*,*,#15923,.T.); #21201=ORIENTED_EDGE('',*,*,#16468,.T.); #21202=ORIENTED_EDGE('',*,*,#16225,.T.); #21203=ORIENTED_EDGE('',*,*,#16469,.F.); #21204=ORIENTED_EDGE('',*,*,#15924,.T.); #21205=ORIENTED_EDGE('',*,*,#16469,.T.); #21206=ORIENTED_EDGE('',*,*,#16224,.T.); #21207=ORIENTED_EDGE('',*,*,#16470,.F.); #21208=ORIENTED_EDGE('',*,*,#15925,.T.); #21209=ORIENTED_EDGE('',*,*,#16470,.T.); #21210=ORIENTED_EDGE('',*,*,#16223,.T.); #21211=ORIENTED_EDGE('',*,*,#16471,.F.); #21212=ORIENTED_EDGE('',*,*,#15926,.T.); #21213=ORIENTED_EDGE('',*,*,#16471,.T.); #21214=ORIENTED_EDGE('',*,*,#16222,.T.); #21215=ORIENTED_EDGE('',*,*,#16472,.F.); #21216=ORIENTED_EDGE('',*,*,#15927,.T.); #21217=ORIENTED_EDGE('',*,*,#16472,.T.); #21218=ORIENTED_EDGE('',*,*,#16221,.T.); #21219=ORIENTED_EDGE('',*,*,#16473,.F.); #21220=ORIENTED_EDGE('',*,*,#15928,.T.); #21221=ORIENTED_EDGE('',*,*,#16473,.T.); #21222=ORIENTED_EDGE('',*,*,#16220,.T.); #21223=ORIENTED_EDGE('',*,*,#16474,.F.); #21224=ORIENTED_EDGE('',*,*,#15929,.T.); #21225=ORIENTED_EDGE('',*,*,#16474,.T.); #21226=ORIENTED_EDGE('',*,*,#16219,.T.); #21227=ORIENTED_EDGE('',*,*,#16475,.F.); #21228=ORIENTED_EDGE('',*,*,#15930,.T.); #21229=ORIENTED_EDGE('',*,*,#16475,.T.); #21230=ORIENTED_EDGE('',*,*,#16218,.T.); #21231=ORIENTED_EDGE('',*,*,#16476,.F.); #21232=ORIENTED_EDGE('',*,*,#15931,.T.); #21233=ORIENTED_EDGE('',*,*,#16476,.T.); #21234=ORIENTED_EDGE('',*,*,#16217,.T.); #21235=ORIENTED_EDGE('',*,*,#16477,.F.); #21236=ORIENTED_EDGE('',*,*,#15932,.T.); #21237=ORIENTED_EDGE('',*,*,#16477,.T.); #21238=ORIENTED_EDGE('',*,*,#16216,.T.); #21239=ORIENTED_EDGE('',*,*,#16478,.F.); #21240=ORIENTED_EDGE('',*,*,#15933,.T.); #21241=ORIENTED_EDGE('',*,*,#16478,.T.); #21242=ORIENTED_EDGE('',*,*,#16215,.T.); #21243=ORIENTED_EDGE('',*,*,#16479,.F.); #21244=ORIENTED_EDGE('',*,*,#15934,.T.); #21245=ORIENTED_EDGE('',*,*,#16479,.T.); #21246=ORIENTED_EDGE('',*,*,#16214,.T.); #21247=ORIENTED_EDGE('',*,*,#16480,.F.); #21248=ORIENTED_EDGE('',*,*,#15935,.T.); #21249=ORIENTED_EDGE('',*,*,#16480,.T.); #21250=ORIENTED_EDGE('',*,*,#16213,.T.); #21251=ORIENTED_EDGE('',*,*,#16481,.F.); #21252=ORIENTED_EDGE('',*,*,#15936,.T.); #21253=ORIENTED_EDGE('',*,*,#16481,.T.); #21254=ORIENTED_EDGE('',*,*,#16212,.T.); #21255=ORIENTED_EDGE('',*,*,#16482,.F.); #21256=ORIENTED_EDGE('',*,*,#15937,.T.); #21257=ORIENTED_EDGE('',*,*,#16482,.T.); #21258=ORIENTED_EDGE('',*,*,#16211,.T.); #21259=ORIENTED_EDGE('',*,*,#16483,.F.); #21260=ORIENTED_EDGE('',*,*,#15938,.T.); #21261=ORIENTED_EDGE('',*,*,#16483,.T.); #21262=ORIENTED_EDGE('',*,*,#16210,.T.); #21263=ORIENTED_EDGE('',*,*,#16484,.F.); #21264=ORIENTED_EDGE('',*,*,#15939,.T.); #21265=ORIENTED_EDGE('',*,*,#16484,.T.); #21266=ORIENTED_EDGE('',*,*,#16209,.T.); #21267=ORIENTED_EDGE('',*,*,#16485,.F.); #21268=ORIENTED_EDGE('',*,*,#15940,.T.); #21269=ORIENTED_EDGE('',*,*,#16485,.T.); #21270=ORIENTED_EDGE('',*,*,#16208,.T.); #21271=ORIENTED_EDGE('',*,*,#16486,.F.); #21272=ORIENTED_EDGE('',*,*,#15941,.T.); #21273=ORIENTED_EDGE('',*,*,#16486,.T.); #21274=ORIENTED_EDGE('',*,*,#16207,.T.); #21275=ORIENTED_EDGE('',*,*,#16487,.F.); #21276=ORIENTED_EDGE('',*,*,#15942,.T.); #21277=ORIENTED_EDGE('',*,*,#16487,.T.); #21278=ORIENTED_EDGE('',*,*,#16206,.T.); #21279=ORIENTED_EDGE('',*,*,#16488,.F.); #21280=ORIENTED_EDGE('',*,*,#15943,.T.); #21281=ORIENTED_EDGE('',*,*,#16488,.T.); #21282=ORIENTED_EDGE('',*,*,#16205,.T.); #21283=ORIENTED_EDGE('',*,*,#16489,.F.); #21284=ORIENTED_EDGE('',*,*,#15944,.T.); #21285=ORIENTED_EDGE('',*,*,#16489,.T.); #21286=ORIENTED_EDGE('',*,*,#16204,.T.); #21287=ORIENTED_EDGE('',*,*,#16490,.F.); #21288=ORIENTED_EDGE('',*,*,#15945,.T.); #21289=ORIENTED_EDGE('',*,*,#16490,.T.); #21290=ORIENTED_EDGE('',*,*,#16203,.T.); #21291=ORIENTED_EDGE('',*,*,#16491,.F.); #21292=ORIENTED_EDGE('',*,*,#15946,.T.); #21293=ORIENTED_EDGE('',*,*,#16491,.T.); #21294=ORIENTED_EDGE('',*,*,#16202,.T.); #21295=ORIENTED_EDGE('',*,*,#16492,.F.); #21296=ORIENTED_EDGE('',*,*,#15947,.T.); #21297=ORIENTED_EDGE('',*,*,#16492,.T.); #21298=ORIENTED_EDGE('',*,*,#16201,.T.); #21299=ORIENTED_EDGE('',*,*,#16493,.F.); #21300=ORIENTED_EDGE('',*,*,#15948,.T.); #21301=ORIENTED_EDGE('',*,*,#16493,.T.); #21302=ORIENTED_EDGE('',*,*,#16200,.T.); #21303=ORIENTED_EDGE('',*,*,#16494,.F.); #21304=ORIENTED_EDGE('',*,*,#15949,.T.); #21305=ORIENTED_EDGE('',*,*,#16494,.T.); #21306=ORIENTED_EDGE('',*,*,#16199,.T.); #21307=ORIENTED_EDGE('',*,*,#16495,.F.); #21308=ORIENTED_EDGE('',*,*,#15950,.T.); #21309=ORIENTED_EDGE('',*,*,#16495,.T.); #21310=ORIENTED_EDGE('',*,*,#16198,.T.); #21311=ORIENTED_EDGE('',*,*,#16496,.F.); #21312=ORIENTED_EDGE('',*,*,#15951,.T.); #21313=ORIENTED_EDGE('',*,*,#16496,.T.); #21314=ORIENTED_EDGE('',*,*,#16197,.T.); #21315=ORIENTED_EDGE('',*,*,#16497,.F.); #21316=ORIENTED_EDGE('',*,*,#15952,.T.); #21317=ORIENTED_EDGE('',*,*,#16497,.T.); #21318=ORIENTED_EDGE('',*,*,#16196,.T.); #21319=ORIENTED_EDGE('',*,*,#16498,.F.); #21320=ORIENTED_EDGE('',*,*,#15953,.T.); #21321=ORIENTED_EDGE('',*,*,#16498,.T.); #21322=ORIENTED_EDGE('',*,*,#16195,.T.); #21323=ORIENTED_EDGE('',*,*,#16499,.F.); #21324=ORIENTED_EDGE('',*,*,#15954,.T.); #21325=ORIENTED_EDGE('',*,*,#16499,.T.); #21326=ORIENTED_EDGE('',*,*,#16194,.T.); #21327=ORIENTED_EDGE('',*,*,#16446,.F.); #21328=ORIENTED_EDGE('',*,*,#15955,.T.); #21329=ORIENTED_EDGE('',*,*,#16500,.T.); #21330=ORIENTED_EDGE('',*,*,#16247,.T.); #21331=ORIENTED_EDGE('',*,*,#16501,.F.); #21332=ORIENTED_EDGE('',*,*,#15956,.T.); #21333=ORIENTED_EDGE('',*,*,#16501,.T.); #21334=ORIENTED_EDGE('',*,*,#16255,.T.); #21335=ORIENTED_EDGE('',*,*,#16502,.F.); #21336=ORIENTED_EDGE('',*,*,#15957,.T.); #21337=ORIENTED_EDGE('',*,*,#16502,.T.); #21338=ORIENTED_EDGE('',*,*,#16254,.T.); #21339=ORIENTED_EDGE('',*,*,#16503,.F.); #21340=ORIENTED_EDGE('',*,*,#15958,.T.); #21341=ORIENTED_EDGE('',*,*,#16503,.T.); #21342=ORIENTED_EDGE('',*,*,#16253,.T.); #21343=ORIENTED_EDGE('',*,*,#16504,.F.); #21344=ORIENTED_EDGE('',*,*,#15959,.T.); #21345=ORIENTED_EDGE('',*,*,#16504,.T.); #21346=ORIENTED_EDGE('',*,*,#16252,.T.); #21347=ORIENTED_EDGE('',*,*,#16505,.F.); #21348=ORIENTED_EDGE('',*,*,#15960,.T.); #21349=ORIENTED_EDGE('',*,*,#16505,.T.); #21350=ORIENTED_EDGE('',*,*,#16251,.T.); #21351=ORIENTED_EDGE('',*,*,#16506,.F.); #21352=ORIENTED_EDGE('',*,*,#15961,.T.); #21353=ORIENTED_EDGE('',*,*,#16506,.T.); #21354=ORIENTED_EDGE('',*,*,#16250,.T.); #21355=ORIENTED_EDGE('',*,*,#16507,.F.); #21356=ORIENTED_EDGE('',*,*,#15962,.T.); #21357=ORIENTED_EDGE('',*,*,#16507,.T.); #21358=ORIENTED_EDGE('',*,*,#16249,.T.); #21359=ORIENTED_EDGE('',*,*,#16508,.F.); #21360=ORIENTED_EDGE('',*,*,#15963,.T.); #21361=ORIENTED_EDGE('',*,*,#16508,.T.); #21362=ORIENTED_EDGE('',*,*,#16248,.T.); #21363=ORIENTED_EDGE('',*,*,#16500,.F.); #21364=ORIENTED_EDGE('',*,*,#15964,.T.); #21365=ORIENTED_EDGE('',*,*,#16509,.T.); #21366=ORIENTED_EDGE('',*,*,#16256,.T.); #21367=ORIENTED_EDGE('',*,*,#16510,.F.); #21368=ORIENTED_EDGE('',*,*,#15965,.T.); #21369=ORIENTED_EDGE('',*,*,#16510,.T.); #21370=ORIENTED_EDGE('',*,*,#16309,.T.); #21371=ORIENTED_EDGE('',*,*,#16511,.F.); #21372=ORIENTED_EDGE('',*,*,#15966,.T.); #21373=ORIENTED_EDGE('',*,*,#16511,.T.); #21374=ORIENTED_EDGE('',*,*,#16308,.T.); #21375=ORIENTED_EDGE('',*,*,#16512,.F.); #21376=ORIENTED_EDGE('',*,*,#15967,.T.); #21377=ORIENTED_EDGE('',*,*,#16512,.T.); #21378=ORIENTED_EDGE('',*,*,#16307,.T.); #21379=ORIENTED_EDGE('',*,*,#16513,.F.); #21380=ORIENTED_EDGE('',*,*,#15968,.T.); #21381=ORIENTED_EDGE('',*,*,#16513,.T.); #21382=ORIENTED_EDGE('',*,*,#16306,.T.); #21383=ORIENTED_EDGE('',*,*,#16514,.F.); #21384=ORIENTED_EDGE('',*,*,#15969,.T.); #21385=ORIENTED_EDGE('',*,*,#16514,.T.); #21386=ORIENTED_EDGE('',*,*,#16305,.T.); #21387=ORIENTED_EDGE('',*,*,#16515,.F.); #21388=ORIENTED_EDGE('',*,*,#15970,.T.); #21389=ORIENTED_EDGE('',*,*,#16515,.T.); #21390=ORIENTED_EDGE('',*,*,#16304,.T.); #21391=ORIENTED_EDGE('',*,*,#16516,.F.); #21392=ORIENTED_EDGE('',*,*,#15971,.T.); #21393=ORIENTED_EDGE('',*,*,#16516,.T.); #21394=ORIENTED_EDGE('',*,*,#16303,.T.); #21395=ORIENTED_EDGE('',*,*,#16517,.F.); #21396=ORIENTED_EDGE('',*,*,#15972,.T.); #21397=ORIENTED_EDGE('',*,*,#16517,.T.); #21398=ORIENTED_EDGE('',*,*,#16302,.T.); #21399=ORIENTED_EDGE('',*,*,#16518,.F.); #21400=ORIENTED_EDGE('',*,*,#15973,.T.); #21401=ORIENTED_EDGE('',*,*,#16518,.T.); #21402=ORIENTED_EDGE('',*,*,#16301,.T.); #21403=ORIENTED_EDGE('',*,*,#16519,.F.); #21404=ORIENTED_EDGE('',*,*,#15974,.T.); #21405=ORIENTED_EDGE('',*,*,#16519,.T.); #21406=ORIENTED_EDGE('',*,*,#16300,.T.); #21407=ORIENTED_EDGE('',*,*,#16520,.F.); #21408=ORIENTED_EDGE('',*,*,#15975,.T.); #21409=ORIENTED_EDGE('',*,*,#16520,.T.); #21410=ORIENTED_EDGE('',*,*,#16299,.T.); #21411=ORIENTED_EDGE('',*,*,#16521,.F.); #21412=ORIENTED_EDGE('',*,*,#15976,.T.); #21413=ORIENTED_EDGE('',*,*,#16521,.T.); #21414=ORIENTED_EDGE('',*,*,#16298,.T.); #21415=ORIENTED_EDGE('',*,*,#16522,.F.); #21416=ORIENTED_EDGE('',*,*,#15977,.T.); #21417=ORIENTED_EDGE('',*,*,#16522,.T.); #21418=ORIENTED_EDGE('',*,*,#16297,.T.); #21419=ORIENTED_EDGE('',*,*,#16523,.F.); #21420=ORIENTED_EDGE('',*,*,#15978,.T.); #21421=ORIENTED_EDGE('',*,*,#16523,.T.); #21422=ORIENTED_EDGE('',*,*,#16296,.T.); #21423=ORIENTED_EDGE('',*,*,#16524,.F.); #21424=ORIENTED_EDGE('',*,*,#15979,.T.); #21425=ORIENTED_EDGE('',*,*,#16524,.T.); #21426=ORIENTED_EDGE('',*,*,#16295,.T.); #21427=ORIENTED_EDGE('',*,*,#16525,.F.); #21428=ORIENTED_EDGE('',*,*,#15980,.T.); #21429=ORIENTED_EDGE('',*,*,#16525,.T.); #21430=ORIENTED_EDGE('',*,*,#16294,.T.); #21431=ORIENTED_EDGE('',*,*,#16526,.F.); #21432=ORIENTED_EDGE('',*,*,#15981,.T.); #21433=ORIENTED_EDGE('',*,*,#16526,.T.); #21434=ORIENTED_EDGE('',*,*,#16293,.T.); #21435=ORIENTED_EDGE('',*,*,#16527,.F.); #21436=ORIENTED_EDGE('',*,*,#15982,.T.); #21437=ORIENTED_EDGE('',*,*,#16527,.T.); #21438=ORIENTED_EDGE('',*,*,#16292,.T.); #21439=ORIENTED_EDGE('',*,*,#16528,.F.); #21440=ORIENTED_EDGE('',*,*,#15983,.T.); #21441=ORIENTED_EDGE('',*,*,#16528,.T.); #21442=ORIENTED_EDGE('',*,*,#16291,.T.); #21443=ORIENTED_EDGE('',*,*,#16529,.F.); #21444=ORIENTED_EDGE('',*,*,#15984,.T.); #21445=ORIENTED_EDGE('',*,*,#16529,.T.); #21446=ORIENTED_EDGE('',*,*,#16290,.T.); #21447=ORIENTED_EDGE('',*,*,#16530,.F.); #21448=ORIENTED_EDGE('',*,*,#15985,.T.); #21449=ORIENTED_EDGE('',*,*,#16530,.T.); #21450=ORIENTED_EDGE('',*,*,#16289,.T.); #21451=ORIENTED_EDGE('',*,*,#16531,.F.); #21452=ORIENTED_EDGE('',*,*,#15986,.T.); #21453=ORIENTED_EDGE('',*,*,#16531,.T.); #21454=ORIENTED_EDGE('',*,*,#16288,.T.); #21455=ORIENTED_EDGE('',*,*,#16532,.F.); #21456=ORIENTED_EDGE('',*,*,#15987,.T.); #21457=ORIENTED_EDGE('',*,*,#16532,.T.); #21458=ORIENTED_EDGE('',*,*,#16287,.T.); #21459=ORIENTED_EDGE('',*,*,#16533,.F.); #21460=ORIENTED_EDGE('',*,*,#15988,.T.); #21461=ORIENTED_EDGE('',*,*,#16533,.T.); #21462=ORIENTED_EDGE('',*,*,#16286,.T.); #21463=ORIENTED_EDGE('',*,*,#16534,.F.); #21464=ORIENTED_EDGE('',*,*,#15989,.T.); #21465=ORIENTED_EDGE('',*,*,#16534,.T.); #21466=ORIENTED_EDGE('',*,*,#16285,.T.); #21467=ORIENTED_EDGE('',*,*,#16535,.F.); #21468=ORIENTED_EDGE('',*,*,#15990,.T.); #21469=ORIENTED_EDGE('',*,*,#16535,.T.); #21470=ORIENTED_EDGE('',*,*,#16284,.T.); #21471=ORIENTED_EDGE('',*,*,#16536,.F.); #21472=ORIENTED_EDGE('',*,*,#15991,.T.); #21473=ORIENTED_EDGE('',*,*,#16536,.T.); #21474=ORIENTED_EDGE('',*,*,#16283,.T.); #21475=ORIENTED_EDGE('',*,*,#16537,.F.); #21476=ORIENTED_EDGE('',*,*,#15992,.T.); #21477=ORIENTED_EDGE('',*,*,#16537,.T.); #21478=ORIENTED_EDGE('',*,*,#16282,.T.); #21479=ORIENTED_EDGE('',*,*,#16538,.F.); #21480=ORIENTED_EDGE('',*,*,#15993,.T.); #21481=ORIENTED_EDGE('',*,*,#16538,.T.); #21482=ORIENTED_EDGE('',*,*,#16281,.T.); #21483=ORIENTED_EDGE('',*,*,#16539,.F.); #21484=ORIENTED_EDGE('',*,*,#15994,.T.); #21485=ORIENTED_EDGE('',*,*,#16539,.T.); #21486=ORIENTED_EDGE('',*,*,#16280,.T.); #21487=ORIENTED_EDGE('',*,*,#16540,.F.); #21488=ORIENTED_EDGE('',*,*,#15995,.T.); #21489=ORIENTED_EDGE('',*,*,#16540,.T.); #21490=ORIENTED_EDGE('',*,*,#16279,.T.); #21491=ORIENTED_EDGE('',*,*,#16541,.F.); #21492=ORIENTED_EDGE('',*,*,#15996,.T.); #21493=ORIENTED_EDGE('',*,*,#16541,.T.); #21494=ORIENTED_EDGE('',*,*,#16278,.T.); #21495=ORIENTED_EDGE('',*,*,#16542,.F.); #21496=ORIENTED_EDGE('',*,*,#15997,.T.); #21497=ORIENTED_EDGE('',*,*,#16542,.T.); #21498=ORIENTED_EDGE('',*,*,#16277,.T.); #21499=ORIENTED_EDGE('',*,*,#16543,.F.); #21500=ORIENTED_EDGE('',*,*,#15998,.T.); #21501=ORIENTED_EDGE('',*,*,#16543,.T.); #21502=ORIENTED_EDGE('',*,*,#16276,.T.); #21503=ORIENTED_EDGE('',*,*,#16544,.F.); #21504=ORIENTED_EDGE('',*,*,#15999,.T.); #21505=ORIENTED_EDGE('',*,*,#16544,.T.); #21506=ORIENTED_EDGE('',*,*,#16275,.T.); #21507=ORIENTED_EDGE('',*,*,#16545,.F.); #21508=ORIENTED_EDGE('',*,*,#16000,.T.); #21509=ORIENTED_EDGE('',*,*,#16545,.T.); #21510=ORIENTED_EDGE('',*,*,#16274,.T.); #21511=ORIENTED_EDGE('',*,*,#16546,.F.); #21512=ORIENTED_EDGE('',*,*,#16001,.T.); #21513=ORIENTED_EDGE('',*,*,#16546,.T.); #21514=ORIENTED_EDGE('',*,*,#16273,.T.); #21515=ORIENTED_EDGE('',*,*,#16547,.F.); #21516=ORIENTED_EDGE('',*,*,#16002,.T.); #21517=ORIENTED_EDGE('',*,*,#16547,.T.); #21518=ORIENTED_EDGE('',*,*,#16272,.T.); #21519=ORIENTED_EDGE('',*,*,#16548,.F.); #21520=ORIENTED_EDGE('',*,*,#16003,.T.); #21521=ORIENTED_EDGE('',*,*,#16548,.T.); #21522=ORIENTED_EDGE('',*,*,#16271,.T.); #21523=ORIENTED_EDGE('',*,*,#16549,.F.); #21524=ORIENTED_EDGE('',*,*,#16004,.T.); #21525=ORIENTED_EDGE('',*,*,#16549,.T.); #21526=ORIENTED_EDGE('',*,*,#16270,.T.); #21527=ORIENTED_EDGE('',*,*,#16550,.F.); #21528=ORIENTED_EDGE('',*,*,#16005,.T.); #21529=ORIENTED_EDGE('',*,*,#16550,.T.); #21530=ORIENTED_EDGE('',*,*,#16269,.T.); #21531=ORIENTED_EDGE('',*,*,#16551,.F.); #21532=ORIENTED_EDGE('',*,*,#16006,.T.); #21533=ORIENTED_EDGE('',*,*,#16551,.T.); #21534=ORIENTED_EDGE('',*,*,#16268,.T.); #21535=ORIENTED_EDGE('',*,*,#16552,.F.); #21536=ORIENTED_EDGE('',*,*,#16007,.T.); #21537=ORIENTED_EDGE('',*,*,#16552,.T.); #21538=ORIENTED_EDGE('',*,*,#16267,.T.); #21539=ORIENTED_EDGE('',*,*,#16553,.F.); #21540=ORIENTED_EDGE('',*,*,#16008,.T.); #21541=ORIENTED_EDGE('',*,*,#16553,.T.); #21542=ORIENTED_EDGE('',*,*,#16266,.T.); #21543=ORIENTED_EDGE('',*,*,#16554,.F.); #21544=ORIENTED_EDGE('',*,*,#16009,.T.); #21545=ORIENTED_EDGE('',*,*,#16554,.T.); #21546=ORIENTED_EDGE('',*,*,#16265,.T.); #21547=ORIENTED_EDGE('',*,*,#16555,.F.); #21548=ORIENTED_EDGE('',*,*,#16010,.T.); #21549=ORIENTED_EDGE('',*,*,#16555,.T.); #21550=ORIENTED_EDGE('',*,*,#16264,.T.); #21551=ORIENTED_EDGE('',*,*,#16556,.F.); #21552=ORIENTED_EDGE('',*,*,#16011,.T.); #21553=ORIENTED_EDGE('',*,*,#16556,.T.); #21554=ORIENTED_EDGE('',*,*,#16263,.T.); #21555=ORIENTED_EDGE('',*,*,#16557,.F.); #21556=ORIENTED_EDGE('',*,*,#16012,.T.); #21557=ORIENTED_EDGE('',*,*,#16557,.T.); #21558=ORIENTED_EDGE('',*,*,#16262,.T.); #21559=ORIENTED_EDGE('',*,*,#16558,.F.); #21560=ORIENTED_EDGE('',*,*,#16013,.T.); #21561=ORIENTED_EDGE('',*,*,#16558,.T.); #21562=ORIENTED_EDGE('',*,*,#16261,.T.); #21563=ORIENTED_EDGE('',*,*,#16559,.F.); #21564=ORIENTED_EDGE('',*,*,#16014,.T.); #21565=ORIENTED_EDGE('',*,*,#16559,.T.); #21566=ORIENTED_EDGE('',*,*,#16260,.T.); #21567=ORIENTED_EDGE('',*,*,#16560,.F.); #21568=ORIENTED_EDGE('',*,*,#16015,.T.); #21569=ORIENTED_EDGE('',*,*,#16560,.T.); #21570=ORIENTED_EDGE('',*,*,#16259,.T.); #21571=ORIENTED_EDGE('',*,*,#16561,.F.); #21572=ORIENTED_EDGE('',*,*,#16016,.T.); #21573=ORIENTED_EDGE('',*,*,#16561,.T.); #21574=ORIENTED_EDGE('',*,*,#16258,.T.); #21575=ORIENTED_EDGE('',*,*,#16562,.F.); #21576=ORIENTED_EDGE('',*,*,#16017,.T.); #21577=ORIENTED_EDGE('',*,*,#16562,.T.); #21578=ORIENTED_EDGE('',*,*,#16257,.T.); #21579=ORIENTED_EDGE('',*,*,#16509,.F.); #21580=ORIENTED_EDGE('',*,*,#16018,.T.); #21581=ORIENTED_EDGE('',*,*,#16563,.T.); #21582=ORIENTED_EDGE('',*,*,#16310,.T.); #21583=ORIENTED_EDGE('',*,*,#16564,.F.); #21584=ORIENTED_EDGE('',*,*,#16019,.T.); #21585=ORIENTED_EDGE('',*,*,#16564,.T.); #21586=ORIENTED_EDGE('',*,*,#16317,.T.); #21587=ORIENTED_EDGE('',*,*,#16565,.F.); #21588=ORIENTED_EDGE('',*,*,#16020,.T.); #21589=ORIENTED_EDGE('',*,*,#16565,.T.); #21590=ORIENTED_EDGE('',*,*,#16316,.T.); #21591=ORIENTED_EDGE('',*,*,#16566,.F.); #21592=ORIENTED_EDGE('',*,*,#16021,.T.); #21593=ORIENTED_EDGE('',*,*,#16566,.T.); #21594=ORIENTED_EDGE('',*,*,#16315,.T.); #21595=ORIENTED_EDGE('',*,*,#16567,.F.); #21596=ORIENTED_EDGE('',*,*,#16022,.T.); #21597=ORIENTED_EDGE('',*,*,#16567,.T.); #21598=ORIENTED_EDGE('',*,*,#16314,.T.); #21599=ORIENTED_EDGE('',*,*,#16568,.F.); #21600=ORIENTED_EDGE('',*,*,#16023,.T.); #21601=ORIENTED_EDGE('',*,*,#16568,.T.); #21602=ORIENTED_EDGE('',*,*,#16313,.T.); #21603=ORIENTED_EDGE('',*,*,#16569,.F.); #21604=ORIENTED_EDGE('',*,*,#16024,.T.); #21605=ORIENTED_EDGE('',*,*,#16569,.T.); #21606=ORIENTED_EDGE('',*,*,#16312,.T.); #21607=ORIENTED_EDGE('',*,*,#16570,.F.); #21608=ORIENTED_EDGE('',*,*,#16025,.T.); #21609=ORIENTED_EDGE('',*,*,#16570,.T.); #21610=ORIENTED_EDGE('',*,*,#16311,.T.); #21611=ORIENTED_EDGE('',*,*,#16563,.F.); #21612=ORIENTED_EDGE('',*,*,#16026,.T.); #21613=ORIENTED_EDGE('',*,*,#16571,.T.); #21614=ORIENTED_EDGE('',*,*,#16318,.T.); #21615=ORIENTED_EDGE('',*,*,#16572,.F.); #21616=ORIENTED_EDGE('',*,*,#16027,.T.); #21617=ORIENTED_EDGE('',*,*,#16572,.T.); #21618=ORIENTED_EDGE('',*,*,#16329,.T.); #21619=ORIENTED_EDGE('',*,*,#16573,.F.); #21620=ORIENTED_EDGE('',*,*,#16028,.T.); #21621=ORIENTED_EDGE('',*,*,#16573,.T.); #21622=ORIENTED_EDGE('',*,*,#16328,.T.); #21623=ORIENTED_EDGE('',*,*,#16574,.F.); #21624=ORIENTED_EDGE('',*,*,#16029,.T.); #21625=ORIENTED_EDGE('',*,*,#16574,.T.); #21626=ORIENTED_EDGE('',*,*,#16327,.T.); #21627=ORIENTED_EDGE('',*,*,#16575,.F.); #21628=ORIENTED_EDGE('',*,*,#16030,.T.); #21629=ORIENTED_EDGE('',*,*,#16575,.T.); #21630=ORIENTED_EDGE('',*,*,#16326,.T.); #21631=ORIENTED_EDGE('',*,*,#16576,.F.); #21632=ORIENTED_EDGE('',*,*,#16031,.T.); #21633=ORIENTED_EDGE('',*,*,#16576,.T.); #21634=ORIENTED_EDGE('',*,*,#16325,.T.); #21635=ORIENTED_EDGE('',*,*,#16577,.F.); #21636=ORIENTED_EDGE('',*,*,#16032,.T.); #21637=ORIENTED_EDGE('',*,*,#16577,.T.); #21638=ORIENTED_EDGE('',*,*,#16324,.T.); #21639=ORIENTED_EDGE('',*,*,#16578,.F.); #21640=ORIENTED_EDGE('',*,*,#16033,.T.); #21641=ORIENTED_EDGE('',*,*,#16578,.T.); #21642=ORIENTED_EDGE('',*,*,#16323,.T.); #21643=ORIENTED_EDGE('',*,*,#16579,.F.); #21644=ORIENTED_EDGE('',*,*,#16034,.T.); #21645=ORIENTED_EDGE('',*,*,#16579,.T.); #21646=ORIENTED_EDGE('',*,*,#16322,.T.); #21647=ORIENTED_EDGE('',*,*,#16580,.F.); #21648=ORIENTED_EDGE('',*,*,#16035,.T.); #21649=ORIENTED_EDGE('',*,*,#16580,.T.); #21650=ORIENTED_EDGE('',*,*,#16321,.T.); #21651=ORIENTED_EDGE('',*,*,#16581,.F.); #21652=ORIENTED_EDGE('',*,*,#16036,.T.); #21653=ORIENTED_EDGE('',*,*,#16581,.T.); #21654=ORIENTED_EDGE('',*,*,#16320,.T.); #21655=ORIENTED_EDGE('',*,*,#16582,.F.); #21656=ORIENTED_EDGE('',*,*,#16037,.T.); #21657=ORIENTED_EDGE('',*,*,#16582,.T.); #21658=ORIENTED_EDGE('',*,*,#16319,.T.); #21659=ORIENTED_EDGE('',*,*,#16571,.F.); #21660=ORIENTED_EDGE('',*,*,#16038,.T.); #21661=ORIENTED_EDGE('',*,*,#16583,.T.); #21662=ORIENTED_EDGE('',*,*,#16330,.T.); #21663=ORIENTED_EDGE('',*,*,#16584,.F.); #21664=ORIENTED_EDGE('',*,*,#16039,.T.); #21665=ORIENTED_EDGE('',*,*,#16584,.T.); #21666=ORIENTED_EDGE('',*,*,#16342,.T.); #21667=ORIENTED_EDGE('',*,*,#16585,.F.); #21668=ORIENTED_EDGE('',*,*,#16040,.T.); #21669=ORIENTED_EDGE('',*,*,#16585,.T.); #21670=ORIENTED_EDGE('',*,*,#16341,.T.); #21671=ORIENTED_EDGE('',*,*,#16586,.F.); #21672=ORIENTED_EDGE('',*,*,#16041,.T.); #21673=ORIENTED_EDGE('',*,*,#16586,.T.); #21674=ORIENTED_EDGE('',*,*,#16340,.T.); #21675=ORIENTED_EDGE('',*,*,#16587,.F.); #21676=ORIENTED_EDGE('',*,*,#16042,.T.); #21677=ORIENTED_EDGE('',*,*,#16587,.T.); #21678=ORIENTED_EDGE('',*,*,#16339,.T.); #21679=ORIENTED_EDGE('',*,*,#16588,.F.); #21680=ORIENTED_EDGE('',*,*,#16043,.T.); #21681=ORIENTED_EDGE('',*,*,#16588,.T.); #21682=ORIENTED_EDGE('',*,*,#16338,.T.); #21683=ORIENTED_EDGE('',*,*,#16589,.F.); #21684=ORIENTED_EDGE('',*,*,#16044,.T.); #21685=ORIENTED_EDGE('',*,*,#16589,.T.); #21686=ORIENTED_EDGE('',*,*,#16337,.T.); #21687=ORIENTED_EDGE('',*,*,#16590,.F.); #21688=ORIENTED_EDGE('',*,*,#16045,.T.); #21689=ORIENTED_EDGE('',*,*,#16590,.T.); #21690=ORIENTED_EDGE('',*,*,#16336,.T.); #21691=ORIENTED_EDGE('',*,*,#16591,.F.); #21692=ORIENTED_EDGE('',*,*,#16046,.T.); #21693=ORIENTED_EDGE('',*,*,#16591,.T.); #21694=ORIENTED_EDGE('',*,*,#16335,.T.); #21695=ORIENTED_EDGE('',*,*,#16592,.F.); #21696=ORIENTED_EDGE('',*,*,#16047,.T.); #21697=ORIENTED_EDGE('',*,*,#16592,.T.); #21698=ORIENTED_EDGE('',*,*,#16334,.T.); #21699=ORIENTED_EDGE('',*,*,#16593,.F.); #21700=ORIENTED_EDGE('',*,*,#16048,.T.); #21701=ORIENTED_EDGE('',*,*,#16593,.T.); #21702=ORIENTED_EDGE('',*,*,#16333,.T.); #21703=ORIENTED_EDGE('',*,*,#16594,.F.); #21704=ORIENTED_EDGE('',*,*,#16049,.T.); #21705=ORIENTED_EDGE('',*,*,#16594,.T.); #21706=ORIENTED_EDGE('',*,*,#16332,.T.); #21707=ORIENTED_EDGE('',*,*,#16595,.F.); #21708=ORIENTED_EDGE('',*,*,#16050,.T.); #21709=ORIENTED_EDGE('',*,*,#16595,.T.); #21710=ORIENTED_EDGE('',*,*,#16331,.T.); #21711=ORIENTED_EDGE('',*,*,#16583,.F.); #21712=ORIENTED_EDGE('',*,*,#16051,.T.); #21713=ORIENTED_EDGE('',*,*,#16596,.T.); #21714=ORIENTED_EDGE('',*,*,#16343,.T.); #21715=ORIENTED_EDGE('',*,*,#16597,.F.); #21716=ORIENTED_EDGE('',*,*,#16052,.T.); #21717=ORIENTED_EDGE('',*,*,#16597,.T.); #21718=ORIENTED_EDGE('',*,*,#16356,.T.); #21719=ORIENTED_EDGE('',*,*,#16598,.F.); #21720=ORIENTED_EDGE('',*,*,#16053,.T.); #21721=ORIENTED_EDGE('',*,*,#16598,.T.); #21722=ORIENTED_EDGE('',*,*,#16355,.T.); #21723=ORIENTED_EDGE('',*,*,#16599,.F.); #21724=ORIENTED_EDGE('',*,*,#16054,.T.); #21725=ORIENTED_EDGE('',*,*,#16599,.T.); #21726=ORIENTED_EDGE('',*,*,#16354,.T.); #21727=ORIENTED_EDGE('',*,*,#16600,.F.); #21728=ORIENTED_EDGE('',*,*,#16055,.T.); #21729=ORIENTED_EDGE('',*,*,#16600,.T.); #21730=ORIENTED_EDGE('',*,*,#16353,.T.); #21731=ORIENTED_EDGE('',*,*,#16601,.F.); #21732=ORIENTED_EDGE('',*,*,#16056,.T.); #21733=ORIENTED_EDGE('',*,*,#16601,.T.); #21734=ORIENTED_EDGE('',*,*,#16352,.T.); #21735=ORIENTED_EDGE('',*,*,#16602,.F.); #21736=ORIENTED_EDGE('',*,*,#16057,.T.); #21737=ORIENTED_EDGE('',*,*,#16602,.T.); #21738=ORIENTED_EDGE('',*,*,#16351,.T.); #21739=ORIENTED_EDGE('',*,*,#16603,.F.); #21740=ORIENTED_EDGE('',*,*,#16058,.T.); #21741=ORIENTED_EDGE('',*,*,#16603,.T.); #21742=ORIENTED_EDGE('',*,*,#16350,.T.); #21743=ORIENTED_EDGE('',*,*,#16604,.F.); #21744=ORIENTED_EDGE('',*,*,#16059,.T.); #21745=ORIENTED_EDGE('',*,*,#16604,.T.); #21746=ORIENTED_EDGE('',*,*,#16349,.T.); #21747=ORIENTED_EDGE('',*,*,#16605,.F.); #21748=ORIENTED_EDGE('',*,*,#16060,.T.); #21749=ORIENTED_EDGE('',*,*,#16605,.T.); #21750=ORIENTED_EDGE('',*,*,#16348,.T.); #21751=ORIENTED_EDGE('',*,*,#16606,.F.); #21752=ORIENTED_EDGE('',*,*,#16061,.T.); #21753=ORIENTED_EDGE('',*,*,#16606,.T.); #21754=ORIENTED_EDGE('',*,*,#16347,.T.); #21755=ORIENTED_EDGE('',*,*,#16607,.F.); #21756=ORIENTED_EDGE('',*,*,#16062,.T.); #21757=ORIENTED_EDGE('',*,*,#16607,.T.); #21758=ORIENTED_EDGE('',*,*,#16346,.T.); #21759=ORIENTED_EDGE('',*,*,#16608,.F.); #21760=ORIENTED_EDGE('',*,*,#16063,.T.); #21761=ORIENTED_EDGE('',*,*,#16608,.T.); #21762=ORIENTED_EDGE('',*,*,#16345,.T.); #21763=ORIENTED_EDGE('',*,*,#16609,.F.); #21764=ORIENTED_EDGE('',*,*,#16064,.T.); #21765=ORIENTED_EDGE('',*,*,#16609,.T.); #21766=ORIENTED_EDGE('',*,*,#16344,.T.); #21767=ORIENTED_EDGE('',*,*,#16596,.F.); #21768=ORIENTED_EDGE('',*,*,#16065,.T.); #21769=ORIENTED_EDGE('',*,*,#16610,.T.); #21770=ORIENTED_EDGE('',*,*,#16433,.T.); #21771=ORIENTED_EDGE('',*,*,#16611,.F.); #21772=ORIENTED_EDGE('',*,*,#16066,.T.); #21773=ORIENTED_EDGE('',*,*,#16611,.T.); #21774=ORIENTED_EDGE('',*,*,#16442,.T.); #21775=ORIENTED_EDGE('',*,*,#16612,.F.); #21776=ORIENTED_EDGE('',*,*,#16067,.T.); #21777=ORIENTED_EDGE('',*,*,#16612,.T.); #21778=ORIENTED_EDGE('',*,*,#16441,.T.); #21779=ORIENTED_EDGE('',*,*,#16613,.F.); #21780=ORIENTED_EDGE('',*,*,#16068,.T.); #21781=ORIENTED_EDGE('',*,*,#16613,.T.); #21782=ORIENTED_EDGE('',*,*,#16440,.T.); #21783=ORIENTED_EDGE('',*,*,#16614,.F.); #21784=ORIENTED_EDGE('',*,*,#16069,.T.); #21785=ORIENTED_EDGE('',*,*,#16614,.T.); #21786=ORIENTED_EDGE('',*,*,#16439,.T.); #21787=ORIENTED_EDGE('',*,*,#16615,.F.); #21788=ORIENTED_EDGE('',*,*,#16070,.T.); #21789=ORIENTED_EDGE('',*,*,#16615,.T.); #21790=ORIENTED_EDGE('',*,*,#16438,.T.); #21791=ORIENTED_EDGE('',*,*,#16616,.F.); #21792=ORIENTED_EDGE('',*,*,#16071,.T.); #21793=ORIENTED_EDGE('',*,*,#16616,.T.); #21794=ORIENTED_EDGE('',*,*,#16437,.T.); #21795=ORIENTED_EDGE('',*,*,#16617,.F.); #21796=ORIENTED_EDGE('',*,*,#16072,.T.); #21797=ORIENTED_EDGE('',*,*,#16617,.T.); #21798=ORIENTED_EDGE('',*,*,#16436,.T.); #21799=ORIENTED_EDGE('',*,*,#16618,.F.); #21800=ORIENTED_EDGE('',*,*,#16073,.T.); #21801=ORIENTED_EDGE('',*,*,#16618,.T.); #21802=ORIENTED_EDGE('',*,*,#16435,.T.); #21803=ORIENTED_EDGE('',*,*,#16619,.F.); #21804=ORIENTED_EDGE('',*,*,#16074,.T.); #21805=ORIENTED_EDGE('',*,*,#16619,.T.); #21806=ORIENTED_EDGE('',*,*,#16434,.T.); #21807=ORIENTED_EDGE('',*,*,#16610,.F.); #21808=ORIENTED_EDGE('',*,*,#16075,.T.); #21809=ORIENTED_EDGE('',*,*,#16620,.T.); #21810=ORIENTED_EDGE('',*,*,#16357,.T.); #21811=ORIENTED_EDGE('',*,*,#16621,.F.); #21812=ORIENTED_EDGE('',*,*,#16076,.T.); #21813=ORIENTED_EDGE('',*,*,#16621,.T.); #21814=ORIENTED_EDGE('',*,*,#16362,.T.); #21815=ORIENTED_EDGE('',*,*,#16622,.F.); #21816=ORIENTED_EDGE('',*,*,#16077,.T.); #21817=ORIENTED_EDGE('',*,*,#16622,.T.); #21818=ORIENTED_EDGE('',*,*,#16361,.T.); #21819=ORIENTED_EDGE('',*,*,#16623,.F.); #21820=ORIENTED_EDGE('',*,*,#16078,.T.); #21821=ORIENTED_EDGE('',*,*,#16623,.T.); #21822=ORIENTED_EDGE('',*,*,#16360,.T.); #21823=ORIENTED_EDGE('',*,*,#16624,.F.); #21824=ORIENTED_EDGE('',*,*,#16079,.T.); #21825=ORIENTED_EDGE('',*,*,#16624,.T.); #21826=ORIENTED_EDGE('',*,*,#16359,.T.); #21827=ORIENTED_EDGE('',*,*,#16625,.F.); #21828=ORIENTED_EDGE('',*,*,#16080,.T.); #21829=ORIENTED_EDGE('',*,*,#16625,.T.); #21830=ORIENTED_EDGE('',*,*,#16358,.T.); #21831=ORIENTED_EDGE('',*,*,#16620,.F.); #21832=ORIENTED_EDGE('',*,*,#16081,.T.); #21833=ORIENTED_EDGE('',*,*,#16626,.T.); #21834=ORIENTED_EDGE('',*,*,#16363,.T.); #21835=ORIENTED_EDGE('',*,*,#16627,.F.); #21836=ORIENTED_EDGE('',*,*,#16082,.T.); #21837=ORIENTED_EDGE('',*,*,#16627,.T.); #21838=ORIENTED_EDGE('',*,*,#16370,.T.); #21839=ORIENTED_EDGE('',*,*,#16628,.F.); #21840=ORIENTED_EDGE('',*,*,#16083,.T.); #21841=ORIENTED_EDGE('',*,*,#16628,.T.); #21842=ORIENTED_EDGE('',*,*,#16369,.T.); #21843=ORIENTED_EDGE('',*,*,#16629,.F.); #21844=ORIENTED_EDGE('',*,*,#16084,.T.); #21845=ORIENTED_EDGE('',*,*,#16629,.T.); #21846=ORIENTED_EDGE('',*,*,#16368,.T.); #21847=ORIENTED_EDGE('',*,*,#16630,.F.); #21848=ORIENTED_EDGE('',*,*,#16085,.T.); #21849=ORIENTED_EDGE('',*,*,#16630,.T.); #21850=ORIENTED_EDGE('',*,*,#16367,.T.); #21851=ORIENTED_EDGE('',*,*,#16631,.F.); #21852=ORIENTED_EDGE('',*,*,#16086,.T.); #21853=ORIENTED_EDGE('',*,*,#16631,.T.); #21854=ORIENTED_EDGE('',*,*,#16366,.T.); #21855=ORIENTED_EDGE('',*,*,#16632,.F.); #21856=ORIENTED_EDGE('',*,*,#16087,.T.); #21857=ORIENTED_EDGE('',*,*,#16632,.T.); #21858=ORIENTED_EDGE('',*,*,#16365,.T.); #21859=ORIENTED_EDGE('',*,*,#16633,.F.); #21860=ORIENTED_EDGE('',*,*,#16088,.T.); #21861=ORIENTED_EDGE('',*,*,#16633,.T.); #21862=ORIENTED_EDGE('',*,*,#16364,.T.); #21863=ORIENTED_EDGE('',*,*,#16626,.F.); #21864=ORIENTED_EDGE('',*,*,#16089,.T.); #21865=ORIENTED_EDGE('',*,*,#16634,.T.); #21866=ORIENTED_EDGE('',*,*,#16443,.T.); #21867=ORIENTED_EDGE('',*,*,#16635,.F.); #21868=ORIENTED_EDGE('',*,*,#16090,.T.); #21869=ORIENTED_EDGE('',*,*,#16635,.T.); #21870=ORIENTED_EDGE('',*,*,#16445,.T.); #21871=ORIENTED_EDGE('',*,*,#16636,.F.); #21872=ORIENTED_EDGE('',*,*,#16091,.T.); #21873=ORIENTED_EDGE('',*,*,#16636,.T.); #21874=ORIENTED_EDGE('',*,*,#16444,.T.); #21875=ORIENTED_EDGE('',*,*,#16634,.F.); #21876=ORIENTED_EDGE('',*,*,#16092,.T.); #21877=ORIENTED_EDGE('',*,*,#16637,.T.); #21878=ORIENTED_EDGE('',*,*,#16371,.T.); #21879=ORIENTED_EDGE('',*,*,#16638,.F.); #21880=ORIENTED_EDGE('',*,*,#16093,.T.); #21881=ORIENTED_EDGE('',*,*,#16638,.T.); #21882=ORIENTED_EDGE('',*,*,#16424,.T.); #21883=ORIENTED_EDGE('',*,*,#16639,.F.); #21884=ORIENTED_EDGE('',*,*,#16094,.T.); #21885=ORIENTED_EDGE('',*,*,#16639,.T.); #21886=ORIENTED_EDGE('',*,*,#16423,.T.); #21887=ORIENTED_EDGE('',*,*,#16640,.F.); #21888=ORIENTED_EDGE('',*,*,#16095,.T.); #21889=ORIENTED_EDGE('',*,*,#16640,.T.); #21890=ORIENTED_EDGE('',*,*,#16422,.T.); #21891=ORIENTED_EDGE('',*,*,#16641,.F.); #21892=ORIENTED_EDGE('',*,*,#16096,.T.); #21893=ORIENTED_EDGE('',*,*,#16641,.T.); #21894=ORIENTED_EDGE('',*,*,#16421,.T.); #21895=ORIENTED_EDGE('',*,*,#16642,.F.); #21896=ORIENTED_EDGE('',*,*,#16097,.T.); #21897=ORIENTED_EDGE('',*,*,#16642,.T.); #21898=ORIENTED_EDGE('',*,*,#16420,.T.); #21899=ORIENTED_EDGE('',*,*,#16643,.F.); #21900=ORIENTED_EDGE('',*,*,#16098,.T.); #21901=ORIENTED_EDGE('',*,*,#16643,.T.); #21902=ORIENTED_EDGE('',*,*,#16419,.T.); #21903=ORIENTED_EDGE('',*,*,#16644,.F.); #21904=ORIENTED_EDGE('',*,*,#16099,.T.); #21905=ORIENTED_EDGE('',*,*,#16644,.T.); #21906=ORIENTED_EDGE('',*,*,#16418,.T.); #21907=ORIENTED_EDGE('',*,*,#16645,.F.); #21908=ORIENTED_EDGE('',*,*,#16100,.T.); #21909=ORIENTED_EDGE('',*,*,#16645,.T.); #21910=ORIENTED_EDGE('',*,*,#16417,.T.); #21911=ORIENTED_EDGE('',*,*,#16646,.F.); #21912=ORIENTED_EDGE('',*,*,#16101,.T.); #21913=ORIENTED_EDGE('',*,*,#16646,.T.); #21914=ORIENTED_EDGE('',*,*,#16416,.T.); #21915=ORIENTED_EDGE('',*,*,#16647,.F.); #21916=ORIENTED_EDGE('',*,*,#16102,.T.); #21917=ORIENTED_EDGE('',*,*,#16647,.T.); #21918=ORIENTED_EDGE('',*,*,#16415,.T.); #21919=ORIENTED_EDGE('',*,*,#16648,.F.); #21920=ORIENTED_EDGE('',*,*,#16103,.T.); #21921=ORIENTED_EDGE('',*,*,#16648,.T.); #21922=ORIENTED_EDGE('',*,*,#16414,.T.); #21923=ORIENTED_EDGE('',*,*,#16649,.F.); #21924=ORIENTED_EDGE('',*,*,#16104,.T.); #21925=ORIENTED_EDGE('',*,*,#16649,.T.); #21926=ORIENTED_EDGE('',*,*,#16413,.T.); #21927=ORIENTED_EDGE('',*,*,#16650,.F.); #21928=ORIENTED_EDGE('',*,*,#16105,.T.); #21929=ORIENTED_EDGE('',*,*,#16650,.T.); #21930=ORIENTED_EDGE('',*,*,#16412,.T.); #21931=ORIENTED_EDGE('',*,*,#16651,.F.); #21932=ORIENTED_EDGE('',*,*,#16106,.T.); #21933=ORIENTED_EDGE('',*,*,#16651,.T.); #21934=ORIENTED_EDGE('',*,*,#16411,.T.); #21935=ORIENTED_EDGE('',*,*,#16652,.F.); #21936=ORIENTED_EDGE('',*,*,#16107,.T.); #21937=ORIENTED_EDGE('',*,*,#16652,.T.); #21938=ORIENTED_EDGE('',*,*,#16410,.T.); #21939=ORIENTED_EDGE('',*,*,#16653,.F.); #21940=ORIENTED_EDGE('',*,*,#16108,.T.); #21941=ORIENTED_EDGE('',*,*,#16653,.T.); #21942=ORIENTED_EDGE('',*,*,#16409,.T.); #21943=ORIENTED_EDGE('',*,*,#16654,.F.); #21944=ORIENTED_EDGE('',*,*,#16109,.T.); #21945=ORIENTED_EDGE('',*,*,#16654,.T.); #21946=ORIENTED_EDGE('',*,*,#16408,.T.); #21947=ORIENTED_EDGE('',*,*,#16655,.F.); #21948=ORIENTED_EDGE('',*,*,#16110,.T.); #21949=ORIENTED_EDGE('',*,*,#16655,.T.); #21950=ORIENTED_EDGE('',*,*,#16407,.T.); #21951=ORIENTED_EDGE('',*,*,#16656,.F.); #21952=ORIENTED_EDGE('',*,*,#16111,.T.); #21953=ORIENTED_EDGE('',*,*,#16656,.T.); #21954=ORIENTED_EDGE('',*,*,#16406,.T.); #21955=ORIENTED_EDGE('',*,*,#16657,.F.); #21956=ORIENTED_EDGE('',*,*,#16112,.T.); #21957=ORIENTED_EDGE('',*,*,#16657,.T.); #21958=ORIENTED_EDGE('',*,*,#16405,.T.); #21959=ORIENTED_EDGE('',*,*,#16658,.F.); #21960=ORIENTED_EDGE('',*,*,#16113,.T.); #21961=ORIENTED_EDGE('',*,*,#16658,.T.); #21962=ORIENTED_EDGE('',*,*,#16404,.T.); #21963=ORIENTED_EDGE('',*,*,#16659,.F.); #21964=ORIENTED_EDGE('',*,*,#16114,.T.); #21965=ORIENTED_EDGE('',*,*,#16659,.T.); #21966=ORIENTED_EDGE('',*,*,#16403,.T.); #21967=ORIENTED_EDGE('',*,*,#16660,.F.); #21968=ORIENTED_EDGE('',*,*,#16115,.T.); #21969=ORIENTED_EDGE('',*,*,#16660,.T.); #21970=ORIENTED_EDGE('',*,*,#16402,.T.); #21971=ORIENTED_EDGE('',*,*,#16661,.F.); #21972=ORIENTED_EDGE('',*,*,#16116,.T.); #21973=ORIENTED_EDGE('',*,*,#16661,.T.); #21974=ORIENTED_EDGE('',*,*,#16401,.T.); #21975=ORIENTED_EDGE('',*,*,#16662,.F.); #21976=ORIENTED_EDGE('',*,*,#16117,.T.); #21977=ORIENTED_EDGE('',*,*,#16662,.T.); #21978=ORIENTED_EDGE('',*,*,#16400,.T.); #21979=ORIENTED_EDGE('',*,*,#16663,.F.); #21980=ORIENTED_EDGE('',*,*,#16118,.T.); #21981=ORIENTED_EDGE('',*,*,#16663,.T.); #21982=ORIENTED_EDGE('',*,*,#16399,.T.); #21983=ORIENTED_EDGE('',*,*,#16664,.F.); #21984=ORIENTED_EDGE('',*,*,#16119,.T.); #21985=ORIENTED_EDGE('',*,*,#16664,.T.); #21986=ORIENTED_EDGE('',*,*,#16398,.T.); #21987=ORIENTED_EDGE('',*,*,#16665,.F.); #21988=ORIENTED_EDGE('',*,*,#16120,.T.); #21989=ORIENTED_EDGE('',*,*,#16665,.T.); #21990=ORIENTED_EDGE('',*,*,#16397,.T.); #21991=ORIENTED_EDGE('',*,*,#16666,.F.); #21992=ORIENTED_EDGE('',*,*,#16121,.T.); #21993=ORIENTED_EDGE('',*,*,#16666,.T.); #21994=ORIENTED_EDGE('',*,*,#16396,.T.); #21995=ORIENTED_EDGE('',*,*,#16667,.F.); #21996=ORIENTED_EDGE('',*,*,#16122,.T.); #21997=ORIENTED_EDGE('',*,*,#16667,.T.); #21998=ORIENTED_EDGE('',*,*,#16395,.T.); #21999=ORIENTED_EDGE('',*,*,#16668,.F.); #22000=ORIENTED_EDGE('',*,*,#16123,.T.); #22001=ORIENTED_EDGE('',*,*,#16668,.T.); #22002=ORIENTED_EDGE('',*,*,#16394,.T.); #22003=ORIENTED_EDGE('',*,*,#16669,.F.); #22004=ORIENTED_EDGE('',*,*,#16124,.T.); #22005=ORIENTED_EDGE('',*,*,#16669,.T.); #22006=ORIENTED_EDGE('',*,*,#16393,.T.); #22007=ORIENTED_EDGE('',*,*,#16670,.F.); #22008=ORIENTED_EDGE('',*,*,#16125,.T.); #22009=ORIENTED_EDGE('',*,*,#16670,.T.); #22010=ORIENTED_EDGE('',*,*,#16392,.T.); #22011=ORIENTED_EDGE('',*,*,#16671,.F.); #22012=ORIENTED_EDGE('',*,*,#16126,.T.); #22013=ORIENTED_EDGE('',*,*,#16671,.T.); #22014=ORIENTED_EDGE('',*,*,#16391,.T.); #22015=ORIENTED_EDGE('',*,*,#16672,.F.); #22016=ORIENTED_EDGE('',*,*,#16127,.T.); #22017=ORIENTED_EDGE('',*,*,#16672,.T.); #22018=ORIENTED_EDGE('',*,*,#16390,.T.); #22019=ORIENTED_EDGE('',*,*,#16673,.F.); #22020=ORIENTED_EDGE('',*,*,#16128,.T.); #22021=ORIENTED_EDGE('',*,*,#16673,.T.); #22022=ORIENTED_EDGE('',*,*,#16389,.T.); #22023=ORIENTED_EDGE('',*,*,#16674,.F.); #22024=ORIENTED_EDGE('',*,*,#16129,.T.); #22025=ORIENTED_EDGE('',*,*,#16674,.T.); #22026=ORIENTED_EDGE('',*,*,#16388,.T.); #22027=ORIENTED_EDGE('',*,*,#16675,.F.); #22028=ORIENTED_EDGE('',*,*,#16130,.T.); #22029=ORIENTED_EDGE('',*,*,#16675,.T.); #22030=ORIENTED_EDGE('',*,*,#16387,.T.); #22031=ORIENTED_EDGE('',*,*,#16676,.F.); #22032=ORIENTED_EDGE('',*,*,#16131,.T.); #22033=ORIENTED_EDGE('',*,*,#16676,.T.); #22034=ORIENTED_EDGE('',*,*,#16386,.T.); #22035=ORIENTED_EDGE('',*,*,#16677,.F.); #22036=ORIENTED_EDGE('',*,*,#16132,.T.); #22037=ORIENTED_EDGE('',*,*,#16677,.T.); #22038=ORIENTED_EDGE('',*,*,#16385,.T.); #22039=ORIENTED_EDGE('',*,*,#16678,.F.); #22040=ORIENTED_EDGE('',*,*,#16133,.T.); #22041=ORIENTED_EDGE('',*,*,#16678,.T.); #22042=ORIENTED_EDGE('',*,*,#16384,.T.); #22043=ORIENTED_EDGE('',*,*,#16679,.F.); #22044=ORIENTED_EDGE('',*,*,#16134,.T.); #22045=ORIENTED_EDGE('',*,*,#16679,.T.); #22046=ORIENTED_EDGE('',*,*,#16383,.T.); #22047=ORIENTED_EDGE('',*,*,#16680,.F.); #22048=ORIENTED_EDGE('',*,*,#16135,.T.); #22049=ORIENTED_EDGE('',*,*,#16680,.T.); #22050=ORIENTED_EDGE('',*,*,#16382,.T.); #22051=ORIENTED_EDGE('',*,*,#16681,.F.); #22052=ORIENTED_EDGE('',*,*,#16136,.T.); #22053=ORIENTED_EDGE('',*,*,#16681,.T.); #22054=ORIENTED_EDGE('',*,*,#16381,.T.); #22055=ORIENTED_EDGE('',*,*,#16682,.F.); #22056=ORIENTED_EDGE('',*,*,#16137,.T.); #22057=ORIENTED_EDGE('',*,*,#16682,.T.); #22058=ORIENTED_EDGE('',*,*,#16380,.T.); #22059=ORIENTED_EDGE('',*,*,#16683,.F.); #22060=ORIENTED_EDGE('',*,*,#16138,.T.); #22061=ORIENTED_EDGE('',*,*,#16683,.T.); #22062=ORIENTED_EDGE('',*,*,#16379,.T.); #22063=ORIENTED_EDGE('',*,*,#16684,.F.); #22064=ORIENTED_EDGE('',*,*,#16139,.T.); #22065=ORIENTED_EDGE('',*,*,#16684,.T.); #22066=ORIENTED_EDGE('',*,*,#16378,.T.); #22067=ORIENTED_EDGE('',*,*,#16685,.F.); #22068=ORIENTED_EDGE('',*,*,#16140,.T.); #22069=ORIENTED_EDGE('',*,*,#16685,.T.); #22070=ORIENTED_EDGE('',*,*,#16377,.T.); #22071=ORIENTED_EDGE('',*,*,#16686,.F.); #22072=ORIENTED_EDGE('',*,*,#16141,.T.); #22073=ORIENTED_EDGE('',*,*,#16686,.T.); #22074=ORIENTED_EDGE('',*,*,#16376,.T.); #22075=ORIENTED_EDGE('',*,*,#16687,.F.); #22076=ORIENTED_EDGE('',*,*,#16142,.T.); #22077=ORIENTED_EDGE('',*,*,#16687,.T.); #22078=ORIENTED_EDGE('',*,*,#16375,.T.); #22079=ORIENTED_EDGE('',*,*,#16688,.F.); #22080=ORIENTED_EDGE('',*,*,#16143,.T.); #22081=ORIENTED_EDGE('',*,*,#16688,.T.); #22082=ORIENTED_EDGE('',*,*,#16374,.T.); #22083=ORIENTED_EDGE('',*,*,#16689,.F.); #22084=ORIENTED_EDGE('',*,*,#16144,.T.); #22085=ORIENTED_EDGE('',*,*,#16689,.T.); #22086=ORIENTED_EDGE('',*,*,#16373,.T.); #22087=ORIENTED_EDGE('',*,*,#16690,.F.); #22088=ORIENTED_EDGE('',*,*,#16145,.T.); #22089=ORIENTED_EDGE('',*,*,#16690,.T.); #22090=ORIENTED_EDGE('',*,*,#16372,.T.); #22091=ORIENTED_EDGE('',*,*,#16637,.F.); #22092=ORIENTED_EDGE('',*,*,#16146,.T.); #22093=ORIENTED_EDGE('',*,*,#16691,.T.); #22094=ORIENTED_EDGE('',*,*,#16425,.T.); #22095=ORIENTED_EDGE('',*,*,#16692,.F.); #22096=ORIENTED_EDGE('',*,*,#16147,.T.); #22097=ORIENTED_EDGE('',*,*,#16692,.T.); #22098=ORIENTED_EDGE('',*,*,#16432,.T.); #22099=ORIENTED_EDGE('',*,*,#16693,.F.); #22100=ORIENTED_EDGE('',*,*,#16148,.T.); #22101=ORIENTED_EDGE('',*,*,#16693,.T.); #22102=ORIENTED_EDGE('',*,*,#16431,.T.); #22103=ORIENTED_EDGE('',*,*,#16694,.F.); #22104=ORIENTED_EDGE('',*,*,#16149,.T.); #22105=ORIENTED_EDGE('',*,*,#16694,.T.); #22106=ORIENTED_EDGE('',*,*,#16430,.T.); #22107=ORIENTED_EDGE('',*,*,#16695,.F.); #22108=ORIENTED_EDGE('',*,*,#16150,.T.); #22109=ORIENTED_EDGE('',*,*,#16695,.T.); #22110=ORIENTED_EDGE('',*,*,#16429,.T.); #22111=ORIENTED_EDGE('',*,*,#16696,.F.); #22112=ORIENTED_EDGE('',*,*,#16151,.T.); #22113=ORIENTED_EDGE('',*,*,#16696,.T.); #22114=ORIENTED_EDGE('',*,*,#16428,.T.); #22115=ORIENTED_EDGE('',*,*,#16697,.F.); #22116=ORIENTED_EDGE('',*,*,#16152,.T.); #22117=ORIENTED_EDGE('',*,*,#16697,.T.); #22118=ORIENTED_EDGE('',*,*,#16427,.T.); #22119=ORIENTED_EDGE('',*,*,#16698,.F.); #22120=ORIENTED_EDGE('',*,*,#16153,.T.); #22121=ORIENTED_EDGE('',*,*,#16698,.T.); #22122=ORIENTED_EDGE('',*,*,#16426,.T.); #22123=ORIENTED_EDGE('',*,*,#16691,.F.); #22124=ORIENTED_EDGE('',*,*,#16699,.F.); #22125=ORIENTED_EDGE('',*,*,#16700,.F.); #22126=ORIENTED_EDGE('',*,*,#16701,.T.); #22127=ORIENTED_EDGE('',*,*,#15900,.T.); #22128=ORIENTED_EDGE('',*,*,#16701,.F.); #22129=ORIENTED_EDGE('',*,*,#16702,.T.); #22130=ORIENTED_EDGE('',*,*,#16703,.T.); #22131=ORIENTED_EDGE('',*,*,#16704,.T.); #22132=ORIENTED_EDGE('',*,*,#16705,.F.); #22133=ORIENTED_EDGE('',*,*,#16706,.F.); #22134=ORIENTED_EDGE('',*,*,#16704,.F.); #22135=ORIENTED_EDGE('',*,*,#16707,.F.); #22136=ORIENTED_EDGE('',*,*,#16708,.T.); #22137=ORIENTED_EDGE('',*,*,#16699,.T.); #22138=ORIENTED_EDGE('',*,*,#16700,.T.); #22139=ORIENTED_EDGE('',*,*,#16708,.F.); #22140=ORIENTED_EDGE('',*,*,#16709,.F.); #22141=ORIENTED_EDGE('',*,*,#16705,.T.); #22142=ORIENTED_EDGE('',*,*,#16706,.T.); #22143=ORIENTED_EDGE('',*,*,#16710,.T.); #22144=ORIENTED_EDGE('',*,*,#16711,.F.); #22145=ORIENTED_EDGE('',*,*,#16710,.F.); #22146=ORIENTED_EDGE('',*,*,#16711,.T.); #22147=ORIENTED_EDGE('',*,*,#16712,.T.); #22148=ORIENTED_EDGE('',*,*,#16713,.T.); #22149=ORIENTED_EDGE('',*,*,#16714,.T.); #22150=ORIENTED_EDGE('',*,*,#16715,.T.); #22151=ORIENTED_EDGE('',*,*,#16712,.F.); #22152=ORIENTED_EDGE('',*,*,#16716,.F.); #22153=ORIENTED_EDGE('',*,*,#16717,.F.); #22154=ORIENTED_EDGE('',*,*,#16718,.F.); #22155=ORIENTED_EDGE('',*,*,#16719,.T.); #22156=ORIENTED_EDGE('',*,*,#16720,.T.); #22157=ORIENTED_EDGE('',*,*,#16721,.F.); #22158=ORIENTED_EDGE('',*,*,#16713,.F.); #22159=ORIENTED_EDGE('',*,*,#16718,.T.); #22160=ORIENTED_EDGE('',*,*,#16722,.T.); #22161=ORIENTED_EDGE('',*,*,#16723,.F.); #22162=ORIENTED_EDGE('',*,*,#16719,.F.); #22163=ORIENTED_EDGE('',*,*,#16724,.F.); #22164=ORIENTED_EDGE('',*,*,#16725,.F.); #22165=ORIENTED_EDGE('',*,*,#16726,.T.); #22166=ORIENTED_EDGE('',*,*,#16723,.T.); #22167=ORIENTED_EDGE('',*,*,#16727,.F.); #22168=ORIENTED_EDGE('',*,*,#16728,.F.); #22169=ORIENTED_EDGE('',*,*,#16720,.F.); #22170=ORIENTED_EDGE('',*,*,#16714,.F.); #22171=ORIENTED_EDGE('',*,*,#16721,.T.); #22172=ORIENTED_EDGE('',*,*,#16728,.T.); #22173=ORIENTED_EDGE('',*,*,#16729,.F.); #22174=ORIENTED_EDGE('',*,*,#16725,.T.); #22175=ORIENTED_EDGE('',*,*,#16730,.F.); #22176=ORIENTED_EDGE('',*,*,#16716,.T.); #22177=ORIENTED_EDGE('',*,*,#16715,.F.); #22178=ORIENTED_EDGE('',*,*,#16729,.T.); #22179=ORIENTED_EDGE('',*,*,#16727,.T.); #22180=ORIENTED_EDGE('',*,*,#16724,.T.); #22181=ORIENTED_EDGE('',*,*,#16722,.F.); #22182=ORIENTED_EDGE('',*,*,#16717,.T.); #22183=ORIENTED_EDGE('',*,*,#16730,.T.); #22184=ORIENTED_EDGE('',*,*,#16726,.F.); #22185=ORIENTED_EDGE('',*,*,#16731,.T.); #22186=ORIENTED_EDGE('',*,*,#16709,.T.); #22187=ORIENTED_EDGE('',*,*,#16707,.T.); #22188=ORIENTED_EDGE('',*,*,#16731,.F.); #22189=ORIENTED_EDGE('',*,*,#15818,.T.); #22190=ORIENTED_EDGE('',*,*,#16732,.T.); #22191=ORIENTED_EDGE('',*,*,#16702,.F.); #22192=ORIENTED_EDGE('',*,*,#16703,.F.); #22193=ORIENTED_EDGE('',*,*,#16732,.F.); #22194=ORIENTED_EDGE('',*,*,#16733,.F.); #22195=ORIENTED_EDGE('',*,*,#16734,.T.); #22196=ORIENTED_EDGE('',*,*,#16735,.T.); #22197=ORIENTED_EDGE('',*,*,#16736,.T.); #22198=ORIENTED_EDGE('',*,*,#16737,.F.); #22199=ORIENTED_EDGE('',*,*,#16738,.F.); #22200=ORIENTED_EDGE('',*,*,#16739,.T.); #22201=ORIENTED_EDGE('',*,*,#16734,.F.); #22202=ORIENTED_EDGE('',*,*,#16740,.F.); #22203=ORIENTED_EDGE('',*,*,#16741,.F.); #22204=ORIENTED_EDGE('',*,*,#16742,.T.); #22205=ORIENTED_EDGE('',*,*,#16738,.T.); #22206=ORIENTED_EDGE('',*,*,#16743,.F.); #22207=ORIENTED_EDGE('',*,*,#16736,.F.); #22208=ORIENTED_EDGE('',*,*,#16744,.T.); #22209=ORIENTED_EDGE('',*,*,#16741,.T.); #22210=ORIENTED_EDGE('',*,*,#16739,.F.); #22211=ORIENTED_EDGE('',*,*,#16742,.F.); #22212=ORIENTED_EDGE('',*,*,#16744,.F.); #22213=ORIENTED_EDGE('',*,*,#16735,.F.); #22214=ORIENTED_EDGE('',*,*,#16737,.T.); #22215=ORIENTED_EDGE('',*,*,#16733,.T.); #22216=ORIENTED_EDGE('',*,*,#16743,.T.); #22217=ORIENTED_EDGE('',*,*,#16740,.T.); #22218=ORIENTED_EDGE('',*,*,#16745,.T.); #22219=ORIENTED_EDGE('',*,*,#16746,.T.); #22220=ORIENTED_EDGE('',*,*,#16746,.F.); #22221=ORIENTED_EDGE('',*,*,#16747,.F.); #22222=ORIENTED_EDGE('',*,*,#16748,.T.); #22223=ORIENTED_EDGE('',*,*,#16745,.F.); #22224=ORIENTED_EDGE('',*,*,#16748,.F.); #22225=ORIENTED_EDGE('',*,*,#16749,.T.); #22226=ORIENTED_EDGE('',*,*,#16750,.T.); #22227=ORIENTED_EDGE('',*,*,#16751,.T.); #22228=ORIENTED_EDGE('',*,*,#16750,.F.); #22229=ORIENTED_EDGE('',*,*,#16752,.T.); #22230=ORIENTED_EDGE('',*,*,#16753,.T.); #22231=ORIENTED_EDGE('',*,*,#16751,.F.); #22232=ORIENTED_EDGE('',*,*,#16753,.F.); #22233=ORIENTED_EDGE('',*,*,#16754,.T.); #22234=ORIENTED_EDGE('',*,*,#16755,.F.); #22235=ORIENTED_EDGE('',*,*,#16756,.F.); #22236=ORIENTED_EDGE('',*,*,#16757,.T.); #22237=ORIENTED_EDGE('',*,*,#16758,.F.); #22238=ORIENTED_EDGE('',*,*,#16759,.F.); #22239=ORIENTED_EDGE('',*,*,#16749,.F.); #22240=ORIENTED_EDGE('',*,*,#16747,.T.); #22241=ORIENTED_EDGE('',*,*,#16760,.T.); #22242=ORIENTED_EDGE('',*,*,#16761,.T.); #22243=ORIENTED_EDGE('',*,*,#16762,.T.); #22244=ORIENTED_EDGE('',*,*,#16761,.F.); #22245=ORIENTED_EDGE('',*,*,#16763,.T.); #22246=ORIENTED_EDGE('',*,*,#16764,.T.); #22247=ORIENTED_EDGE('',*,*,#16762,.F.); #22248=ORIENTED_EDGE('',*,*,#16764,.F.); #22249=ORIENTED_EDGE('',*,*,#16760,.F.); #22250=ORIENTED_EDGE('',*,*,#16752,.F.); #22251=ORIENTED_EDGE('',*,*,#16765,.T.); #22252=ORIENTED_EDGE('',*,*,#16766,.T.); #22253=ORIENTED_EDGE('',*,*,#16767,.T.); #22254=ORIENTED_EDGE('',*,*,#16766,.F.); #22255=ORIENTED_EDGE('',*,*,#16768,.T.); #22256=ORIENTED_EDGE('',*,*,#16769,.T.); #22257=ORIENTED_EDGE('',*,*,#16767,.F.); #22258=ORIENTED_EDGE('',*,*,#16769,.F.); #22259=ORIENTED_EDGE('',*,*,#16765,.F.); #22260=ORIENTED_EDGE('',*,*,#16770,.T.); #22261=ORIENTED_EDGE('',*,*,#16771,.T.); #22262=ORIENTED_EDGE('',*,*,#16772,.T.); #22263=ORIENTED_EDGE('',*,*,#16773,.F.); #22264=ORIENTED_EDGE('',*,*,#16774,.F.); #22265=ORIENTED_EDGE('',*,*,#16757,.F.); #22266=ORIENTED_EDGE('',*,*,#16775,.F.); #22267=ORIENTED_EDGE('',*,*,#16771,.F.); #22268=ORIENTED_EDGE('',*,*,#16770,.F.); #22269=ORIENTED_EDGE('',*,*,#16776,.T.); #22270=ORIENTED_EDGE('',*,*,#16759,.T.); #22271=ORIENTED_EDGE('',*,*,#16758,.T.); #22272=ORIENTED_EDGE('',*,*,#16774,.T.); #22273=ORIENTED_EDGE('',*,*,#16776,.F.); #22274=ORIENTED_EDGE('',*,*,#16773,.T.); #22275=ORIENTED_EDGE('',*,*,#16777,.F.); #22276=ORIENTED_EDGE('',*,*,#16754,.F.); #22277=ORIENTED_EDGE('',*,*,#16772,.F.); #22278=ORIENTED_EDGE('',*,*,#16775,.T.); #22279=ORIENTED_EDGE('',*,*,#16756,.T.); #22280=ORIENTED_EDGE('',*,*,#16755,.T.); #22281=ORIENTED_EDGE('',*,*,#16777,.T.); #22282=ORIENTED_EDGE('',*,*,#16778,.F.); #22283=ORIENTED_EDGE('',*,*,#16779,.T.); #22284=ORIENTED_EDGE('',*,*,#16780,.T.); #22285=ORIENTED_EDGE('',*,*,#16781,.T.); #22286=ORIENTED_EDGE('',*,*,#16782,.T.); #22287=ORIENTED_EDGE('',*,*,#16779,.F.); #22288=ORIENTED_EDGE('',*,*,#16783,.T.); #22289=ORIENTED_EDGE('',*,*,#16784,.F.); #22290=ORIENTED_EDGE('',*,*,#16785,.F.); #22291=ORIENTED_EDGE('',*,*,#16778,.T.); #22292=ORIENTED_EDGE('',*,*,#16786,.T.); #22293=ORIENTED_EDGE('',*,*,#16787,.T.); #22294=ORIENTED_EDGE('',*,*,#16783,.F.); #22295=ORIENTED_EDGE('',*,*,#16787,.F.); #22296=ORIENTED_EDGE('',*,*,#16788,.T.); #22297=ORIENTED_EDGE('',*,*,#16789,.T.); #22298=ORIENTED_EDGE('',*,*,#16790,.T.); #22299=ORIENTED_EDGE('',*,*,#16784,.T.); #22300=ORIENTED_EDGE('',*,*,#16782,.F.); #22301=ORIENTED_EDGE('',*,*,#16791,.F.); #22302=ORIENTED_EDGE('',*,*,#16788,.F.); #22303=ORIENTED_EDGE('',*,*,#16786,.F.); #22304=ORIENTED_EDGE('',*,*,#16792,.T.); #22305=ORIENTED_EDGE('',*,*,#16793,.T.); #22306=ORIENTED_EDGE('',*,*,#16794,.T.); #22307=ORIENTED_EDGE('',*,*,#16793,.F.); #22308=ORIENTED_EDGE('',*,*,#16795,.F.); #22309=ORIENTED_EDGE('',*,*,#16792,.F.); #22310=ORIENTED_EDGE('',*,*,#16796,.F.); #22311=ORIENTED_EDGE('',*,*,#16794,.F.); #22312=ORIENTED_EDGE('',*,*,#16797,.T.); #22313=ORIENTED_EDGE('',*,*,#16798,.T.); #22314=ORIENTED_EDGE('',*,*,#16796,.T.); #22315=ORIENTED_EDGE('',*,*,#16798,.F.); #22316=ORIENTED_EDGE('',*,*,#16799,.T.); #22317=ORIENTED_EDGE('',*,*,#16800,.T.); #22318=ORIENTED_EDGE('',*,*,#16801,.T.); #22319=ORIENTED_EDGE('',*,*,#16800,.F.); #22320=ORIENTED_EDGE('',*,*,#16802,.F.); #22321=ORIENTED_EDGE('',*,*,#16801,.F.); #22322=ORIENTED_EDGE('',*,*,#16803,.F.); #22323=ORIENTED_EDGE('',*,*,#16799,.F.); #22324=ORIENTED_EDGE('',*,*,#16795,.T.); #22325=ORIENTED_EDGE('',*,*,#16804,.T.); #22326=ORIENTED_EDGE('',*,*,#16803,.T.); #22327=ORIENTED_EDGE('',*,*,#16804,.F.); #22328=ORIENTED_EDGE('',*,*,#16785,.T.); #22329=ORIENTED_EDGE('',*,*,#16790,.F.); #22330=ORIENTED_EDGE('',*,*,#16789,.F.); #22331=ORIENTED_EDGE('',*,*,#16791,.T.); #22332=ORIENTED_EDGE('',*,*,#16781,.F.); #22333=ORIENTED_EDGE('',*,*,#16780,.F.); #22334=ORIENTED_EDGE('',*,*,#16805,.F.); #22335=ORIENTED_EDGE('',*,*,#16768,.F.); #22336=ORIENTED_EDGE('',*,*,#16797,.F.); #22337=ORIENTED_EDGE('',*,*,#16763,.F.); #22338=ORIENTED_EDGE('',*,*,#16802,.T.); #22339=ORIENTED_EDGE('',*,*,#16806,.T.); #22340=ORIENTED_EDGE('',*,*,#16805,.T.); #22341=ORIENTED_EDGE('',*,*,#16806,.F.); #22342=ORIENTED_EDGE('',*,*,#16807,.T.); #22343=ORIENTED_EDGE('',*,*,#16808,.T.); #22344=ORIENTED_EDGE('',*,*,#16809,.T.); #22345=ORIENTED_EDGE('',*,*,#16810,.T.); #22346=ORIENTED_EDGE('',*,*,#16808,.F.); #22347=ORIENTED_EDGE('',*,*,#16811,.F.); #22348=ORIENTED_EDGE('',*,*,#16812,.F.); #22349=ORIENTED_EDGE('',*,*,#16813,.T.); #22350=ORIENTED_EDGE('',*,*,#16809,.F.); #22351=ORIENTED_EDGE('',*,*,#16810,.F.); #22352=ORIENTED_EDGE('',*,*,#16813,.F.); #22353=ORIENTED_EDGE('',*,*,#16814,.T.); #22354=ORIENTED_EDGE('',*,*,#16807,.F.); #22355=ORIENTED_EDGE('',*,*,#16815,.T.); #22356=ORIENTED_EDGE('',*,*,#16816,.T.); #22357=ORIENTED_EDGE('',*,*,#16811,.T.); #22358=ORIENTED_EDGE('',*,*,#16812,.T.); #22359=ORIENTED_EDGE('',*,*,#16816,.F.); #22360=ORIENTED_EDGE('',*,*,#16815,.F.); #22361=ORIENTED_EDGE('',*,*,#16817,.T.); #22362=ORIENTED_EDGE('',*,*,#16818,.T.); #22363=ORIENTED_EDGE('',*,*,#16819,.T.); #22364=ORIENTED_EDGE('',*,*,#16820,.T.); #22365=ORIENTED_EDGE('',*,*,#16819,.F.); #22366=ORIENTED_EDGE('',*,*,#16820,.F.); #22367=ORIENTED_EDGE('',*,*,#16821,.T.); #22368=ORIENTED_EDGE('',*,*,#16822,.T.); #22369=ORIENTED_EDGE('',*,*,#16823,.T.); #22370=ORIENTED_EDGE('',*,*,#16824,.T.); #22371=ORIENTED_EDGE('',*,*,#16825,.T.); #22372=ORIENTED_EDGE('',*,*,#16826,.T.); #22373=ORIENTED_EDGE('',*,*,#16814,.F.); #22374=ORIENTED_EDGE('',*,*,#16827,.T.); #22375=ORIENTED_EDGE('',*,*,#16817,.F.); #22376=ORIENTED_EDGE('',*,*,#16818,.F.); #22377=ORIENTED_EDGE('',*,*,#16827,.F.); #22378=ORIENTED_EDGE('',*,*,#16828,.F.); #22379=ORIENTED_EDGE('',*,*,#16829,.T.); #22380=ORIENTED_EDGE('',*,*,#16825,.F.); #22381=ORIENTED_EDGE('',*,*,#16830,.F.); #22382=ORIENTED_EDGE('',*,*,#16831,.F.); #22383=ORIENTED_EDGE('',*,*,#16832,.T.); #22384=ORIENTED_EDGE('',*,*,#16826,.F.); #22385=ORIENTED_EDGE('',*,*,#16829,.F.); #22386=ORIENTED_EDGE('',*,*,#16833,.F.); #22387=ORIENTED_EDGE('',*,*,#16834,.T.); #22388=ORIENTED_EDGE('',*,*,#16821,.F.); #22389=ORIENTED_EDGE('',*,*,#16832,.F.); #22390=ORIENTED_EDGE('',*,*,#16835,.F.); #22391=ORIENTED_EDGE('',*,*,#16836,.F.); #22392=ORIENTED_EDGE('',*,*,#16822,.F.); #22393=ORIENTED_EDGE('',*,*,#16834,.F.); #22394=ORIENTED_EDGE('',*,*,#16837,.F.); #22395=ORIENTED_EDGE('',*,*,#16830,.T.); #22396=ORIENTED_EDGE('',*,*,#16824,.F.); #22397=ORIENTED_EDGE('',*,*,#16838,.F.); #22398=ORIENTED_EDGE('',*,*,#16839,.F.); #22399=ORIENTED_EDGE('',*,*,#16840,.F.); #22400=ORIENTED_EDGE('',*,*,#16838,.T.); #22401=ORIENTED_EDGE('',*,*,#16823,.F.); #22402=ORIENTED_EDGE('',*,*,#16836,.T.); #22403=ORIENTED_EDGE('',*,*,#16841,.T.); #22404=ORIENTED_EDGE('',*,*,#16839,.T.); #22405=ORIENTED_EDGE('',*,*,#16840,.T.); #22406=ORIENTED_EDGE('',*,*,#16835,.T.); #22407=ORIENTED_EDGE('',*,*,#16833,.T.); #22408=ORIENTED_EDGE('',*,*,#16831,.T.); #22409=ORIENTED_EDGE('',*,*,#16828,.T.); #22410=ORIENTED_EDGE('',*,*,#16837,.T.); #22411=ORIENTED_EDGE('',*,*,#16841,.F.); #22412=ORIENTED_EDGE('',*,*,#16842,.T.); #22413=ORIENTED_EDGE('',*,*,#16843,.T.); #22414=ORIENTED_EDGE('',*,*,#16844,.T.); #22415=ORIENTED_EDGE('',*,*,#16845,.T.); #22416=ORIENTED_EDGE('',*,*,#16843,.F.); #22417=ORIENTED_EDGE('',*,*,#16846,.F.); #22418=ORIENTED_EDGE('',*,*,#16847,.F.); #22419=ORIENTED_EDGE('',*,*,#16848,.T.); #22420=ORIENTED_EDGE('',*,*,#16844,.F.); #22421=ORIENTED_EDGE('',*,*,#16845,.F.); #22422=ORIENTED_EDGE('',*,*,#16848,.F.); #22423=ORIENTED_EDGE('',*,*,#16849,.T.); #22424=ORIENTED_EDGE('',*,*,#16842,.F.); #22425=ORIENTED_EDGE('',*,*,#16850,.T.); #22426=ORIENTED_EDGE('',*,*,#16851,.T.); #22427=ORIENTED_EDGE('',*,*,#16846,.T.); #22428=ORIENTED_EDGE('',*,*,#16847,.T.); #22429=ORIENTED_EDGE('',*,*,#16851,.F.); #22430=ORIENTED_EDGE('',*,*,#16850,.F.); #22431=ORIENTED_EDGE('',*,*,#16852,.T.); #22432=ORIENTED_EDGE('',*,*,#16853,.T.); #22433=ORIENTED_EDGE('',*,*,#16854,.T.); #22434=ORIENTED_EDGE('',*,*,#16855,.T.); #22435=ORIENTED_EDGE('',*,*,#16854,.F.); #22436=ORIENTED_EDGE('',*,*,#16855,.F.); #22437=ORIENTED_EDGE('',*,*,#16856,.T.); #22438=ORIENTED_EDGE('',*,*,#16857,.T.); #22439=ORIENTED_EDGE('',*,*,#16858,.T.); #22440=ORIENTED_EDGE('',*,*,#16859,.T.); #22441=ORIENTED_EDGE('',*,*,#16860,.T.); #22442=ORIENTED_EDGE('',*,*,#16861,.T.); #22443=ORIENTED_EDGE('',*,*,#16849,.F.); #22444=ORIENTED_EDGE('',*,*,#16862,.T.); #22445=ORIENTED_EDGE('',*,*,#16852,.F.); #22446=ORIENTED_EDGE('',*,*,#16853,.F.); #22447=ORIENTED_EDGE('',*,*,#16862,.F.); #22448=ORIENTED_EDGE('',*,*,#16863,.F.); #22449=ORIENTED_EDGE('',*,*,#16864,.T.); #22450=ORIENTED_EDGE('',*,*,#16860,.F.); #22451=ORIENTED_EDGE('',*,*,#16865,.F.); #22452=ORIENTED_EDGE('',*,*,#16866,.F.); #22453=ORIENTED_EDGE('',*,*,#16867,.T.); #22454=ORIENTED_EDGE('',*,*,#16861,.F.); #22455=ORIENTED_EDGE('',*,*,#16864,.F.); #22456=ORIENTED_EDGE('',*,*,#16868,.F.); #22457=ORIENTED_EDGE('',*,*,#16869,.T.); #22458=ORIENTED_EDGE('',*,*,#16856,.F.); #22459=ORIENTED_EDGE('',*,*,#16867,.F.); #22460=ORIENTED_EDGE('',*,*,#16870,.F.); #22461=ORIENTED_EDGE('',*,*,#16871,.F.); #22462=ORIENTED_EDGE('',*,*,#16857,.F.); #22463=ORIENTED_EDGE('',*,*,#16869,.F.); #22464=ORIENTED_EDGE('',*,*,#16872,.F.); #22465=ORIENTED_EDGE('',*,*,#16865,.T.); #22466=ORIENTED_EDGE('',*,*,#16859,.F.); #22467=ORIENTED_EDGE('',*,*,#16873,.F.); #22468=ORIENTED_EDGE('',*,*,#16874,.F.); #22469=ORIENTED_EDGE('',*,*,#16875,.F.); #22470=ORIENTED_EDGE('',*,*,#16873,.T.); #22471=ORIENTED_EDGE('',*,*,#16858,.F.); #22472=ORIENTED_EDGE('',*,*,#16871,.T.); #22473=ORIENTED_EDGE('',*,*,#16876,.T.); #22474=ORIENTED_EDGE('',*,*,#16874,.T.); #22475=ORIENTED_EDGE('',*,*,#16875,.T.); #22476=ORIENTED_EDGE('',*,*,#16870,.T.); #22477=ORIENTED_EDGE('',*,*,#16868,.T.); #22478=ORIENTED_EDGE('',*,*,#16866,.T.); #22479=ORIENTED_EDGE('',*,*,#16863,.T.); #22480=ORIENTED_EDGE('',*,*,#16872,.T.); #22481=ORIENTED_EDGE('',*,*,#16876,.F.); #22482=ORIENTED_EDGE('',*,*,#16877,.F.); #22483=ORIENTED_EDGE('',*,*,#16878,.T.); #22484=ORIENTED_EDGE('',*,*,#16879,.F.); #22485=ORIENTED_EDGE('',*,*,#16878,.F.); #22486=ORIENTED_EDGE('',*,*,#16880,.F.); #22487=ORIENTED_EDGE('',*,*,#16881,.T.); #22488=ORIENTED_EDGE('',*,*,#16882,.F.); #22489=ORIENTED_EDGE('',*,*,#16881,.F.); #22490=ORIENTED_EDGE('',*,*,#16883,.F.); #22491=ORIENTED_EDGE('',*,*,#16884,.F.); #22492=ORIENTED_EDGE('',*,*,#16885,.F.); #22493=ORIENTED_EDGE('',*,*,#16886,.F.); #22494=ORIENTED_EDGE('',*,*,#16887,.F.); #22495=ORIENTED_EDGE('',*,*,#16888,.F.); #22496=ORIENTED_EDGE('',*,*,#16889,.F.); #22497=ORIENTED_EDGE('',*,*,#16890,.F.); #22498=ORIENTED_EDGE('',*,*,#16891,.F.); #22499=ORIENTED_EDGE('',*,*,#16892,.F.); #22500=ORIENTED_EDGE('',*,*,#16893,.F.); #22501=ORIENTED_EDGE('',*,*,#16894,.F.); #22502=ORIENTED_EDGE('',*,*,#16895,.F.); #22503=ORIENTED_EDGE('',*,*,#16896,.F.); #22504=ORIENTED_EDGE('',*,*,#16897,.T.); #22505=ORIENTED_EDGE('',*,*,#16898,.T.); #22506=ORIENTED_EDGE('',*,*,#16885,.T.); #22507=ORIENTED_EDGE('',*,*,#16899,.F.); #22508=ORIENTED_EDGE('',*,*,#16900,.T.); #22509=ORIENTED_EDGE('',*,*,#16901,.T.); #22510=ORIENTED_EDGE('',*,*,#16886,.T.); #22511=ORIENTED_EDGE('',*,*,#16898,.F.); #22512=ORIENTED_EDGE('',*,*,#16902,.T.); #22513=ORIENTED_EDGE('',*,*,#16903,.T.); #22514=ORIENTED_EDGE('',*,*,#16887,.T.); #22515=ORIENTED_EDGE('',*,*,#16901,.F.); #22516=ORIENTED_EDGE('',*,*,#16904,.T.); #22517=ORIENTED_EDGE('',*,*,#16905,.T.); #22518=ORIENTED_EDGE('',*,*,#16888,.T.); #22519=ORIENTED_EDGE('',*,*,#16903,.F.); #22520=ORIENTED_EDGE('',*,*,#16906,.T.); #22521=ORIENTED_EDGE('',*,*,#16907,.T.); #22522=ORIENTED_EDGE('',*,*,#16889,.T.); #22523=ORIENTED_EDGE('',*,*,#16905,.F.); #22524=ORIENTED_EDGE('',*,*,#16908,.T.); #22525=ORIENTED_EDGE('',*,*,#16909,.T.); #22526=ORIENTED_EDGE('',*,*,#16890,.T.); #22527=ORIENTED_EDGE('',*,*,#16907,.F.); #22528=ORIENTED_EDGE('',*,*,#16910,.T.); #22529=ORIENTED_EDGE('',*,*,#16911,.T.); #22530=ORIENTED_EDGE('',*,*,#16891,.T.); #22531=ORIENTED_EDGE('',*,*,#16909,.F.); #22532=ORIENTED_EDGE('',*,*,#16879,.T.); #22533=ORIENTED_EDGE('',*,*,#16882,.T.); #22534=ORIENTED_EDGE('',*,*,#16912,.T.); #22535=ORIENTED_EDGE('',*,*,#16913,.T.); #22536=ORIENTED_EDGE('',*,*,#16892,.T.); #22537=ORIENTED_EDGE('',*,*,#16911,.F.); #22538=ORIENTED_EDGE('',*,*,#16914,.T.); #22539=ORIENTED_EDGE('',*,*,#16915,.T.); #22540=ORIENTED_EDGE('',*,*,#16893,.T.); #22541=ORIENTED_EDGE('',*,*,#16913,.F.); #22542=ORIENTED_EDGE('',*,*,#16916,.T.); #22543=ORIENTED_EDGE('',*,*,#16917,.T.); #22544=ORIENTED_EDGE('',*,*,#16894,.T.); #22545=ORIENTED_EDGE('',*,*,#16915,.F.); #22546=ORIENTED_EDGE('',*,*,#16918,.T.); #22547=ORIENTED_EDGE('',*,*,#16919,.T.); #22548=ORIENTED_EDGE('',*,*,#16895,.T.); #22549=ORIENTED_EDGE('',*,*,#16917,.F.); #22550=ORIENTED_EDGE('',*,*,#16920,.T.); #22551=ORIENTED_EDGE('',*,*,#16921,.T.); #22552=ORIENTED_EDGE('',*,*,#16896,.T.); #22553=ORIENTED_EDGE('',*,*,#16919,.F.); #22554=ORIENTED_EDGE('',*,*,#16922,.T.); #22555=ORIENTED_EDGE('',*,*,#16923,.F.); #22556=ORIENTED_EDGE('',*,*,#16883,.T.); #22557=ORIENTED_EDGE('',*,*,#16921,.F.); #22558=ORIENTED_EDGE('',*,*,#16924,.T.); #22559=ORIENTED_EDGE('',*,*,#16899,.T.); #22560=ORIENTED_EDGE('',*,*,#16884,.T.); #22561=ORIENTED_EDGE('',*,*,#16923,.T.); #22562=ORIENTED_EDGE('',*,*,#16877,.T.); #22563=ORIENTED_EDGE('',*,*,#16880,.T.); #22564=ORIENTED_EDGE('',*,*,#16897,.F.); #22565=ORIENTED_EDGE('',*,*,#16924,.F.); #22566=ORIENTED_EDGE('',*,*,#16922,.F.); #22567=ORIENTED_EDGE('',*,*,#16920,.F.); #22568=ORIENTED_EDGE('',*,*,#16918,.F.); #22569=ORIENTED_EDGE('',*,*,#16916,.F.); #22570=ORIENTED_EDGE('',*,*,#16914,.F.); #22571=ORIENTED_EDGE('',*,*,#16912,.F.); #22572=ORIENTED_EDGE('',*,*,#16910,.F.); #22573=ORIENTED_EDGE('',*,*,#16908,.F.); #22574=ORIENTED_EDGE('',*,*,#16906,.F.); #22575=ORIENTED_EDGE('',*,*,#16904,.F.); #22576=ORIENTED_EDGE('',*,*,#16902,.F.); #22577=ORIENTED_EDGE('',*,*,#16900,.F.); #22578=ORIENTED_EDGE('',*,*,#16925,.F.); #22579=ORIENTED_EDGE('',*,*,#16926,.T.); #22580=ORIENTED_EDGE('',*,*,#16927,.T.); #22581=ORIENTED_EDGE('',*,*,#16928,.T.); #22582=ORIENTED_EDGE('',*,*,#16925,.T.); #22583=ORIENTED_EDGE('',*,*,#16928,.F.); #22584=ORIENTED_EDGE('',*,*,#16926,.F.); #22585=ORIENTED_EDGE('',*,*,#16929,.T.); #22586=ORIENTED_EDGE('',*,*,#16930,.T.); #22587=ORIENTED_EDGE('',*,*,#16929,.F.); #22588=ORIENTED_EDGE('',*,*,#16931,.F.); #22589=ORIENTED_EDGE('',*,*,#16932,.T.); #22590=ORIENTED_EDGE('',*,*,#16933,.T.); #22591=ORIENTED_EDGE('',*,*,#16934,.T.); #22592=ORIENTED_EDGE('',*,*,#16931,.T.); #22593=ORIENTED_EDGE('',*,*,#16934,.F.); #22594=ORIENTED_EDGE('',*,*,#16932,.F.); #22595=ORIENTED_EDGE('',*,*,#16935,.T.); #22596=ORIENTED_EDGE('',*,*,#16936,.T.); #22597=ORIENTED_EDGE('',*,*,#16935,.F.); #22598=ORIENTED_EDGE('',*,*,#16937,.F.); #22599=ORIENTED_EDGE('',*,*,#16938,.T.); #22600=ORIENTED_EDGE('',*,*,#16939,.T.); #22601=ORIENTED_EDGE('',*,*,#16940,.T.); #22602=ORIENTED_EDGE('',*,*,#16937,.T.); #22603=ORIENTED_EDGE('',*,*,#16940,.F.); #22604=ORIENTED_EDGE('',*,*,#16938,.F.); #22605=ORIENTED_EDGE('',*,*,#16941,.T.); #22606=ORIENTED_EDGE('',*,*,#16942,.T.); #22607=ORIENTED_EDGE('',*,*,#16941,.F.); #22608=ORIENTED_EDGE('',*,*,#16943,.F.); #22609=ORIENTED_EDGE('',*,*,#16944,.T.); #22610=ORIENTED_EDGE('',*,*,#16945,.T.); #22611=ORIENTED_EDGE('',*,*,#16946,.T.); #22612=ORIENTED_EDGE('',*,*,#16943,.T.); #22613=ORIENTED_EDGE('',*,*,#16946,.F.); #22614=ORIENTED_EDGE('',*,*,#16944,.F.); #22615=ORIENTED_EDGE('',*,*,#16947,.T.); #22616=ORIENTED_EDGE('',*,*,#16948,.T.); #22617=ORIENTED_EDGE('',*,*,#16947,.F.); #22618=ORIENTED_EDGE('',*,*,#16949,.T.); #22619=ORIENTED_EDGE('',*,*,#16950,.T.); #22620=ORIENTED_EDGE('',*,*,#16951,.F.); #22621=ORIENTED_EDGE('',*,*,#16952,.F.); #22622=ORIENTED_EDGE('',*,*,#16953,.T.); #22623=ORIENTED_EDGE('',*,*,#16952,.T.); #22624=ORIENTED_EDGE('',*,*,#16954,.F.); #22625=ORIENTED_EDGE('',*,*,#16955,.F.); #22626=ORIENTED_EDGE('',*,*,#16956,.T.); #22627=ORIENTED_EDGE('',*,*,#16955,.T.); #22628=ORIENTED_EDGE('',*,*,#16957,.F.); #22629=ORIENTED_EDGE('',*,*,#16958,.T.); #22630=ORIENTED_EDGE('',*,*,#16959,.T.); #22631=ORIENTED_EDGE('',*,*,#16960,.T.); #22632=ORIENTED_EDGE('',*,*,#16957,.T.); #22633=ORIENTED_EDGE('',*,*,#16954,.T.); #22634=ORIENTED_EDGE('',*,*,#16951,.T.); #22635=ORIENTED_EDGE('',*,*,#16961,.T.); #22636=ORIENTED_EDGE('',*,*,#16962,.T.); #22637=ORIENTED_EDGE('',*,*,#16963,.T.); #22638=ORIENTED_EDGE('',*,*,#16964,.T.); #22639=ORIENTED_EDGE('',*,*,#16965,.T.); #22640=ORIENTED_EDGE('',*,*,#16927,.F.); #22641=ORIENTED_EDGE('',*,*,#16933,.F.); #22642=ORIENTED_EDGE('',*,*,#16939,.F.); #22643=ORIENTED_EDGE('',*,*,#16945,.F.); #22644=ORIENTED_EDGE('',*,*,#16962,.F.); #22645=ORIENTED_EDGE('',*,*,#16966,.F.); #22646=ORIENTED_EDGE('',*,*,#16967,.F.); #22647=ORIENTED_EDGE('',*,*,#16968,.T.); #22648=ORIENTED_EDGE('',*,*,#16963,.F.); #22649=ORIENTED_EDGE('',*,*,#16968,.F.); #22650=ORIENTED_EDGE('',*,*,#16969,.F.); #22651=ORIENTED_EDGE('',*,*,#16970,.T.); #22652=ORIENTED_EDGE('',*,*,#16964,.F.); #22653=ORIENTED_EDGE('',*,*,#16970,.F.); #22654=ORIENTED_EDGE('',*,*,#16971,.F.); #22655=ORIENTED_EDGE('',*,*,#16972,.T.); #22656=ORIENTED_EDGE('',*,*,#16965,.F.); #22657=ORIENTED_EDGE('',*,*,#16972,.F.); #22658=ORIENTED_EDGE('',*,*,#16973,.F.); #22659=ORIENTED_EDGE('',*,*,#16974,.T.); #22660=ORIENTED_EDGE('',*,*,#16959,.F.); #22661=ORIENTED_EDGE('',*,*,#16974,.F.); #22662=ORIENTED_EDGE('',*,*,#16975,.F.); #22663=ORIENTED_EDGE('',*,*,#16976,.T.); #22664=ORIENTED_EDGE('',*,*,#16977,.F.); #22665=ORIENTED_EDGE('',*,*,#16978,.T.); #22666=ORIENTED_EDGE('',*,*,#16979,.T.); #22667=ORIENTED_EDGE('',*,*,#16980,.F.); #22668=ORIENTED_EDGE('',*,*,#16981,.F.); #22669=ORIENTED_EDGE('',*,*,#16982,.T.); #22670=ORIENTED_EDGE('',*,*,#16983,.T.); #22671=ORIENTED_EDGE('',*,*,#16978,.F.); #22672=ORIENTED_EDGE('',*,*,#16961,.F.); #22673=ORIENTED_EDGE('',*,*,#16950,.F.); #22674=ORIENTED_EDGE('',*,*,#16984,.T.); #22675=ORIENTED_EDGE('',*,*,#16982,.F.); #22676=ORIENTED_EDGE('',*,*,#16985,.F.); #22677=ORIENTED_EDGE('',*,*,#16966,.T.); #22678=ORIENTED_EDGE('',*,*,#16958,.F.); #22679=ORIENTED_EDGE('',*,*,#16960,.F.); #22680=ORIENTED_EDGE('',*,*,#16976,.F.); #22681=ORIENTED_EDGE('',*,*,#16986,.F.); #22682=ORIENTED_EDGE('',*,*,#16987,.T.); #22683=ORIENTED_EDGE('',*,*,#16988,.T.); #22684=ORIENTED_EDGE('',*,*,#16989,.F.); #22685=ORIENTED_EDGE('',*,*,#16990,.T.); #22686=ORIENTED_EDGE('',*,*,#16991,.T.); #22687=ORIENTED_EDGE('',*,*,#16987,.F.); #22688=ORIENTED_EDGE('',*,*,#16992,.F.); #22689=ORIENTED_EDGE('',*,*,#16993,.T.); #22690=ORIENTED_EDGE('',*,*,#16994,.T.); #22691=ORIENTED_EDGE('',*,*,#16990,.F.); #22692=ORIENTED_EDGE('',*,*,#16995,.F.); #22693=ORIENTED_EDGE('',*,*,#16996,.T.); #22694=ORIENTED_EDGE('',*,*,#16997,.T.); #22695=ORIENTED_EDGE('',*,*,#16993,.F.); #22696=ORIENTED_EDGE('',*,*,#16998,.F.); #22697=ORIENTED_EDGE('',*,*,#16980,.T.); #22698=ORIENTED_EDGE('',*,*,#16999,.T.); #22699=ORIENTED_EDGE('',*,*,#16996,.F.); #22700=ORIENTED_EDGE('',*,*,#16949,.F.); #22701=ORIENTED_EDGE('',*,*,#16953,.F.); #22702=ORIENTED_EDGE('',*,*,#16956,.F.); #22703=ORIENTED_EDGE('',*,*,#16988,.F.); #22704=ORIENTED_EDGE('',*,*,#16991,.F.); #22705=ORIENTED_EDGE('',*,*,#16994,.F.); #22706=ORIENTED_EDGE('',*,*,#16997,.F.); #22707=ORIENTED_EDGE('',*,*,#16999,.F.); #22708=ORIENTED_EDGE('',*,*,#16979,.F.); #22709=ORIENTED_EDGE('',*,*,#16983,.F.); #22710=ORIENTED_EDGE('',*,*,#16984,.F.); #22711=ORIENTED_EDGE('',*,*,#16973,.T.); #22712=ORIENTED_EDGE('',*,*,#16971,.T.); #22713=ORIENTED_EDGE('',*,*,#16969,.T.); #22714=ORIENTED_EDGE('',*,*,#16967,.T.); #22715=ORIENTED_EDGE('',*,*,#16985,.T.); #22716=ORIENTED_EDGE('',*,*,#16981,.T.); #22717=ORIENTED_EDGE('',*,*,#16977,.T.); #22718=ORIENTED_EDGE('',*,*,#16998,.T.); #22719=ORIENTED_EDGE('',*,*,#16995,.T.); #22720=ORIENTED_EDGE('',*,*,#16992,.T.); #22721=ORIENTED_EDGE('',*,*,#16989,.T.); #22722=ORIENTED_EDGE('',*,*,#16986,.T.); #22723=ORIENTED_EDGE('',*,*,#16975,.T.); #22724=ORIENTED_EDGE('',*,*,#16930,.F.); #22725=ORIENTED_EDGE('',*,*,#16936,.F.); #22726=ORIENTED_EDGE('',*,*,#16942,.F.); #22727=ORIENTED_EDGE('',*,*,#16948,.F.); #22728=ORIENTED_EDGE('',*,*,#17000,.T.); #22729=ORIENTED_EDGE('',*,*,#17001,.F.); #22730=ORIENTED_EDGE('',*,*,#17002,.F.); #22731=ORIENTED_EDGE('',*,*,#17003,.T.); #22732=ORIENTED_EDGE('',*,*,#17000,.F.); #22733=ORIENTED_EDGE('',*,*,#17003,.F.); #22734=ORIENTED_EDGE('',*,*,#17001,.T.); #22735=ORIENTED_EDGE('',*,*,#17004,.T.); #22736=ORIENTED_EDGE('',*,*,#17005,.F.); #22737=ORIENTED_EDGE('',*,*,#17004,.F.); #22738=ORIENTED_EDGE('',*,*,#17006,.T.); #22739=ORIENTED_EDGE('',*,*,#17007,.F.); #22740=ORIENTED_EDGE('',*,*,#17008,.F.); #22741=ORIENTED_EDGE('',*,*,#17009,.T.); #22742=ORIENTED_EDGE('',*,*,#17006,.F.); #22743=ORIENTED_EDGE('',*,*,#17009,.F.); #22744=ORIENTED_EDGE('',*,*,#17007,.T.); #22745=ORIENTED_EDGE('',*,*,#17010,.T.); #22746=ORIENTED_EDGE('',*,*,#17011,.F.); #22747=ORIENTED_EDGE('',*,*,#17010,.F.); #22748=ORIENTED_EDGE('',*,*,#17012,.T.); #22749=ORIENTED_EDGE('',*,*,#17013,.F.); #22750=ORIENTED_EDGE('',*,*,#17014,.F.); #22751=ORIENTED_EDGE('',*,*,#17015,.T.); #22752=ORIENTED_EDGE('',*,*,#17012,.F.); #22753=ORIENTED_EDGE('',*,*,#17015,.F.); #22754=ORIENTED_EDGE('',*,*,#17013,.T.); #22755=ORIENTED_EDGE('',*,*,#17016,.T.); #22756=ORIENTED_EDGE('',*,*,#17017,.F.); #22757=ORIENTED_EDGE('',*,*,#17016,.F.); #22758=ORIENTED_EDGE('',*,*,#17018,.T.); #22759=ORIENTED_EDGE('',*,*,#17019,.F.); #22760=ORIENTED_EDGE('',*,*,#17020,.F.); #22761=ORIENTED_EDGE('',*,*,#17021,.T.); #22762=ORIENTED_EDGE('',*,*,#17018,.F.); #22763=ORIENTED_EDGE('',*,*,#17021,.F.); #22764=ORIENTED_EDGE('',*,*,#17019,.T.); #22765=ORIENTED_EDGE('',*,*,#17022,.T.); #22766=ORIENTED_EDGE('',*,*,#17023,.F.); #22767=ORIENTED_EDGE('',*,*,#17022,.F.); #22768=ORIENTED_EDGE('',*,*,#17024,.F.); #22769=ORIENTED_EDGE('',*,*,#17025,.T.); #22770=ORIENTED_EDGE('',*,*,#17026,.T.); #22771=ORIENTED_EDGE('',*,*,#17027,.F.); #22772=ORIENTED_EDGE('',*,*,#17028,.F.); #22773=ORIENTED_EDGE('',*,*,#17029,.T.); #22774=ORIENTED_EDGE('',*,*,#17030,.T.); #22775=ORIENTED_EDGE('',*,*,#17025,.F.); #22776=ORIENTED_EDGE('',*,*,#17031,.F.); #22777=ORIENTED_EDGE('',*,*,#17032,.F.); #22778=ORIENTED_EDGE('',*,*,#17033,.T.); #22779=ORIENTED_EDGE('',*,*,#17029,.F.); #22780=ORIENTED_EDGE('',*,*,#17034,.F.); #22781=ORIENTED_EDGE('',*,*,#17035,.F.); #22782=ORIENTED_EDGE('',*,*,#17036,.F.); #22783=ORIENTED_EDGE('',*,*,#17037,.F.); #22784=ORIENTED_EDGE('',*,*,#17038,.F.); #22785=ORIENTED_EDGE('',*,*,#17039,.F.); #22786=ORIENTED_EDGE('',*,*,#17026,.F.); #22787=ORIENTED_EDGE('',*,*,#17030,.F.); #22788=ORIENTED_EDGE('',*,*,#17033,.F.); #22789=ORIENTED_EDGE('',*,*,#17040,.F.); #22790=ORIENTED_EDGE('',*,*,#17002,.T.); #22791=ORIENTED_EDGE('',*,*,#17008,.T.); #22792=ORIENTED_EDGE('',*,*,#17014,.T.); #22793=ORIENTED_EDGE('',*,*,#17020,.T.); #22794=ORIENTED_EDGE('',*,*,#17038,.T.); #22795=ORIENTED_EDGE('',*,*,#17041,.F.); #22796=ORIENTED_EDGE('',*,*,#17042,.T.); #22797=ORIENTED_EDGE('',*,*,#17043,.T.); #22798=ORIENTED_EDGE('',*,*,#17037,.T.); #22799=ORIENTED_EDGE('',*,*,#17044,.F.); #22800=ORIENTED_EDGE('',*,*,#17045,.T.); #22801=ORIENTED_EDGE('',*,*,#17041,.T.); #22802=ORIENTED_EDGE('',*,*,#17036,.T.); #22803=ORIENTED_EDGE('',*,*,#17046,.F.); #22804=ORIENTED_EDGE('',*,*,#17047,.T.); #22805=ORIENTED_EDGE('',*,*,#17044,.T.); #22806=ORIENTED_EDGE('',*,*,#17035,.T.); #22807=ORIENTED_EDGE('',*,*,#17048,.F.); #22808=ORIENTED_EDGE('',*,*,#17049,.T.); #22809=ORIENTED_EDGE('',*,*,#17046,.T.); #22810=ORIENTED_EDGE('',*,*,#17034,.T.); #22811=ORIENTED_EDGE('',*,*,#17050,.F.); #22812=ORIENTED_EDGE('',*,*,#17051,.T.); #22813=ORIENTED_EDGE('',*,*,#17048,.T.); #22814=ORIENTED_EDGE('',*,*,#17052,.T.); #22815=ORIENTED_EDGE('',*,*,#17053,.T.); #22816=ORIENTED_EDGE('',*,*,#17054,.F.); #22817=ORIENTED_EDGE('',*,*,#17055,.F.); #22818=ORIENTED_EDGE('',*,*,#17056,.T.); #22819=ORIENTED_EDGE('',*,*,#17055,.T.); #22820=ORIENTED_EDGE('',*,*,#17057,.F.); #22821=ORIENTED_EDGE('',*,*,#17058,.F.); #22822=ORIENTED_EDGE('',*,*,#17039,.T.); #22823=ORIENTED_EDGE('',*,*,#17043,.F.); #22824=ORIENTED_EDGE('',*,*,#17059,.T.); #22825=ORIENTED_EDGE('',*,*,#17058,.T.); #22826=ORIENTED_EDGE('',*,*,#17060,.F.); #22827=ORIENTED_EDGE('',*,*,#17027,.T.); #22828=ORIENTED_EDGE('',*,*,#17032,.T.); #22829=ORIENTED_EDGE('',*,*,#17061,.F.); #22830=ORIENTED_EDGE('',*,*,#17062,.F.); #22831=ORIENTED_EDGE('',*,*,#17063,.T.); #22832=ORIENTED_EDGE('',*,*,#17050,.T.); #22833=ORIENTED_EDGE('',*,*,#17040,.T.); #22834=ORIENTED_EDGE('',*,*,#17064,.T.); #22835=ORIENTED_EDGE('',*,*,#17062,.T.); #22836=ORIENTED_EDGE('',*,*,#17065,.F.); #22837=ORIENTED_EDGE('',*,*,#17066,.F.); #22838=ORIENTED_EDGE('',*,*,#17067,.T.); #22839=ORIENTED_EDGE('',*,*,#17066,.T.); #22840=ORIENTED_EDGE('',*,*,#17068,.F.); #22841=ORIENTED_EDGE('',*,*,#17069,.F.); #22842=ORIENTED_EDGE('',*,*,#17070,.T.); #22843=ORIENTED_EDGE('',*,*,#17069,.T.); #22844=ORIENTED_EDGE('',*,*,#17071,.F.); #22845=ORIENTED_EDGE('',*,*,#17072,.F.); #22846=ORIENTED_EDGE('',*,*,#17073,.T.); #22847=ORIENTED_EDGE('',*,*,#17072,.T.); #22848=ORIENTED_EDGE('',*,*,#17074,.F.); #22849=ORIENTED_EDGE('',*,*,#17053,.F.); #22850=ORIENTED_EDGE('',*,*,#17024,.T.); #22851=ORIENTED_EDGE('',*,*,#17060,.T.); #22852=ORIENTED_EDGE('',*,*,#17057,.T.); #22853=ORIENTED_EDGE('',*,*,#17054,.T.); #22854=ORIENTED_EDGE('',*,*,#17074,.T.); #22855=ORIENTED_EDGE('',*,*,#17071,.T.); #22856=ORIENTED_EDGE('',*,*,#17068,.T.); #22857=ORIENTED_EDGE('',*,*,#17065,.T.); #22858=ORIENTED_EDGE('',*,*,#17061,.T.); #22859=ORIENTED_EDGE('',*,*,#17031,.T.); #22860=ORIENTED_EDGE('',*,*,#17028,.T.); #22861=ORIENTED_EDGE('',*,*,#17049,.F.); #22862=ORIENTED_EDGE('',*,*,#17051,.F.); #22863=ORIENTED_EDGE('',*,*,#17063,.F.); #22864=ORIENTED_EDGE('',*,*,#17064,.F.); #22865=ORIENTED_EDGE('',*,*,#17067,.F.); #22866=ORIENTED_EDGE('',*,*,#17070,.F.); #22867=ORIENTED_EDGE('',*,*,#17073,.F.); #22868=ORIENTED_EDGE('',*,*,#17052,.F.); #22869=ORIENTED_EDGE('',*,*,#17056,.F.); #22870=ORIENTED_EDGE('',*,*,#17059,.F.); #22871=ORIENTED_EDGE('',*,*,#17042,.F.); #22872=ORIENTED_EDGE('',*,*,#17045,.F.); #22873=ORIENTED_EDGE('',*,*,#17047,.F.); #22874=ORIENTED_EDGE('',*,*,#17005,.T.); #22875=ORIENTED_EDGE('',*,*,#17011,.T.); #22876=ORIENTED_EDGE('',*,*,#17017,.T.); #22877=ORIENTED_EDGE('',*,*,#17023,.T.); #22878=ORIENTED_EDGE('',*,*,#17075,.T.); #22879=ORIENTED_EDGE('',*,*,#17076,.T.); #22880=ORIENTED_EDGE('',*,*,#17076,.F.); #22881=ORIENTED_EDGE('',*,*,#17077,.F.); #22882=ORIENTED_EDGE('',*,*,#17078,.T.); #22883=ORIENTED_EDGE('',*,*,#17075,.F.); #22884=ORIENTED_EDGE('',*,*,#17078,.F.); #22885=ORIENTED_EDGE('',*,*,#17079,.T.); #22886=ORIENTED_EDGE('',*,*,#17080,.T.); #22887=ORIENTED_EDGE('',*,*,#17080,.F.); #22888=ORIENTED_EDGE('',*,*,#17081,.F.); #22889=ORIENTED_EDGE('',*,*,#17082,.T.); #22890=ORIENTED_EDGE('',*,*,#17079,.F.); #22891=ORIENTED_EDGE('',*,*,#17082,.F.); #22892=ORIENTED_EDGE('',*,*,#17083,.F.); #22893=ORIENTED_EDGE('',*,*,#17084,.F.); #22894=ORIENTED_EDGE('',*,*,#17085,.F.); #22895=ORIENTED_EDGE('',*,*,#17086,.F.); #22896=ORIENTED_EDGE('',*,*,#17087,.T.); #22897=ORIENTED_EDGE('',*,*,#17088,.F.); #22898=ORIENTED_EDGE('',*,*,#17089,.F.); #22899=ORIENTED_EDGE('',*,*,#17090,.F.); #22900=ORIENTED_EDGE('',*,*,#17091,.T.); #22901=ORIENTED_EDGE('',*,*,#17092,.F.); #22902=ORIENTED_EDGE('',*,*,#17093,.F.); #22903=ORIENTED_EDGE('',*,*,#17094,.F.); #22904=ORIENTED_EDGE('',*,*,#17095,.F.); #22905=ORIENTED_EDGE('',*,*,#17087,.F.); #22906=ORIENTED_EDGE('',*,*,#17096,.F.); #22907=ORIENTED_EDGE('',*,*,#17091,.F.); #22908=ORIENTED_EDGE('',*,*,#17077,.T.); #22909=ORIENTED_EDGE('',*,*,#17081,.T.); #22910=ORIENTED_EDGE('',*,*,#17094,.T.); #22911=ORIENTED_EDGE('',*,*,#17097,.F.); #22912=ORIENTED_EDGE('',*,*,#17098,.F.); #22913=ORIENTED_EDGE('',*,*,#17099,.F.); #22914=ORIENTED_EDGE('',*,*,#17093,.T.); #22915=ORIENTED_EDGE('',*,*,#17100,.T.); #22916=ORIENTED_EDGE('',*,*,#17101,.T.); #22917=ORIENTED_EDGE('',*,*,#17097,.T.); #22918=ORIENTED_EDGE('',*,*,#17092,.T.); #22919=ORIENTED_EDGE('',*,*,#17096,.T.); #22920=ORIENTED_EDGE('',*,*,#17086,.T.); #22921=ORIENTED_EDGE('',*,*,#17102,.F.); #22922=ORIENTED_EDGE('',*,*,#17103,.T.); #22923=ORIENTED_EDGE('',*,*,#17104,.F.); #22924=ORIENTED_EDGE('',*,*,#17105,.T.); #22925=ORIENTED_EDGE('',*,*,#17106,.T.); #22926=ORIENTED_EDGE('',*,*,#17107,.T.); #22927=ORIENTED_EDGE('',*,*,#17108,.F.); #22928=ORIENTED_EDGE('',*,*,#17109,.T.); #22929=ORIENTED_EDGE('',*,*,#17100,.F.); #22930=ORIENTED_EDGE('',*,*,#17110,.T.); #22931=ORIENTED_EDGE('',*,*,#17111,.T.); #22932=ORIENTED_EDGE('',*,*,#17112,.T.); #22933=ORIENTED_EDGE('',*,*,#17113,.T.); #22934=ORIENTED_EDGE('',*,*,#17114,.T.); #22935=ORIENTED_EDGE('',*,*,#17115,.T.); #22936=ORIENTED_EDGE('',*,*,#17116,.T.); #22937=ORIENTED_EDGE('',*,*,#17117,.T.); #22938=ORIENTED_EDGE('',*,*,#17085,.T.); #22939=ORIENTED_EDGE('',*,*,#17118,.T.); #22940=ORIENTED_EDGE('',*,*,#17119,.T.); #22941=ORIENTED_EDGE('',*,*,#17102,.T.); #22942=ORIENTED_EDGE('',*,*,#17120,.T.); #22943=ORIENTED_EDGE('',*,*,#17121,.F.); #22944=ORIENTED_EDGE('',*,*,#17122,.F.); #22945=ORIENTED_EDGE('',*,*,#17123,.T.); #22946=ORIENTED_EDGE('',*,*,#17120,.F.); #22947=ORIENTED_EDGE('',*,*,#17123,.F.); #22948=ORIENTED_EDGE('',*,*,#17121,.T.); #22949=ORIENTED_EDGE('',*,*,#17124,.T.); #22950=ORIENTED_EDGE('',*,*,#17117,.F.); #22951=ORIENTED_EDGE('',*,*,#17124,.F.); #22952=ORIENTED_EDGE('',*,*,#17125,.T.); #22953=ORIENTED_EDGE('',*,*,#17126,.F.); #22954=ORIENTED_EDGE('',*,*,#17127,.F.); #22955=ORIENTED_EDGE('',*,*,#17128,.T.); #22956=ORIENTED_EDGE('',*,*,#17125,.F.); #22957=ORIENTED_EDGE('',*,*,#17128,.F.); #22958=ORIENTED_EDGE('',*,*,#17126,.T.); #22959=ORIENTED_EDGE('',*,*,#17129,.T.); #22960=ORIENTED_EDGE('',*,*,#17116,.F.); #22961=ORIENTED_EDGE('',*,*,#17129,.F.); #22962=ORIENTED_EDGE('',*,*,#17130,.T.); #22963=ORIENTED_EDGE('',*,*,#17131,.F.); #22964=ORIENTED_EDGE('',*,*,#17132,.F.); #22965=ORIENTED_EDGE('',*,*,#17133,.T.); #22966=ORIENTED_EDGE('',*,*,#17130,.F.); #22967=ORIENTED_EDGE('',*,*,#17133,.F.); #22968=ORIENTED_EDGE('',*,*,#17131,.T.); #22969=ORIENTED_EDGE('',*,*,#17134,.T.); #22970=ORIENTED_EDGE('',*,*,#17115,.F.); #22971=ORIENTED_EDGE('',*,*,#17134,.F.); #22972=ORIENTED_EDGE('',*,*,#17135,.T.); #22973=ORIENTED_EDGE('',*,*,#17136,.F.); #22974=ORIENTED_EDGE('',*,*,#17137,.F.); #22975=ORIENTED_EDGE('',*,*,#17138,.T.); #22976=ORIENTED_EDGE('',*,*,#17135,.F.); #22977=ORIENTED_EDGE('',*,*,#17138,.F.); #22978=ORIENTED_EDGE('',*,*,#17136,.T.); #22979=ORIENTED_EDGE('',*,*,#17139,.T.); #22980=ORIENTED_EDGE('',*,*,#17114,.F.); #22981=ORIENTED_EDGE('',*,*,#17139,.F.); #22982=ORIENTED_EDGE('',*,*,#17140,.F.); #22983=ORIENTED_EDGE('',*,*,#17141,.F.); #22984=ORIENTED_EDGE('',*,*,#17142,.T.); #22985=ORIENTED_EDGE('',*,*,#17105,.F.); #22986=ORIENTED_EDGE('',*,*,#17143,.F.); #22987=ORIENTED_EDGE('',*,*,#17144,.F.); #22988=ORIENTED_EDGE('',*,*,#17106,.F.); #22989=ORIENTED_EDGE('',*,*,#17142,.F.); #22990=ORIENTED_EDGE('',*,*,#17145,.F.); #22991=ORIENTED_EDGE('',*,*,#17146,.T.); #22992=ORIENTED_EDGE('',*,*,#17147,.F.); #22993=ORIENTED_EDGE('',*,*,#17148,.F.); #22994=ORIENTED_EDGE('',*,*,#17149,.F.); #22995=ORIENTED_EDGE('',*,*,#17107,.F.); #22996=ORIENTED_EDGE('',*,*,#17146,.F.); #22997=ORIENTED_EDGE('',*,*,#17150,.F.); #22998=ORIENTED_EDGE('',*,*,#17147,.T.); #22999=ORIENTED_EDGE('',*,*,#17151,.T.); #23000=ORIENTED_EDGE('',*,*,#17152,.T.); #23001=ORIENTED_EDGE('',*,*,#17153,.T.); #23002=ORIENTED_EDGE('',*,*,#17140,.T.); #23003=ORIENTED_EDGE('',*,*,#17154,.T.); #23004=ORIENTED_EDGE('',*,*,#17155,.T.); #23005=ORIENTED_EDGE('',*,*,#17156,.T.); #23006=ORIENTED_EDGE('',*,*,#17148,.T.); #23007=ORIENTED_EDGE('',*,*,#17153,.F.); #23008=ORIENTED_EDGE('',*,*,#17157,.T.); #23009=ORIENTED_EDGE('',*,*,#17158,.F.); #23010=ORIENTED_EDGE('',*,*,#17144,.T.); #23011=ORIENTED_EDGE('',*,*,#17159,.F.); #23012=ORIENTED_EDGE('',*,*,#17160,.T.); #23013=ORIENTED_EDGE('',*,*,#17154,.F.); #23014=ORIENTED_EDGE('',*,*,#17149,.T.); #23015=ORIENTED_EDGE('',*,*,#17158,.T.); #23016=ORIENTED_EDGE('',*,*,#17161,.T.); #23017=ORIENTED_EDGE('',*,*,#17108,.T.); #23018=ORIENTED_EDGE('',*,*,#17083,.T.); #23019=ORIENTED_EDGE('',*,*,#17162,.T.); #23020=ORIENTED_EDGE('',*,*,#17163,.T.); #23021=ORIENTED_EDGE('',*,*,#17164,.T.); #23022=ORIENTED_EDGE('',*,*,#17089,.T.); #23023=ORIENTED_EDGE('',*,*,#17099,.T.); #23024=ORIENTED_EDGE('',*,*,#17165,.T.); #23025=ORIENTED_EDGE('',*,*,#17166,.T.); #23026=ORIENTED_EDGE('',*,*,#17084,.T.); #23027=ORIENTED_EDGE('',*,*,#17164,.F.); #23028=ORIENTED_EDGE('',*,*,#17167,.T.); #23029=ORIENTED_EDGE('',*,*,#17118,.F.); #23030=ORIENTED_EDGE('',*,*,#17101,.F.); #23031=ORIENTED_EDGE('',*,*,#17109,.F.); #23032=ORIENTED_EDGE('',*,*,#17161,.F.); #23033=ORIENTED_EDGE('',*,*,#17157,.F.); #23034=ORIENTED_EDGE('',*,*,#17152,.F.); #23035=ORIENTED_EDGE('',*,*,#17168,.F.); #23036=ORIENTED_EDGE('',*,*,#17165,.F.); #23037=ORIENTED_EDGE('',*,*,#17098,.T.); #23038=ORIENTED_EDGE('',*,*,#17169,.F.); #23039=ORIENTED_EDGE('',*,*,#17170,.F.); #23040=ORIENTED_EDGE('',*,*,#17090,.T.); #23041=ORIENTED_EDGE('',*,*,#17166,.F.); #23042=ORIENTED_EDGE('',*,*,#17168,.T.); #23043=ORIENTED_EDGE('',*,*,#17151,.F.); #23044=ORIENTED_EDGE('',*,*,#17150,.T.); #23045=ORIENTED_EDGE('',*,*,#17145,.T.); #23046=ORIENTED_EDGE('',*,*,#17141,.T.); #23047=ORIENTED_EDGE('',*,*,#17156,.F.); #23048=ORIENTED_EDGE('',*,*,#17171,.T.); #23049=ORIENTED_EDGE('',*,*,#17162,.F.); #23050=ORIENTED_EDGE('',*,*,#17088,.T.); #23051=ORIENTED_EDGE('',*,*,#17095,.T.); #23052=ORIENTED_EDGE('',*,*,#17122,.T.); #23053=ORIENTED_EDGE('',*,*,#17127,.T.); #23054=ORIENTED_EDGE('',*,*,#17132,.T.); #23055=ORIENTED_EDGE('',*,*,#17137,.T.); #23056=ORIENTED_EDGE('',*,*,#17172,.T.); #23057=ORIENTED_EDGE('',*,*,#17173,.T.); #23058=ORIENTED_EDGE('',*,*,#17174,.T.); #23059=ORIENTED_EDGE('',*,*,#17175,.T.); #23060=ORIENTED_EDGE('',*,*,#17143,.T.); #23061=ORIENTED_EDGE('',*,*,#17104,.T.); #23062=ORIENTED_EDGE('',*,*,#17176,.T.); #23063=ORIENTED_EDGE('',*,*,#17159,.T.); #23064=ORIENTED_EDGE('',*,*,#17119,.F.); #23065=ORIENTED_EDGE('',*,*,#17167,.F.); #23066=ORIENTED_EDGE('',*,*,#17163,.F.); #23067=ORIENTED_EDGE('',*,*,#17171,.F.); #23068=ORIENTED_EDGE('',*,*,#17155,.F.); #23069=ORIENTED_EDGE('',*,*,#17160,.F.); #23070=ORIENTED_EDGE('',*,*,#17176,.F.); #23071=ORIENTED_EDGE('',*,*,#17103,.F.); #23072=ORIENTED_EDGE('',*,*,#17177,.F.); #23073=ORIENTED_EDGE('',*,*,#17178,.F.); #23074=ORIENTED_EDGE('',*,*,#17169,.T.); #23075=ORIENTED_EDGE('',*,*,#17179,.T.); #23076=ORIENTED_EDGE('',*,*,#17180,.T.); #23077=ORIENTED_EDGE('',*,*,#17181,.T.); #23078=ORIENTED_EDGE('',*,*,#17179,.F.); #23079=ORIENTED_EDGE('',*,*,#17180,.F.); #23080=ORIENTED_EDGE('',*,*,#17181,.F.); #23081=ORIENTED_EDGE('',*,*,#17182,.T.); #23082=ORIENTED_EDGE('',*,*,#17183,.T.); #23083=ORIENTED_EDGE('',*,*,#17182,.F.); #23084=ORIENTED_EDGE('',*,*,#17170,.T.); #23085=ORIENTED_EDGE('',*,*,#17184,.T.); #23086=ORIENTED_EDGE('',*,*,#17185,.T.); #23087=ORIENTED_EDGE('',*,*,#17186,.T.); #23088=ORIENTED_EDGE('',*,*,#17184,.F.); #23089=ORIENTED_EDGE('',*,*,#17185,.F.); #23090=ORIENTED_EDGE('',*,*,#17186,.F.); #23091=ORIENTED_EDGE('',*,*,#17187,.T.); #23092=ORIENTED_EDGE('',*,*,#17188,.T.); #23093=ORIENTED_EDGE('',*,*,#17187,.F.); #23094=ORIENTED_EDGE('',*,*,#17177,.T.); #23095=ORIENTED_EDGE('',*,*,#17189,.T.); #23096=ORIENTED_EDGE('',*,*,#17190,.T.); #23097=ORIENTED_EDGE('',*,*,#17191,.T.); #23098=ORIENTED_EDGE('',*,*,#17189,.F.); #23099=ORIENTED_EDGE('',*,*,#17190,.F.); #23100=ORIENTED_EDGE('',*,*,#17191,.F.); #23101=ORIENTED_EDGE('',*,*,#17192,.T.); #23102=ORIENTED_EDGE('',*,*,#17193,.F.); #23103=ORIENTED_EDGE('',*,*,#17192,.F.); #23104=ORIENTED_EDGE('',*,*,#17178,.T.); #23105=ORIENTED_EDGE('',*,*,#17194,.T.); #23106=ORIENTED_EDGE('',*,*,#17195,.T.); #23107=ORIENTED_EDGE('',*,*,#17196,.T.); #23108=ORIENTED_EDGE('',*,*,#17194,.F.); #23109=ORIENTED_EDGE('',*,*,#17195,.F.); #23110=ORIENTED_EDGE('',*,*,#17196,.F.); #23111=ORIENTED_EDGE('',*,*,#17197,.T.); #23112=ORIENTED_EDGE('',*,*,#17198,.F.); #23113=ORIENTED_EDGE('',*,*,#17197,.F.); #23114=ORIENTED_EDGE('',*,*,#17199,.F.); #23115=ORIENTED_EDGE('',*,*,#17200,.T.); #23116=ORIENTED_EDGE('',*,*,#17200,.F.); #23117=ORIENTED_EDGE('',*,*,#17188,.F.); #23118=ORIENTED_EDGE('',*,*,#17201,.T.); #23119=ORIENTED_EDGE('',*,*,#17201,.F.); #23120=ORIENTED_EDGE('',*,*,#17202,.T.); #23121=ORIENTED_EDGE('',*,*,#17199,.T.); #23122=ORIENTED_EDGE('',*,*,#17202,.F.); #23123=ORIENTED_EDGE('',*,*,#17203,.F.); #23124=ORIENTED_EDGE('',*,*,#17204,.T.); #23125=ORIENTED_EDGE('',*,*,#17204,.F.); #23126=ORIENTED_EDGE('',*,*,#17183,.F.); #23127=ORIENTED_EDGE('',*,*,#17205,.T.); #23128=ORIENTED_EDGE('',*,*,#17205,.F.); #23129=ORIENTED_EDGE('',*,*,#17206,.T.); #23130=ORIENTED_EDGE('',*,*,#17203,.T.); #23131=ORIENTED_EDGE('',*,*,#17206,.F.); #23132=ORIENTED_EDGE('',*,*,#17207,.T.); #23133=ORIENTED_EDGE('',*,*,#17208,.T.); #23134=ORIENTED_EDGE('',*,*,#17208,.F.); #23135=ORIENTED_EDGE('',*,*,#17198,.T.); #23136=ORIENTED_EDGE('',*,*,#17209,.F.); #23137=ORIENTED_EDGE('',*,*,#17209,.T.); #23138=ORIENTED_EDGE('',*,*,#17210,.T.); #23139=ORIENTED_EDGE('',*,*,#17207,.F.); #23140=ORIENTED_EDGE('',*,*,#17210,.F.); #23141=ORIENTED_EDGE('',*,*,#17211,.T.); #23142=ORIENTED_EDGE('',*,*,#17212,.T.); #23143=ORIENTED_EDGE('',*,*,#17212,.F.); #23144=ORIENTED_EDGE('',*,*,#17193,.T.); #23145=ORIENTED_EDGE('',*,*,#17213,.F.); #23146=ORIENTED_EDGE('',*,*,#17213,.T.); #23147=ORIENTED_EDGE('',*,*,#17214,.T.); #23148=ORIENTED_EDGE('',*,*,#17211,.F.); #23149=ORIENTED_EDGE('',*,*,#17214,.F.); #23150=ORIENTED_EDGE('',*,*,#17215,.T.); #23151=ORIENTED_EDGE('',*,*,#17216,.F.); #23152=ORIENTED_EDGE('',*,*,#17172,.F.); #23153=ORIENTED_EDGE('',*,*,#17217,.T.); #23154=ORIENTED_EDGE('',*,*,#17215,.F.); #23155=ORIENTED_EDGE('',*,*,#17217,.F.); #23156=ORIENTED_EDGE('',*,*,#17216,.T.); #23157=ORIENTED_EDGE('',*,*,#17218,.T.); #23158=ORIENTED_EDGE('',*,*,#17113,.F.); #23159=ORIENTED_EDGE('',*,*,#17218,.F.); #23160=ORIENTED_EDGE('',*,*,#17219,.T.); #23161=ORIENTED_EDGE('',*,*,#17220,.F.); #23162=ORIENTED_EDGE('',*,*,#17173,.F.); #23163=ORIENTED_EDGE('',*,*,#17221,.T.); #23164=ORIENTED_EDGE('',*,*,#17219,.F.); #23165=ORIENTED_EDGE('',*,*,#17221,.F.); #23166=ORIENTED_EDGE('',*,*,#17220,.T.); #23167=ORIENTED_EDGE('',*,*,#17222,.T.); #23168=ORIENTED_EDGE('',*,*,#17112,.F.); #23169=ORIENTED_EDGE('',*,*,#17222,.F.); #23170=ORIENTED_EDGE('',*,*,#17223,.T.); #23171=ORIENTED_EDGE('',*,*,#17224,.F.); #23172=ORIENTED_EDGE('',*,*,#17174,.F.); #23173=ORIENTED_EDGE('',*,*,#17225,.T.); #23174=ORIENTED_EDGE('',*,*,#17223,.F.); #23175=ORIENTED_EDGE('',*,*,#17225,.F.); #23176=ORIENTED_EDGE('',*,*,#17224,.T.); #23177=ORIENTED_EDGE('',*,*,#17226,.T.); #23178=ORIENTED_EDGE('',*,*,#17111,.F.); #23179=ORIENTED_EDGE('',*,*,#17226,.F.); #23180=ORIENTED_EDGE('',*,*,#17227,.T.); #23181=ORIENTED_EDGE('',*,*,#17228,.F.); #23182=ORIENTED_EDGE('',*,*,#17175,.F.); #23183=ORIENTED_EDGE('',*,*,#17229,.T.); #23184=ORIENTED_EDGE('',*,*,#17227,.F.); #23185=ORIENTED_EDGE('',*,*,#17229,.F.); #23186=ORIENTED_EDGE('',*,*,#17228,.T.); #23187=ORIENTED_EDGE('',*,*,#17230,.T.); #23188=ORIENTED_EDGE('',*,*,#17110,.F.); #23189=ORIENTED_EDGE('',*,*,#17230,.F.); #23190=ORIENTED_EDGE('',*,*,#17231,.T.); #23191=ORIENTED_EDGE('',*,*,#17232,.T.); #23192=ORIENTED_EDGE('',*,*,#17233,.T.); #23193=ORIENTED_EDGE('',*,*,#17234,.T.); #23194=ORIENTED_EDGE('',*,*,#17232,.F.); #23195=ORIENTED_EDGE('',*,*,#17235,.F.); #23196=ORIENTED_EDGE('',*,*,#17236,.F.); #23197=ORIENTED_EDGE('',*,*,#17237,.T.); #23198=ORIENTED_EDGE('',*,*,#17233,.F.); #23199=ORIENTED_EDGE('',*,*,#17234,.F.); #23200=ORIENTED_EDGE('',*,*,#17237,.F.); #23201=ORIENTED_EDGE('',*,*,#17238,.T.); #23202=ORIENTED_EDGE('',*,*,#17231,.F.); #23203=ORIENTED_EDGE('',*,*,#17239,.T.); #23204=ORIENTED_EDGE('',*,*,#17240,.T.); #23205=ORIENTED_EDGE('',*,*,#17235,.T.); #23206=ORIENTED_EDGE('',*,*,#17236,.T.); #23207=ORIENTED_EDGE('',*,*,#17240,.F.); #23208=ORIENTED_EDGE('',*,*,#17239,.F.); #23209=ORIENTED_EDGE('',*,*,#17241,.T.); #23210=ORIENTED_EDGE('',*,*,#17242,.T.); #23211=ORIENTED_EDGE('',*,*,#17243,.T.); #23212=ORIENTED_EDGE('',*,*,#17244,.T.); #23213=ORIENTED_EDGE('',*,*,#17243,.F.); #23214=ORIENTED_EDGE('',*,*,#17244,.F.); #23215=ORIENTED_EDGE('',*,*,#17245,.T.); #23216=ORIENTED_EDGE('',*,*,#17246,.T.); #23217=ORIENTED_EDGE('',*,*,#17247,.T.); #23218=ORIENTED_EDGE('',*,*,#17248,.T.); #23219=ORIENTED_EDGE('',*,*,#17249,.T.); #23220=ORIENTED_EDGE('',*,*,#17250,.T.); #23221=ORIENTED_EDGE('',*,*,#17238,.F.); #23222=ORIENTED_EDGE('',*,*,#17251,.T.); #23223=ORIENTED_EDGE('',*,*,#17241,.F.); #23224=ORIENTED_EDGE('',*,*,#17242,.F.); #23225=ORIENTED_EDGE('',*,*,#17251,.F.); #23226=ORIENTED_EDGE('',*,*,#17252,.F.); #23227=ORIENTED_EDGE('',*,*,#17253,.T.); #23228=ORIENTED_EDGE('',*,*,#17249,.F.); #23229=ORIENTED_EDGE('',*,*,#17254,.F.); #23230=ORIENTED_EDGE('',*,*,#17255,.F.); #23231=ORIENTED_EDGE('',*,*,#17256,.T.); #23232=ORIENTED_EDGE('',*,*,#17250,.F.); #23233=ORIENTED_EDGE('',*,*,#17253,.F.); #23234=ORIENTED_EDGE('',*,*,#17257,.F.); #23235=ORIENTED_EDGE('',*,*,#17258,.T.); #23236=ORIENTED_EDGE('',*,*,#17245,.F.); #23237=ORIENTED_EDGE('',*,*,#17256,.F.); #23238=ORIENTED_EDGE('',*,*,#17259,.F.); #23239=ORIENTED_EDGE('',*,*,#17260,.F.); #23240=ORIENTED_EDGE('',*,*,#17246,.F.); #23241=ORIENTED_EDGE('',*,*,#17258,.F.); #23242=ORIENTED_EDGE('',*,*,#17261,.F.); #23243=ORIENTED_EDGE('',*,*,#17254,.T.); #23244=ORIENTED_EDGE('',*,*,#17248,.F.); #23245=ORIENTED_EDGE('',*,*,#17262,.F.); #23246=ORIENTED_EDGE('',*,*,#17263,.F.); #23247=ORIENTED_EDGE('',*,*,#17264,.F.); #23248=ORIENTED_EDGE('',*,*,#17262,.T.); #23249=ORIENTED_EDGE('',*,*,#17247,.F.); #23250=ORIENTED_EDGE('',*,*,#17260,.T.); #23251=ORIENTED_EDGE('',*,*,#17265,.T.); #23252=ORIENTED_EDGE('',*,*,#17263,.T.); #23253=ORIENTED_EDGE('',*,*,#17264,.T.); #23254=ORIENTED_EDGE('',*,*,#17259,.T.); #23255=ORIENTED_EDGE('',*,*,#17257,.T.); #23256=ORIENTED_EDGE('',*,*,#17255,.T.); #23257=ORIENTED_EDGE('',*,*,#17252,.T.); #23258=ORIENTED_EDGE('',*,*,#17261,.T.); #23259=ORIENTED_EDGE('',*,*,#17265,.F.); #23260=ORIENTED_EDGE('',*,*,#17266,.F.); #23261=ORIENTED_EDGE('',*,*,#17267,.F.); #23262=ORIENTED_EDGE('',*,*,#17268,.F.); #23263=ORIENTED_EDGE('',*,*,#17269,.T.); #23264=ORIENTED_EDGE('',*,*,#17270,.F.); #23265=ORIENTED_EDGE('',*,*,#17269,.F.); #23266=ORIENTED_EDGE('',*,*,#17271,.F.); #23267=ORIENTED_EDGE('',*,*,#17272,.T.); #23268=ORIENTED_EDGE('',*,*,#17273,.F.); #23269=ORIENTED_EDGE('',*,*,#17272,.F.); #23270=ORIENTED_EDGE('',*,*,#17274,.F.); #23271=ORIENTED_EDGE('',*,*,#17275,.T.); #23272=ORIENTED_EDGE('',*,*,#17276,.F.); #23273=ORIENTED_EDGE('',*,*,#17275,.F.); #23274=ORIENTED_EDGE('',*,*,#17277,.F.); #23275=ORIENTED_EDGE('',*,*,#17267,.T.); #23276=ORIENTED_EDGE('',*,*,#17278,.F.); #23277=ORIENTED_EDGE('',*,*,#17279,.F.); #23278=ORIENTED_EDGE('',*,*,#17280,.F.); #23279=ORIENTED_EDGE('',*,*,#17281,.T.); #23280=ORIENTED_EDGE('',*,*,#17282,.F.); #23281=ORIENTED_EDGE('',*,*,#17281,.F.); #23282=ORIENTED_EDGE('',*,*,#17283,.F.); #23283=ORIENTED_EDGE('',*,*,#17284,.T.); #23284=ORIENTED_EDGE('',*,*,#17285,.F.); #23285=ORIENTED_EDGE('',*,*,#17284,.F.); #23286=ORIENTED_EDGE('',*,*,#17286,.F.); #23287=ORIENTED_EDGE('',*,*,#17287,.T.); #23288=ORIENTED_EDGE('',*,*,#17288,.F.); #23289=ORIENTED_EDGE('',*,*,#17287,.F.); #23290=ORIENTED_EDGE('',*,*,#17289,.F.); #23291=ORIENTED_EDGE('',*,*,#17279,.T.); #23292=ORIENTED_EDGE('',*,*,#17290,.F.); #23293=ORIENTED_EDGE('',*,*,#17291,.F.); #23294=ORIENTED_EDGE('',*,*,#17292,.F.); #23295=ORIENTED_EDGE('',*,*,#17293,.T.); #23296=ORIENTED_EDGE('',*,*,#17294,.F.); #23297=ORIENTED_EDGE('',*,*,#17293,.F.); #23298=ORIENTED_EDGE('',*,*,#17295,.F.); #23299=ORIENTED_EDGE('',*,*,#17296,.T.); #23300=ORIENTED_EDGE('',*,*,#17297,.F.); #23301=ORIENTED_EDGE('',*,*,#17296,.F.); #23302=ORIENTED_EDGE('',*,*,#17298,.F.); #23303=ORIENTED_EDGE('',*,*,#17299,.T.); #23304=ORIENTED_EDGE('',*,*,#17300,.F.); #23305=ORIENTED_EDGE('',*,*,#17299,.F.); #23306=ORIENTED_EDGE('',*,*,#17301,.F.); #23307=ORIENTED_EDGE('',*,*,#17291,.T.); #23308=ORIENTED_EDGE('',*,*,#17302,.F.); #23309=ORIENTED_EDGE('',*,*,#17303,.T.); #23310=ORIENTED_EDGE('',*,*,#17304,.F.); #23311=ORIENTED_EDGE('',*,*,#17305,.F.); #23312=ORIENTED_EDGE('',*,*,#17306,.F.); #23313=ORIENTED_EDGE('',*,*,#17305,.T.); #23314=ORIENTED_EDGE('',*,*,#17307,.F.); #23315=ORIENTED_EDGE('',*,*,#17308,.F.); #23316=ORIENTED_EDGE('',*,*,#17309,.F.); #23317=ORIENTED_EDGE('',*,*,#17308,.T.); #23318=ORIENTED_EDGE('',*,*,#17310,.F.); #23319=ORIENTED_EDGE('',*,*,#17311,.F.); #23320=ORIENTED_EDGE('',*,*,#17312,.F.); #23321=ORIENTED_EDGE('',*,*,#17311,.T.); #23322=ORIENTED_EDGE('',*,*,#17313,.F.); #23323=ORIENTED_EDGE('',*,*,#17314,.F.); #23324=ORIENTED_EDGE('',*,*,#17315,.F.); #23325=ORIENTED_EDGE('',*,*,#17314,.T.); #23326=ORIENTED_EDGE('',*,*,#17316,.F.); #23327=ORIENTED_EDGE('',*,*,#17317,.F.); #23328=ORIENTED_EDGE('',*,*,#17318,.F.); #23329=ORIENTED_EDGE('',*,*,#17317,.T.); #23330=ORIENTED_EDGE('',*,*,#17319,.F.); #23331=ORIENTED_EDGE('',*,*,#17320,.F.); #23332=ORIENTED_EDGE('',*,*,#17321,.F.); #23333=ORIENTED_EDGE('',*,*,#17320,.T.); #23334=ORIENTED_EDGE('',*,*,#17322,.F.); #23335=ORIENTED_EDGE('',*,*,#17323,.F.); #23336=ORIENTED_EDGE('',*,*,#17324,.F.); #23337=ORIENTED_EDGE('',*,*,#17323,.T.); #23338=ORIENTED_EDGE('',*,*,#17325,.F.); #23339=ORIENTED_EDGE('',*,*,#17303,.F.); #23340=ORIENTED_EDGE('',*,*,#17325,.T.); #23341=ORIENTED_EDGE('',*,*,#17322,.T.); #23342=ORIENTED_EDGE('',*,*,#17319,.T.); #23343=ORIENTED_EDGE('',*,*,#17316,.T.); #23344=ORIENTED_EDGE('',*,*,#17313,.T.); #23345=ORIENTED_EDGE('',*,*,#17310,.T.); #23346=ORIENTED_EDGE('',*,*,#17307,.T.); #23347=ORIENTED_EDGE('',*,*,#17304,.T.); #23348=ORIENTED_EDGE('',*,*,#17273,.T.); #23349=ORIENTED_EDGE('',*,*,#17276,.T.); #23350=ORIENTED_EDGE('',*,*,#17266,.T.); #23351=ORIENTED_EDGE('',*,*,#17270,.T.); #23352=ORIENTED_EDGE('',*,*,#17326,.F.); #23353=ORIENTED_EDGE('',*,*,#17327,.T.); #23354=ORIENTED_EDGE('',*,*,#17328,.F.); #23355=ORIENTED_EDGE('',*,*,#17329,.F.); #23356=ORIENTED_EDGE('',*,*,#17330,.F.); #23357=ORIENTED_EDGE('',*,*,#17329,.T.); #23358=ORIENTED_EDGE('',*,*,#17331,.F.); #23359=ORIENTED_EDGE('',*,*,#17332,.F.); #23360=ORIENTED_EDGE('',*,*,#17333,.F.); #23361=ORIENTED_EDGE('',*,*,#17332,.T.); #23362=ORIENTED_EDGE('',*,*,#17334,.F.); #23363=ORIENTED_EDGE('',*,*,#17335,.F.); #23364=ORIENTED_EDGE('',*,*,#17336,.F.); #23365=ORIENTED_EDGE('',*,*,#17335,.T.); #23366=ORIENTED_EDGE('',*,*,#17337,.F.); #23367=ORIENTED_EDGE('',*,*,#17338,.F.); #23368=ORIENTED_EDGE('',*,*,#17339,.F.); #23369=ORIENTED_EDGE('',*,*,#17338,.T.); #23370=ORIENTED_EDGE('',*,*,#17340,.F.); #23371=ORIENTED_EDGE('',*,*,#17341,.F.); #23372=ORIENTED_EDGE('',*,*,#17342,.F.); #23373=ORIENTED_EDGE('',*,*,#17341,.T.); #23374=ORIENTED_EDGE('',*,*,#17343,.F.); #23375=ORIENTED_EDGE('',*,*,#17344,.F.); #23376=ORIENTED_EDGE('',*,*,#17345,.F.); #23377=ORIENTED_EDGE('',*,*,#17344,.T.); #23378=ORIENTED_EDGE('',*,*,#17346,.F.); #23379=ORIENTED_EDGE('',*,*,#17347,.F.); #23380=ORIENTED_EDGE('',*,*,#17348,.F.); #23381=ORIENTED_EDGE('',*,*,#17347,.T.); #23382=ORIENTED_EDGE('',*,*,#17349,.F.); #23383=ORIENTED_EDGE('',*,*,#17327,.F.); #23384=ORIENTED_EDGE('',*,*,#17349,.T.); #23385=ORIENTED_EDGE('',*,*,#17346,.T.); #23386=ORIENTED_EDGE('',*,*,#17343,.T.); #23387=ORIENTED_EDGE('',*,*,#17340,.T.); #23388=ORIENTED_EDGE('',*,*,#17337,.T.); #23389=ORIENTED_EDGE('',*,*,#17334,.T.); #23390=ORIENTED_EDGE('',*,*,#17331,.T.); #23391=ORIENTED_EDGE('',*,*,#17328,.T.); #23392=ORIENTED_EDGE('',*,*,#17285,.T.); #23393=ORIENTED_EDGE('',*,*,#17288,.T.); #23394=ORIENTED_EDGE('',*,*,#17278,.T.); #23395=ORIENTED_EDGE('',*,*,#17282,.T.); #23396=ORIENTED_EDGE('',*,*,#17350,.F.); #23397=ORIENTED_EDGE('',*,*,#17351,.T.); #23398=ORIENTED_EDGE('',*,*,#17352,.F.); #23399=ORIENTED_EDGE('',*,*,#17353,.F.); #23400=ORIENTED_EDGE('',*,*,#17354,.F.); #23401=ORIENTED_EDGE('',*,*,#17353,.T.); #23402=ORIENTED_EDGE('',*,*,#17355,.F.); #23403=ORIENTED_EDGE('',*,*,#17356,.F.); #23404=ORIENTED_EDGE('',*,*,#17357,.F.); #23405=ORIENTED_EDGE('',*,*,#17356,.T.); #23406=ORIENTED_EDGE('',*,*,#17358,.F.); #23407=ORIENTED_EDGE('',*,*,#17359,.F.); #23408=ORIENTED_EDGE('',*,*,#17360,.F.); #23409=ORIENTED_EDGE('',*,*,#17359,.T.); #23410=ORIENTED_EDGE('',*,*,#17361,.F.); #23411=ORIENTED_EDGE('',*,*,#17362,.F.); #23412=ORIENTED_EDGE('',*,*,#17363,.F.); #23413=ORIENTED_EDGE('',*,*,#17362,.T.); #23414=ORIENTED_EDGE('',*,*,#17364,.F.); #23415=ORIENTED_EDGE('',*,*,#17365,.F.); #23416=ORIENTED_EDGE('',*,*,#17366,.F.); #23417=ORIENTED_EDGE('',*,*,#17365,.T.); #23418=ORIENTED_EDGE('',*,*,#17367,.F.); #23419=ORIENTED_EDGE('',*,*,#17368,.F.); #23420=ORIENTED_EDGE('',*,*,#17369,.F.); #23421=ORIENTED_EDGE('',*,*,#17368,.T.); #23422=ORIENTED_EDGE('',*,*,#17370,.F.); #23423=ORIENTED_EDGE('',*,*,#17371,.F.); #23424=ORIENTED_EDGE('',*,*,#17372,.F.); #23425=ORIENTED_EDGE('',*,*,#17371,.T.); #23426=ORIENTED_EDGE('',*,*,#17373,.F.); #23427=ORIENTED_EDGE('',*,*,#17351,.F.); #23428=ORIENTED_EDGE('',*,*,#17373,.T.); #23429=ORIENTED_EDGE('',*,*,#17370,.T.); #23430=ORIENTED_EDGE('',*,*,#17367,.T.); #23431=ORIENTED_EDGE('',*,*,#17364,.T.); #23432=ORIENTED_EDGE('',*,*,#17361,.T.); #23433=ORIENTED_EDGE('',*,*,#17358,.T.); #23434=ORIENTED_EDGE('',*,*,#17355,.T.); #23435=ORIENTED_EDGE('',*,*,#17352,.T.); #23436=ORIENTED_EDGE('',*,*,#17297,.T.); #23437=ORIENTED_EDGE('',*,*,#17300,.T.); #23438=ORIENTED_EDGE('',*,*,#17290,.T.); #23439=ORIENTED_EDGE('',*,*,#17294,.T.); #23440=ORIENTED_EDGE('',*,*,#17374,.F.); #23441=ORIENTED_EDGE('',*,*,#17375,.T.); #23442=ORIENTED_EDGE('',*,*,#17376,.T.); #23443=ORIENTED_EDGE('',*,*,#17377,.F.); #23444=ORIENTED_EDGE('',*,*,#17378,.F.); #23445=ORIENTED_EDGE('',*,*,#17379,.T.); #23446=ORIENTED_EDGE('',*,*,#17380,.T.); #23447=ORIENTED_EDGE('',*,*,#17375,.F.); #23448=ORIENTED_EDGE('',*,*,#17381,.F.); #23449=ORIENTED_EDGE('',*,*,#17382,.T.); #23450=ORIENTED_EDGE('',*,*,#17383,.T.); #23451=ORIENTED_EDGE('',*,*,#17379,.F.); #23452=ORIENTED_EDGE('',*,*,#17384,.F.); #23453=ORIENTED_EDGE('',*,*,#17385,.T.); #23454=ORIENTED_EDGE('',*,*,#17386,.T.); #23455=ORIENTED_EDGE('',*,*,#17382,.F.); #23456=ORIENTED_EDGE('',*,*,#17387,.F.); #23457=ORIENTED_EDGE('',*,*,#17388,.T.); #23458=ORIENTED_EDGE('',*,*,#17389,.T.); #23459=ORIENTED_EDGE('',*,*,#17385,.F.); #23460=ORIENTED_EDGE('',*,*,#17390,.F.); #23461=ORIENTED_EDGE('',*,*,#17391,.T.); #23462=ORIENTED_EDGE('',*,*,#17392,.T.); #23463=ORIENTED_EDGE('',*,*,#17388,.F.); #23464=ORIENTED_EDGE('',*,*,#17393,.F.); #23465=ORIENTED_EDGE('',*,*,#17394,.T.); #23466=ORIENTED_EDGE('',*,*,#17395,.T.); #23467=ORIENTED_EDGE('',*,*,#17391,.F.); #23468=ORIENTED_EDGE('',*,*,#17396,.F.); #23469=ORIENTED_EDGE('',*,*,#17377,.T.); #23470=ORIENTED_EDGE('',*,*,#17397,.T.); #23471=ORIENTED_EDGE('',*,*,#17394,.F.); #23472=ORIENTED_EDGE('',*,*,#17397,.F.); #23473=ORIENTED_EDGE('',*,*,#17376,.F.); #23474=ORIENTED_EDGE('',*,*,#17380,.F.); #23475=ORIENTED_EDGE('',*,*,#17383,.F.); #23476=ORIENTED_EDGE('',*,*,#17386,.F.); #23477=ORIENTED_EDGE('',*,*,#17389,.F.); #23478=ORIENTED_EDGE('',*,*,#17392,.F.); #23479=ORIENTED_EDGE('',*,*,#17395,.F.); #23480=ORIENTED_EDGE('',*,*,#17274,.T.); #23481=ORIENTED_EDGE('',*,*,#17271,.T.); #23482=ORIENTED_EDGE('',*,*,#17268,.T.); #23483=ORIENTED_EDGE('',*,*,#17277,.T.); #23484=ORIENTED_EDGE('',*,*,#17398,.F.); #23485=ORIENTED_EDGE('',*,*,#17399,.T.); #23486=ORIENTED_EDGE('',*,*,#17400,.T.); #23487=ORIENTED_EDGE('',*,*,#17401,.F.); #23488=ORIENTED_EDGE('',*,*,#17402,.F.); #23489=ORIENTED_EDGE('',*,*,#17403,.T.); #23490=ORIENTED_EDGE('',*,*,#17404,.T.); #23491=ORIENTED_EDGE('',*,*,#17399,.F.); #23492=ORIENTED_EDGE('',*,*,#17405,.F.); #23493=ORIENTED_EDGE('',*,*,#17406,.T.); #23494=ORIENTED_EDGE('',*,*,#17407,.T.); #23495=ORIENTED_EDGE('',*,*,#17403,.F.); #23496=ORIENTED_EDGE('',*,*,#17408,.F.); #23497=ORIENTED_EDGE('',*,*,#17409,.T.); #23498=ORIENTED_EDGE('',*,*,#17410,.T.); #23499=ORIENTED_EDGE('',*,*,#17406,.F.); #23500=ORIENTED_EDGE('',*,*,#17411,.F.); #23501=ORIENTED_EDGE('',*,*,#17412,.T.); #23502=ORIENTED_EDGE('',*,*,#17413,.T.); #23503=ORIENTED_EDGE('',*,*,#17409,.F.); #23504=ORIENTED_EDGE('',*,*,#17414,.F.); #23505=ORIENTED_EDGE('',*,*,#17415,.T.); #23506=ORIENTED_EDGE('',*,*,#17416,.T.); #23507=ORIENTED_EDGE('',*,*,#17412,.F.); #23508=ORIENTED_EDGE('',*,*,#17417,.F.); #23509=ORIENTED_EDGE('',*,*,#17418,.T.); #23510=ORIENTED_EDGE('',*,*,#17419,.T.); #23511=ORIENTED_EDGE('',*,*,#17415,.F.); #23512=ORIENTED_EDGE('',*,*,#17420,.F.); #23513=ORIENTED_EDGE('',*,*,#17401,.T.); #23514=ORIENTED_EDGE('',*,*,#17421,.T.); #23515=ORIENTED_EDGE('',*,*,#17418,.F.); #23516=ORIENTED_EDGE('',*,*,#17421,.F.); #23517=ORIENTED_EDGE('',*,*,#17400,.F.); #23518=ORIENTED_EDGE('',*,*,#17404,.F.); #23519=ORIENTED_EDGE('',*,*,#17407,.F.); #23520=ORIENTED_EDGE('',*,*,#17410,.F.); #23521=ORIENTED_EDGE('',*,*,#17413,.F.); #23522=ORIENTED_EDGE('',*,*,#17416,.F.); #23523=ORIENTED_EDGE('',*,*,#17419,.F.); #23524=ORIENTED_EDGE('',*,*,#17286,.T.); #23525=ORIENTED_EDGE('',*,*,#17283,.T.); #23526=ORIENTED_EDGE('',*,*,#17280,.T.); #23527=ORIENTED_EDGE('',*,*,#17289,.T.); #23528=ORIENTED_EDGE('',*,*,#17422,.F.); #23529=ORIENTED_EDGE('',*,*,#17423,.T.); #23530=ORIENTED_EDGE('',*,*,#17424,.T.); #23531=ORIENTED_EDGE('',*,*,#17425,.F.); #23532=ORIENTED_EDGE('',*,*,#17426,.F.); #23533=ORIENTED_EDGE('',*,*,#17427,.T.); #23534=ORIENTED_EDGE('',*,*,#17428,.T.); #23535=ORIENTED_EDGE('',*,*,#17423,.F.); #23536=ORIENTED_EDGE('',*,*,#17429,.F.); #23537=ORIENTED_EDGE('',*,*,#17430,.T.); #23538=ORIENTED_EDGE('',*,*,#17431,.T.); #23539=ORIENTED_EDGE('',*,*,#17427,.F.); #23540=ORIENTED_EDGE('',*,*,#17432,.F.); #23541=ORIENTED_EDGE('',*,*,#17433,.T.); #23542=ORIENTED_EDGE('',*,*,#17434,.T.); #23543=ORIENTED_EDGE('',*,*,#17430,.F.); #23544=ORIENTED_EDGE('',*,*,#17435,.F.); #23545=ORIENTED_EDGE('',*,*,#17436,.T.); #23546=ORIENTED_EDGE('',*,*,#17437,.T.); #23547=ORIENTED_EDGE('',*,*,#17433,.F.); #23548=ORIENTED_EDGE('',*,*,#17438,.F.); #23549=ORIENTED_EDGE('',*,*,#17439,.T.); #23550=ORIENTED_EDGE('',*,*,#17440,.T.); #23551=ORIENTED_EDGE('',*,*,#17436,.F.); #23552=ORIENTED_EDGE('',*,*,#17441,.F.); #23553=ORIENTED_EDGE('',*,*,#17442,.T.); #23554=ORIENTED_EDGE('',*,*,#17443,.T.); #23555=ORIENTED_EDGE('',*,*,#17439,.F.); #23556=ORIENTED_EDGE('',*,*,#17444,.F.); #23557=ORIENTED_EDGE('',*,*,#17425,.T.); #23558=ORIENTED_EDGE('',*,*,#17445,.T.); #23559=ORIENTED_EDGE('',*,*,#17442,.F.); #23560=ORIENTED_EDGE('',*,*,#17445,.F.); #23561=ORIENTED_EDGE('',*,*,#17424,.F.); #23562=ORIENTED_EDGE('',*,*,#17428,.F.); #23563=ORIENTED_EDGE('',*,*,#17431,.F.); #23564=ORIENTED_EDGE('',*,*,#17434,.F.); #23565=ORIENTED_EDGE('',*,*,#17437,.F.); #23566=ORIENTED_EDGE('',*,*,#17440,.F.); #23567=ORIENTED_EDGE('',*,*,#17443,.F.); #23568=ORIENTED_EDGE('',*,*,#17298,.T.); #23569=ORIENTED_EDGE('',*,*,#17295,.T.); #23570=ORIENTED_EDGE('',*,*,#17292,.T.); #23571=ORIENTED_EDGE('',*,*,#17301,.T.); #23572=ORIENTED_EDGE('',*,*,#17446,.T.); #23573=ORIENTED_EDGE('',*,*,#17447,.T.); #23574=ORIENTED_EDGE('',*,*,#17448,.T.); #23575=ORIENTED_EDGE('',*,*,#17449,.T.); #23576=ORIENTED_EDGE('',*,*,#17450,.T.); #23577=ORIENTED_EDGE('',*,*,#17451,.T.); #23578=ORIENTED_EDGE('',*,*,#17452,.T.); #23579=ORIENTED_EDGE('',*,*,#17453,.T.); #23580=ORIENTED_EDGE('',*,*,#17454,.T.); #23581=ORIENTED_EDGE('',*,*,#17455,.T.); #23582=ORIENTED_EDGE('',*,*,#17456,.T.); #23583=ORIENTED_EDGE('',*,*,#17457,.T.); #23584=ORIENTED_EDGE('',*,*,#17302,.T.); #23585=ORIENTED_EDGE('',*,*,#17306,.T.); #23586=ORIENTED_EDGE('',*,*,#17309,.T.); #23587=ORIENTED_EDGE('',*,*,#17312,.T.); #23588=ORIENTED_EDGE('',*,*,#17315,.T.); #23589=ORIENTED_EDGE('',*,*,#17318,.T.); #23590=ORIENTED_EDGE('',*,*,#17321,.T.); #23591=ORIENTED_EDGE('',*,*,#17324,.T.); #23592=ORIENTED_EDGE('',*,*,#17326,.T.); #23593=ORIENTED_EDGE('',*,*,#17330,.T.); #23594=ORIENTED_EDGE('',*,*,#17333,.T.); #23595=ORIENTED_EDGE('',*,*,#17336,.T.); #23596=ORIENTED_EDGE('',*,*,#17339,.T.); #23597=ORIENTED_EDGE('',*,*,#17342,.T.); #23598=ORIENTED_EDGE('',*,*,#17345,.T.); #23599=ORIENTED_EDGE('',*,*,#17348,.T.); #23600=ORIENTED_EDGE('',*,*,#17350,.T.); #23601=ORIENTED_EDGE('',*,*,#17354,.T.); #23602=ORIENTED_EDGE('',*,*,#17357,.T.); #23603=ORIENTED_EDGE('',*,*,#17360,.T.); #23604=ORIENTED_EDGE('',*,*,#17363,.T.); #23605=ORIENTED_EDGE('',*,*,#17366,.T.); #23606=ORIENTED_EDGE('',*,*,#17369,.T.); #23607=ORIENTED_EDGE('',*,*,#17372,.T.); #23608=ORIENTED_EDGE('',*,*,#17458,.F.); #23609=ORIENTED_EDGE('',*,*,#17459,.F.); #23610=ORIENTED_EDGE('',*,*,#17460,.T.); #23611=ORIENTED_EDGE('',*,*,#17447,.F.); #23612=ORIENTED_EDGE('',*,*,#17460,.F.); #23613=ORIENTED_EDGE('',*,*,#17461,.F.); #23614=ORIENTED_EDGE('',*,*,#17462,.T.); #23615=ORIENTED_EDGE('',*,*,#17448,.F.); #23616=ORIENTED_EDGE('',*,*,#17462,.F.); #23617=ORIENTED_EDGE('',*,*,#17463,.F.); #23618=ORIENTED_EDGE('',*,*,#17464,.T.); #23619=ORIENTED_EDGE('',*,*,#17449,.F.); #23620=ORIENTED_EDGE('',*,*,#17464,.F.); #23621=ORIENTED_EDGE('',*,*,#17465,.F.); #23622=ORIENTED_EDGE('',*,*,#17466,.T.); #23623=ORIENTED_EDGE('',*,*,#17450,.F.); #23624=ORIENTED_EDGE('',*,*,#17466,.F.); #23625=ORIENTED_EDGE('',*,*,#17467,.F.); #23626=ORIENTED_EDGE('',*,*,#17468,.T.); #23627=ORIENTED_EDGE('',*,*,#17451,.F.); #23628=ORIENTED_EDGE('',*,*,#17468,.F.); #23629=ORIENTED_EDGE('',*,*,#17469,.F.); #23630=ORIENTED_EDGE('',*,*,#17470,.T.); #23631=ORIENTED_EDGE('',*,*,#17452,.F.); #23632=ORIENTED_EDGE('',*,*,#17470,.F.); #23633=ORIENTED_EDGE('',*,*,#17471,.F.); #23634=ORIENTED_EDGE('',*,*,#17472,.T.); #23635=ORIENTED_EDGE('',*,*,#17453,.F.); #23636=ORIENTED_EDGE('',*,*,#17472,.F.); #23637=ORIENTED_EDGE('',*,*,#17473,.F.); #23638=ORIENTED_EDGE('',*,*,#17474,.T.); #23639=ORIENTED_EDGE('',*,*,#17454,.F.); #23640=ORIENTED_EDGE('',*,*,#17474,.F.); #23641=ORIENTED_EDGE('',*,*,#17475,.F.); #23642=ORIENTED_EDGE('',*,*,#17476,.T.); #23643=ORIENTED_EDGE('',*,*,#17455,.F.); #23644=ORIENTED_EDGE('',*,*,#17476,.F.); #23645=ORIENTED_EDGE('',*,*,#17477,.F.); #23646=ORIENTED_EDGE('',*,*,#17478,.T.); #23647=ORIENTED_EDGE('',*,*,#17456,.F.); #23648=ORIENTED_EDGE('',*,*,#17478,.F.); #23649=ORIENTED_EDGE('',*,*,#17479,.F.); #23650=ORIENTED_EDGE('',*,*,#17480,.F.); #23651=ORIENTED_EDGE('',*,*,#17457,.F.); #23652=ORIENTED_EDGE('',*,*,#17480,.T.); #23653=ORIENTED_EDGE('',*,*,#17481,.F.); #23654=ORIENTED_EDGE('',*,*,#17458,.T.); #23655=ORIENTED_EDGE('',*,*,#17446,.F.); #23656=ORIENTED_EDGE('',*,*,#17481,.T.); #23657=ORIENTED_EDGE('',*,*,#17479,.T.); #23658=ORIENTED_EDGE('',*,*,#17477,.T.); #23659=ORIENTED_EDGE('',*,*,#17475,.T.); #23660=ORIENTED_EDGE('',*,*,#17473,.T.); #23661=ORIENTED_EDGE('',*,*,#17471,.T.); #23662=ORIENTED_EDGE('',*,*,#17469,.T.); #23663=ORIENTED_EDGE('',*,*,#17467,.T.); #23664=ORIENTED_EDGE('',*,*,#17465,.T.); #23665=ORIENTED_EDGE('',*,*,#17463,.T.); #23666=ORIENTED_EDGE('',*,*,#17461,.T.); #23667=ORIENTED_EDGE('',*,*,#17459,.T.); #23668=ORIENTED_EDGE('',*,*,#17374,.T.); #23669=ORIENTED_EDGE('',*,*,#17396,.T.); #23670=ORIENTED_EDGE('',*,*,#17393,.T.); #23671=ORIENTED_EDGE('',*,*,#17390,.T.); #23672=ORIENTED_EDGE('',*,*,#17387,.T.); #23673=ORIENTED_EDGE('',*,*,#17384,.T.); #23674=ORIENTED_EDGE('',*,*,#17381,.T.); #23675=ORIENTED_EDGE('',*,*,#17378,.T.); #23676=ORIENTED_EDGE('',*,*,#17398,.T.); #23677=ORIENTED_EDGE('',*,*,#17420,.T.); #23678=ORIENTED_EDGE('',*,*,#17417,.T.); #23679=ORIENTED_EDGE('',*,*,#17414,.T.); #23680=ORIENTED_EDGE('',*,*,#17411,.T.); #23681=ORIENTED_EDGE('',*,*,#17408,.T.); #23682=ORIENTED_EDGE('',*,*,#17405,.T.); #23683=ORIENTED_EDGE('',*,*,#17402,.T.); #23684=ORIENTED_EDGE('',*,*,#17422,.T.); #23685=ORIENTED_EDGE('',*,*,#17444,.T.); #23686=ORIENTED_EDGE('',*,*,#17441,.T.); #23687=ORIENTED_EDGE('',*,*,#17438,.T.); #23688=ORIENTED_EDGE('',*,*,#17435,.T.); #23689=ORIENTED_EDGE('',*,*,#17432,.T.); #23690=ORIENTED_EDGE('',*,*,#17429,.T.); #23691=ORIENTED_EDGE('',*,*,#17426,.T.); #23692=ORIENTED_EDGE('',*,*,#17482,.T.); #23693=ORIENTED_EDGE('',*,*,#17483,.F.); #23694=ORIENTED_EDGE('',*,*,#17484,.T.); #23695=ORIENTED_EDGE('',*,*,#17485,.F.); #23696=ORIENTED_EDGE('',*,*,#17486,.F.); #23697=ORIENTED_EDGE('',*,*,#17487,.F.); #23698=ORIENTED_EDGE('',*,*,#17484,.F.); #23699=ORIENTED_EDGE('',*,*,#17488,.F.); #23700=ORIENTED_EDGE('',*,*,#17486,.T.); #23701=ORIENTED_EDGE('',*,*,#17489,.F.); #23702=ORIENTED_EDGE('',*,*,#17490,.T.); #23703=ORIENTED_EDGE('',*,*,#17491,.F.); #23704=ORIENTED_EDGE('',*,*,#17490,.F.); #23705=ORIENTED_EDGE('',*,*,#17492,.F.); #23706=ORIENTED_EDGE('',*,*,#17493,.F.); #23707=ORIENTED_EDGE('',*,*,#17494,.F.); #23708=ORIENTED_EDGE('',*,*,#17495,.T.); #23709=ORIENTED_EDGE('',*,*,#17496,.F.); #23710=ORIENTED_EDGE('',*,*,#17493,.T.); #23711=ORIENTED_EDGE('',*,*,#17497,.F.); #23712=ORIENTED_EDGE('',*,*,#17498,.F.); #23713=ORIENTED_EDGE('',*,*,#17499,.F.); #23714=ORIENTED_EDGE('',*,*,#17495,.F.); #23715=ORIENTED_EDGE('',*,*,#17500,.F.); #23716=ORIENTED_EDGE('',*,*,#17501,.T.); #23717=ORIENTED_EDGE('',*,*,#17502,.F.); #23718=ORIENTED_EDGE('',*,*,#17503,.F.); #23719=ORIENTED_EDGE('',*,*,#17498,.T.); #23720=ORIENTED_EDGE('',*,*,#17504,.F.); #23721=ORIENTED_EDGE('',*,*,#17501,.F.); #23722=ORIENTED_EDGE('',*,*,#17505,.F.); #23723=ORIENTED_EDGE('',*,*,#17482,.F.); #23724=ORIENTED_EDGE('',*,*,#17506,.F.); #23725=ORIENTED_EDGE('',*,*,#17507,.F.); #23726=ORIENTED_EDGE('',*,*,#17508,.T.); #23727=ORIENTED_EDGE('',*,*,#17509,.F.); #23728=ORIENTED_EDGE('',*,*,#17508,.F.); #23729=ORIENTED_EDGE('',*,*,#17505,.T.); #23730=ORIENTED_EDGE('',*,*,#17504,.T.); #23731=ORIENTED_EDGE('',*,*,#17500,.T.); #23732=ORIENTED_EDGE('',*,*,#17497,.T.); #23733=ORIENTED_EDGE('',*,*,#17492,.T.); #23734=ORIENTED_EDGE('',*,*,#17489,.T.); #23735=ORIENTED_EDGE('',*,*,#17488,.T.); #23736=ORIENTED_EDGE('',*,*,#17483,.T.); #23737=ORIENTED_EDGE('',*,*,#17509,.T.); #23738=ORIENTED_EDGE('',*,*,#17510,.T.); #23739=ORIENTED_EDGE('',*,*,#17511,.T.); #23740=ORIENTED_EDGE('',*,*,#17502,.T.); #23741=ORIENTED_EDGE('',*,*,#17506,.T.); #23742=ORIENTED_EDGE('',*,*,#17485,.T.); #23743=ORIENTED_EDGE('',*,*,#17487,.T.); #23744=ORIENTED_EDGE('',*,*,#17491,.T.); #23745=ORIENTED_EDGE('',*,*,#17494,.T.); #23746=ORIENTED_EDGE('',*,*,#17496,.T.); #23747=ORIENTED_EDGE('',*,*,#17499,.T.); #23748=ORIENTED_EDGE('',*,*,#17503,.T.); #23749=ORIENTED_EDGE('',*,*,#17511,.F.); #23750=ORIENTED_EDGE('',*,*,#17510,.F.); #23751=ORIENTED_EDGE('',*,*,#17507,.T.); #23752=ORIENTED_EDGE('',*,*,#17512,.F.); #23753=ORIENTED_EDGE('',*,*,#17513,.T.); #23754=ORIENTED_EDGE('',*,*,#17514,.F.); #23755=ORIENTED_EDGE('',*,*,#17513,.F.); #23756=ORIENTED_EDGE('',*,*,#17515,.T.); #23757=ORIENTED_EDGE('',*,*,#17516,.T.); #23758=ORIENTED_EDGE('',*,*,#17517,.F.); #23759=ORIENTED_EDGE('',*,*,#17516,.F.); #23760=ORIENTED_EDGE('',*,*,#17515,.F.); #23761=ORIENTED_EDGE('',*,*,#17514,.T.); #23762=ORIENTED_EDGE('',*,*,#17517,.T.); #23763=ORIENTED_EDGE('',*,*,#17512,.T.); #23764=ORIENTED_EDGE('',*,*,#17518,.T.); #23765=ORIENTED_EDGE('',*,*,#17519,.T.); #23766=ORIENTED_EDGE('',*,*,#17520,.T.); #23767=ORIENTED_EDGE('',*,*,#17521,.T.); #23768=ORIENTED_EDGE('',*,*,#17519,.F.); #23769=ORIENTED_EDGE('',*,*,#17522,.F.); #23770=ORIENTED_EDGE('',*,*,#17523,.F.); #23771=ORIENTED_EDGE('',*,*,#17524,.T.); #23772=ORIENTED_EDGE('',*,*,#17520,.F.); #23773=ORIENTED_EDGE('',*,*,#17521,.F.); #23774=ORIENTED_EDGE('',*,*,#17524,.F.); #23775=ORIENTED_EDGE('',*,*,#17525,.T.); #23776=ORIENTED_EDGE('',*,*,#17518,.F.); #23777=ORIENTED_EDGE('',*,*,#17526,.T.); #23778=ORIENTED_EDGE('',*,*,#17527,.T.); #23779=ORIENTED_EDGE('',*,*,#17522,.T.); #23780=ORIENTED_EDGE('',*,*,#17523,.T.); #23781=ORIENTED_EDGE('',*,*,#17527,.F.); #23782=ORIENTED_EDGE('',*,*,#17526,.F.); #23783=ORIENTED_EDGE('',*,*,#17528,.T.); #23784=ORIENTED_EDGE('',*,*,#17529,.T.); #23785=ORIENTED_EDGE('',*,*,#17530,.T.); #23786=ORIENTED_EDGE('',*,*,#17531,.T.); #23787=ORIENTED_EDGE('',*,*,#17530,.F.); #23788=ORIENTED_EDGE('',*,*,#17531,.F.); #23789=ORIENTED_EDGE('',*,*,#17532,.T.); #23790=ORIENTED_EDGE('',*,*,#17533,.T.); #23791=ORIENTED_EDGE('',*,*,#17534,.T.); #23792=ORIENTED_EDGE('',*,*,#17535,.T.); #23793=ORIENTED_EDGE('',*,*,#17536,.T.); #23794=ORIENTED_EDGE('',*,*,#17537,.T.); #23795=ORIENTED_EDGE('',*,*,#17525,.F.); #23796=ORIENTED_EDGE('',*,*,#17538,.T.); #23797=ORIENTED_EDGE('',*,*,#17528,.F.); #23798=ORIENTED_EDGE('',*,*,#17529,.F.); #23799=ORIENTED_EDGE('',*,*,#17538,.F.); #23800=ORIENTED_EDGE('',*,*,#17539,.F.); #23801=ORIENTED_EDGE('',*,*,#17540,.T.); #23802=ORIENTED_EDGE('',*,*,#17536,.F.); #23803=ORIENTED_EDGE('',*,*,#17541,.F.); #23804=ORIENTED_EDGE('',*,*,#17542,.F.); #23805=ORIENTED_EDGE('',*,*,#17543,.T.); #23806=ORIENTED_EDGE('',*,*,#17537,.F.); #23807=ORIENTED_EDGE('',*,*,#17540,.F.); #23808=ORIENTED_EDGE('',*,*,#17544,.F.); #23809=ORIENTED_EDGE('',*,*,#17545,.T.); #23810=ORIENTED_EDGE('',*,*,#17532,.F.); #23811=ORIENTED_EDGE('',*,*,#17543,.F.); #23812=ORIENTED_EDGE('',*,*,#17546,.F.); #23813=ORIENTED_EDGE('',*,*,#17547,.F.); #23814=ORIENTED_EDGE('',*,*,#17533,.F.); #23815=ORIENTED_EDGE('',*,*,#17545,.F.); #23816=ORIENTED_EDGE('',*,*,#17548,.F.); #23817=ORIENTED_EDGE('',*,*,#17541,.T.); #23818=ORIENTED_EDGE('',*,*,#17535,.F.); #23819=ORIENTED_EDGE('',*,*,#17549,.F.); #23820=ORIENTED_EDGE('',*,*,#17550,.F.); #23821=ORIENTED_EDGE('',*,*,#17551,.F.); #23822=ORIENTED_EDGE('',*,*,#17549,.T.); #23823=ORIENTED_EDGE('',*,*,#17534,.F.); #23824=ORIENTED_EDGE('',*,*,#17547,.T.); #23825=ORIENTED_EDGE('',*,*,#17552,.T.); #23826=ORIENTED_EDGE('',*,*,#17550,.T.); #23827=ORIENTED_EDGE('',*,*,#17551,.T.); #23828=ORIENTED_EDGE('',*,*,#17546,.T.); #23829=ORIENTED_EDGE('',*,*,#17544,.T.); #23830=ORIENTED_EDGE('',*,*,#17542,.T.); #23831=ORIENTED_EDGE('',*,*,#17539,.T.); #23832=ORIENTED_EDGE('',*,*,#17548,.T.); #23833=ORIENTED_EDGE('',*,*,#17552,.F.); #23834=ORIENTED_EDGE('',*,*,#17553,.F.); #23835=ORIENTED_EDGE('',*,*,#17554,.T.); #23836=ORIENTED_EDGE('',*,*,#17555,.T.); #23837=ORIENTED_EDGE('',*,*,#17556,.F.); #23838=ORIENTED_EDGE('',*,*,#17557,.F.); #23839=ORIENTED_EDGE('',*,*,#17558,.T.); #23840=ORIENTED_EDGE('',*,*,#17559,.T.); #23841=ORIENTED_EDGE('',*,*,#17554,.F.); #23842=ORIENTED_EDGE('',*,*,#17560,.F.); #23843=ORIENTED_EDGE('',*,*,#17561,.T.); #23844=ORIENTED_EDGE('',*,*,#17562,.T.); #23845=ORIENTED_EDGE('',*,*,#17558,.F.); #23846=ORIENTED_EDGE('',*,*,#17563,.F.); #23847=ORIENTED_EDGE('',*,*,#17564,.T.); #23848=ORIENTED_EDGE('',*,*,#17565,.T.); #23849=ORIENTED_EDGE('',*,*,#17561,.F.); #23850=ORIENTED_EDGE('',*,*,#17566,.F.); #23851=ORIENTED_EDGE('',*,*,#17567,.T.); #23852=ORIENTED_EDGE('',*,*,#17568,.T.); #23853=ORIENTED_EDGE('',*,*,#17564,.F.); #23854=ORIENTED_EDGE('',*,*,#17569,.F.); #23855=ORIENTED_EDGE('',*,*,#17556,.T.); #23856=ORIENTED_EDGE('',*,*,#17570,.T.); #23857=ORIENTED_EDGE('',*,*,#17567,.F.); #23858=ORIENTED_EDGE('',*,*,#17570,.F.); #23859=ORIENTED_EDGE('',*,*,#17555,.F.); #23860=ORIENTED_EDGE('',*,*,#17559,.F.); #23861=ORIENTED_EDGE('',*,*,#17562,.F.); #23862=ORIENTED_EDGE('',*,*,#17565,.F.); #23863=ORIENTED_EDGE('',*,*,#17568,.F.); #23864=ORIENTED_EDGE('',*,*,#17571,.T.); #23865=ORIENTED_EDGE('',*,*,#17572,.T.); #23866=ORIENTED_EDGE('',*,*,#17573,.F.); #23867=ORIENTED_EDGE('',*,*,#17574,.F.); #23868=ORIENTED_EDGE('',*,*,#17572,.F.); #23869=ORIENTED_EDGE('',*,*,#17575,.F.); #23870=ORIENTED_EDGE('',*,*,#17576,.T.); #23871=ORIENTED_EDGE('',*,*,#17573,.T.); #23872=ORIENTED_EDGE('',*,*,#17574,.T.); #23873=ORIENTED_EDGE('',*,*,#17576,.F.); #23874=ORIENTED_EDGE('',*,*,#17577,.F.); #23875=ORIENTED_EDGE('',*,*,#17575,.T.); #23876=ORIENTED_EDGE('',*,*,#17578,.T.); #23877=ORIENTED_EDGE('',*,*,#17579,.F.); #23878=ORIENTED_EDGE('',*,*,#17580,.F.); #23879=ORIENTED_EDGE('',*,*,#17578,.F.); #23880=ORIENTED_EDGE('',*,*,#17577,.T.); #23881=ORIENTED_EDGE('',*,*,#17581,.F.); #23882=ORIENTED_EDGE('',*,*,#17582,.T.); #23883=ORIENTED_EDGE('',*,*,#17579,.T.); #23884=ORIENTED_EDGE('',*,*,#17580,.T.); #23885=ORIENTED_EDGE('',*,*,#17582,.F.); #23886=ORIENTED_EDGE('',*,*,#17583,.F.); #23887=ORIENTED_EDGE('',*,*,#17584,.T.); #23888=ORIENTED_EDGE('',*,*,#17581,.T.); #23889=ORIENTED_EDGE('',*,*,#17584,.F.); #23890=ORIENTED_EDGE('',*,*,#17585,.F.); #23891=ORIENTED_EDGE('',*,*,#17586,.T.); #23892=ORIENTED_EDGE('',*,*,#17583,.T.); #23893=ORIENTED_EDGE('',*,*,#17586,.F.); #23894=ORIENTED_EDGE('',*,*,#17585,.T.); #23895=ORIENTED_EDGE('',*,*,#17587,.F.); #23896=ORIENTED_EDGE('',*,*,#17588,.F.); #23897=ORIENTED_EDGE('',*,*,#17589,.T.); #23898=ORIENTED_EDGE('',*,*,#17590,.T.); #23899=ORIENTED_EDGE('',*,*,#17589,.F.); #23900=ORIENTED_EDGE('',*,*,#17591,.F.); #23901=ORIENTED_EDGE('',*,*,#17592,.T.); #23902=ORIENTED_EDGE('',*,*,#17587,.T.); #23903=ORIENTED_EDGE('',*,*,#17588,.T.); #23904=ORIENTED_EDGE('',*,*,#17592,.F.); #23905=ORIENTED_EDGE('',*,*,#17591,.T.); #23906=ORIENTED_EDGE('',*,*,#17593,.F.); #23907=ORIENTED_EDGE('',*,*,#17593,.T.); #23908=ORIENTED_EDGE('',*,*,#17594,.T.); #23909=ORIENTED_EDGE('',*,*,#17595,.F.); #23910=ORIENTED_EDGE('',*,*,#17596,.F.); #23911=ORIENTED_EDGE('',*,*,#17594,.F.); #23912=ORIENTED_EDGE('',*,*,#17597,.F.); #23913=ORIENTED_EDGE('',*,*,#17598,.T.); #23914=ORIENTED_EDGE('',*,*,#17595,.T.); #23915=ORIENTED_EDGE('',*,*,#17596,.T.); #23916=ORIENTED_EDGE('',*,*,#17598,.F.); #23917=ORIENTED_EDGE('',*,*,#17599,.F.); #23918=ORIENTED_EDGE('',*,*,#17597,.T.); #23919=ORIENTED_EDGE('',*,*,#17600,.T.); #23920=ORIENTED_EDGE('',*,*,#17601,.F.); #23921=ORIENTED_EDGE('',*,*,#17602,.F.); #23922=ORIENTED_EDGE('',*,*,#17600,.F.); #23923=ORIENTED_EDGE('',*,*,#17599,.T.); #23924=ORIENTED_EDGE('',*,*,#17603,.F.); #23925=ORIENTED_EDGE('',*,*,#17604,.T.); #23926=ORIENTED_EDGE('',*,*,#17601,.T.); #23927=ORIENTED_EDGE('',*,*,#17602,.T.); #23928=ORIENTED_EDGE('',*,*,#17604,.F.); #23929=ORIENTED_EDGE('',*,*,#17605,.F.); #23930=ORIENTED_EDGE('',*,*,#17606,.T.); #23931=ORIENTED_EDGE('',*,*,#17603,.T.); #23932=ORIENTED_EDGE('',*,*,#17606,.F.); #23933=ORIENTED_EDGE('',*,*,#17605,.T.); #23934=ORIENTED_EDGE('',*,*,#17571,.F.); #23935=ORIENTED_EDGE('',*,*,#17590,.F.); #23936=ORIENTED_EDGE('',*,*,#17553,.T.); #23937=ORIENTED_EDGE('',*,*,#17569,.T.); #23938=ORIENTED_EDGE('',*,*,#17566,.T.); #23939=ORIENTED_EDGE('',*,*,#17563,.T.); #23940=ORIENTED_EDGE('',*,*,#17560,.T.); #23941=ORIENTED_EDGE('',*,*,#17557,.T.); #23942=ORIENTED_EDGE('',*,*,#17607,.F.); #23943=ORIENTED_EDGE('',*,*,#17608,.T.); #23944=ORIENTED_EDGE('',*,*,#17609,.T.); #23945=ORIENTED_EDGE('',*,*,#17610,.T.); #23946=ORIENTED_EDGE('',*,*,#17611,.F.); #23947=ORIENTED_EDGE('',*,*,#17612,.F.); #23948=ORIENTED_EDGE('',*,*,#17613,.T.); #23949=ORIENTED_EDGE('',*,*,#17608,.F.); #23950=ORIENTED_EDGE('',*,*,#17614,.F.); #23951=ORIENTED_EDGE('',*,*,#17615,.F.); #23952=ORIENTED_EDGE('',*,*,#17616,.T.); #23953=ORIENTED_EDGE('',*,*,#17612,.T.); #23954=ORIENTED_EDGE('',*,*,#17617,.F.); #23955=ORIENTED_EDGE('',*,*,#17610,.F.); #23956=ORIENTED_EDGE('',*,*,#17618,.T.); #23957=ORIENTED_EDGE('',*,*,#17615,.T.); #23958=ORIENTED_EDGE('',*,*,#17613,.F.); #23959=ORIENTED_EDGE('',*,*,#17616,.F.); #23960=ORIENTED_EDGE('',*,*,#17618,.F.); #23961=ORIENTED_EDGE('',*,*,#17609,.F.); #23962=ORIENTED_EDGE('',*,*,#17611,.T.); #23963=ORIENTED_EDGE('',*,*,#17607,.T.); #23964=ORIENTED_EDGE('',*,*,#17617,.T.); #23965=ORIENTED_EDGE('',*,*,#17614,.T.); #23966=ORIENTED_EDGE('',*,*,#17619,.F.); #23967=ORIENTED_EDGE('',*,*,#17620,.F.); #23968=ORIENTED_EDGE('',*,*,#17621,.F.); #23969=ORIENTED_EDGE('',*,*,#17622,.F.); #23970=ORIENTED_EDGE('',*,*,#17623,.F.); #23971=ORIENTED_EDGE('',*,*,#17624,.F.); #23972=ORIENTED_EDGE('',*,*,#17625,.F.); #23973=ORIENTED_EDGE('',*,*,#17623,.T.); #23974=ORIENTED_EDGE('',*,*,#17624,.T.); #23975=ORIENTED_EDGE('',*,*,#17625,.T.); #23976=ORIENTED_EDGE('',*,*,#17622,.T.); #23977=ORIENTED_EDGE('',*,*,#17626,.F.); #23978=ORIENTED_EDGE('',*,*,#17627,.T.); #23979=ORIENTED_EDGE('',*,*,#17628,.T.); #23980=ORIENTED_EDGE('',*,*,#17629,.T.); #23981=ORIENTED_EDGE('',*,*,#17630,.F.); #23982=ORIENTED_EDGE('',*,*,#17629,.F.); #23983=ORIENTED_EDGE('',*,*,#17631,.T.); #23984=ORIENTED_EDGE('',*,*,#17632,.T.); #23985=ORIENTED_EDGE('',*,*,#17633,.F.); #23986=ORIENTED_EDGE('',*,*,#17621,.T.); #23987=ORIENTED_EDGE('',*,*,#17634,.T.); #23988=ORIENTED_EDGE('',*,*,#17635,.T.); #23989=ORIENTED_EDGE('',*,*,#17626,.T.); #23990=ORIENTED_EDGE('',*,*,#17619,.T.); #23991=ORIENTED_EDGE('',*,*,#17633,.T.); #23992=ORIENTED_EDGE('',*,*,#17636,.T.); #23993=ORIENTED_EDGE('',*,*,#17637,.T.); #23994=ORIENTED_EDGE('',*,*,#17636,.F.); #23995=ORIENTED_EDGE('',*,*,#17632,.F.); #23996=ORIENTED_EDGE('',*,*,#17638,.T.); #23997=ORIENTED_EDGE('',*,*,#17627,.F.); #23998=ORIENTED_EDGE('',*,*,#17635,.F.); #23999=ORIENTED_EDGE('',*,*,#17639,.F.); #24000=ORIENTED_EDGE('',*,*,#17628,.F.); #24001=ORIENTED_EDGE('',*,*,#17638,.F.); #24002=ORIENTED_EDGE('',*,*,#17631,.F.); #24003=ORIENTED_EDGE('',*,*,#17620,.T.); #24004=ORIENTED_EDGE('',*,*,#17637,.F.); #24005=ORIENTED_EDGE('',*,*,#17639,.T.); #24006=ORIENTED_EDGE('',*,*,#17634,.F.); #24007=ORIENTED_EDGE('',*,*,#17640,.F.); #24008=ORIENTED_EDGE('',*,*,#17630,.T.); #24009=ORIENTED_EDGE('',*,*,#17641,.T.); #24010=ORIENTED_EDGE('',*,*,#17642,.T.); #24011=ORIENTED_EDGE('',*,*,#17641,.F.); #24012=ORIENTED_EDGE('',*,*,#17642,.F.); #24013=ORIENTED_EDGE('',*,*,#17643,.T.); #24014=ORIENTED_EDGE('',*,*,#17644,.T.); #24015=ORIENTED_EDGE('',*,*,#17645,.T.); #24016=ORIENTED_EDGE('',*,*,#17645,.F.); #24017=ORIENTED_EDGE('',*,*,#17643,.F.); #24018=ORIENTED_EDGE('',*,*,#17646,.T.); #24019=ORIENTED_EDGE('',*,*,#17644,.F.); #24020=ORIENTED_EDGE('',*,*,#17646,.F.); #24021=ORIENTED_EDGE('',*,*,#17647,.T.); #24022=ORIENTED_EDGE('',*,*,#17648,.T.); #24023=ORIENTED_EDGE('',*,*,#17649,.T.); #24024=ORIENTED_EDGE('',*,*,#17648,.F.); #24025=ORIENTED_EDGE('',*,*,#17640,.T.); #24026=ORIENTED_EDGE('',*,*,#17650,.T.); #24027=ORIENTED_EDGE('',*,*,#17649,.F.); #24028=ORIENTED_EDGE('',*,*,#17650,.F.); #24029=ORIENTED_EDGE('',*,*,#17647,.F.); #24030=ORIENTED_EDGE('',*,*,#17651,.F.); #24031=ORIENTED_EDGE('',*,*,#17652,.T.); #24032=ORIENTED_EDGE('',*,*,#17653,.F.); #24033=ORIENTED_EDGE('',*,*,#17652,.F.); #24034=ORIENTED_EDGE('',*,*,#17654,.F.); #24035=ORIENTED_EDGE('',*,*,#17655,.T.); #24036=ORIENTED_EDGE('',*,*,#17656,.F.); #24037=ORIENTED_EDGE('',*,*,#17655,.F.); #24038=ORIENTED_EDGE('',*,*,#17657,.F.); #24039=ORIENTED_EDGE('',*,*,#17658,.T.); #24040=ORIENTED_EDGE('',*,*,#17659,.F.); #24041=ORIENTED_EDGE('',*,*,#17658,.F.); #24042=ORIENTED_EDGE('',*,*,#17660,.F.); #24043=ORIENTED_EDGE('',*,*,#17661,.T.); #24044=ORIENTED_EDGE('',*,*,#17662,.F.); #24045=ORIENTED_EDGE('',*,*,#17661,.F.); #24046=ORIENTED_EDGE('',*,*,#17663,.T.); #24047=ORIENTED_EDGE('',*,*,#17664,.T.); #24048=ORIENTED_EDGE('',*,*,#17665,.T.); #24049=ORIENTED_EDGE('',*,*,#17666,.T.); #24050=ORIENTED_EDGE('',*,*,#17667,.T.); #24051=ORIENTED_EDGE('',*,*,#17668,.T.); #24052=ORIENTED_EDGE('',*,*,#17669,.T.); #24053=ORIENTED_EDGE('',*,*,#17670,.T.); #24054=ORIENTED_EDGE('',*,*,#17671,.T.); #24055=ORIENTED_EDGE('',*,*,#17672,.T.); #24056=ORIENTED_EDGE('',*,*,#17673,.T.); #24057=ORIENTED_EDGE('',*,*,#17674,.T.); #24058=ORIENTED_EDGE('',*,*,#17675,.T.); #24059=ORIENTED_EDGE('',*,*,#17653,.T.); #24060=ORIENTED_EDGE('',*,*,#17656,.T.); #24061=ORIENTED_EDGE('',*,*,#17659,.T.); #24062=ORIENTED_EDGE('',*,*,#17662,.T.); #24063=ORIENTED_EDGE('',*,*,#17676,.F.); #24064=ORIENTED_EDGE('',*,*,#17677,.F.); #24065=ORIENTED_EDGE('',*,*,#17678,.F.); #24066=ORIENTED_EDGE('',*,*,#17664,.F.); #24067=ORIENTED_EDGE('',*,*,#17678,.T.); #24068=ORIENTED_EDGE('',*,*,#17679,.F.); #24069=ORIENTED_EDGE('',*,*,#17680,.F.); #24070=ORIENTED_EDGE('',*,*,#17665,.F.); #24071=ORIENTED_EDGE('',*,*,#17680,.T.); #24072=ORIENTED_EDGE('',*,*,#17681,.F.); #24073=ORIENTED_EDGE('',*,*,#17682,.F.); #24074=ORIENTED_EDGE('',*,*,#17666,.F.); #24075=ORIENTED_EDGE('',*,*,#17682,.T.); #24076=ORIENTED_EDGE('',*,*,#17683,.F.); #24077=ORIENTED_EDGE('',*,*,#17684,.F.); #24078=ORIENTED_EDGE('',*,*,#17667,.F.); #24079=ORIENTED_EDGE('',*,*,#17684,.T.); #24080=ORIENTED_EDGE('',*,*,#17685,.F.); #24081=ORIENTED_EDGE('',*,*,#17686,.F.); #24082=ORIENTED_EDGE('',*,*,#17668,.F.); #24083=ORIENTED_EDGE('',*,*,#17686,.T.); #24084=ORIENTED_EDGE('',*,*,#17687,.F.); #24085=ORIENTED_EDGE('',*,*,#17688,.F.); #24086=ORIENTED_EDGE('',*,*,#17669,.F.); #24087=ORIENTED_EDGE('',*,*,#17688,.T.); #24088=ORIENTED_EDGE('',*,*,#17689,.F.); #24089=ORIENTED_EDGE('',*,*,#17690,.F.); #24090=ORIENTED_EDGE('',*,*,#17670,.F.); #24091=ORIENTED_EDGE('',*,*,#17690,.T.); #24092=ORIENTED_EDGE('',*,*,#17691,.F.); #24093=ORIENTED_EDGE('',*,*,#17692,.F.); #24094=ORIENTED_EDGE('',*,*,#17671,.F.); #24095=ORIENTED_EDGE('',*,*,#17692,.T.); #24096=ORIENTED_EDGE('',*,*,#17693,.F.); #24097=ORIENTED_EDGE('',*,*,#17694,.F.); #24098=ORIENTED_EDGE('',*,*,#17672,.F.); #24099=ORIENTED_EDGE('',*,*,#17694,.T.); #24100=ORIENTED_EDGE('',*,*,#17695,.F.); #24101=ORIENTED_EDGE('',*,*,#17696,.F.); #24102=ORIENTED_EDGE('',*,*,#17673,.F.); #24103=ORIENTED_EDGE('',*,*,#17696,.T.); #24104=ORIENTED_EDGE('',*,*,#17697,.F.); #24105=ORIENTED_EDGE('',*,*,#17698,.F.); #24106=ORIENTED_EDGE('',*,*,#17674,.F.); #24107=ORIENTED_EDGE('',*,*,#17698,.T.); #24108=ORIENTED_EDGE('',*,*,#17699,.F.); #24109=ORIENTED_EDGE('',*,*,#17700,.F.); #24110=ORIENTED_EDGE('',*,*,#17675,.F.); #24111=ORIENTED_EDGE('',*,*,#17700,.T.); #24112=ORIENTED_EDGE('',*,*,#17701,.F.); #24113=ORIENTED_EDGE('',*,*,#17676,.T.); #24114=ORIENTED_EDGE('',*,*,#17663,.F.); #24115=ORIENTED_EDGE('',*,*,#17701,.T.); #24116=ORIENTED_EDGE('',*,*,#17699,.T.); #24117=ORIENTED_EDGE('',*,*,#17697,.T.); #24118=ORIENTED_EDGE('',*,*,#17695,.T.); #24119=ORIENTED_EDGE('',*,*,#17693,.T.); #24120=ORIENTED_EDGE('',*,*,#17691,.T.); #24121=ORIENTED_EDGE('',*,*,#17689,.T.); #24122=ORIENTED_EDGE('',*,*,#17687,.T.); #24123=ORIENTED_EDGE('',*,*,#17685,.T.); #24124=ORIENTED_EDGE('',*,*,#17683,.T.); #24125=ORIENTED_EDGE('',*,*,#17681,.T.); #24126=ORIENTED_EDGE('',*,*,#17679,.T.); #24127=ORIENTED_EDGE('',*,*,#17677,.T.); #24128=ORIENTED_EDGE('',*,*,#17651,.T.); #24129=ORIENTED_EDGE('',*,*,#17654,.T.); #24130=ORIENTED_EDGE('',*,*,#17657,.T.); #24131=ORIENTED_EDGE('',*,*,#17660,.T.); #24132=ORIENTED_EDGE('',*,*,#17702,.F.); #24133=ORIENTED_EDGE('',*,*,#17703,.T.); #24134=ORIENTED_EDGE('',*,*,#17704,.F.); #24135=ORIENTED_EDGE('',*,*,#17703,.F.); #24136=ORIENTED_EDGE('',*,*,#17705,.F.); #24137=ORIENTED_EDGE('',*,*,#17706,.T.); #24138=ORIENTED_EDGE('',*,*,#17707,.F.); #24139=ORIENTED_EDGE('',*,*,#17706,.F.); #24140=ORIENTED_EDGE('',*,*,#17708,.F.); #24141=ORIENTED_EDGE('',*,*,#17709,.T.); #24142=ORIENTED_EDGE('',*,*,#17710,.F.); #24143=ORIENTED_EDGE('',*,*,#17709,.F.); #24144=ORIENTED_EDGE('',*,*,#17711,.F.); #24145=ORIENTED_EDGE('',*,*,#17712,.T.); #24146=ORIENTED_EDGE('',*,*,#17713,.F.); #24147=ORIENTED_EDGE('',*,*,#17712,.F.); #24148=ORIENTED_EDGE('',*,*,#17714,.T.); #24149=ORIENTED_EDGE('',*,*,#17715,.T.); #24150=ORIENTED_EDGE('',*,*,#17716,.T.); #24151=ORIENTED_EDGE('',*,*,#17717,.T.); #24152=ORIENTED_EDGE('',*,*,#17718,.T.); #24153=ORIENTED_EDGE('',*,*,#17719,.T.); #24154=ORIENTED_EDGE('',*,*,#17720,.T.); #24155=ORIENTED_EDGE('',*,*,#17721,.T.); #24156=ORIENTED_EDGE('',*,*,#17722,.T.); #24157=ORIENTED_EDGE('',*,*,#17723,.T.); #24158=ORIENTED_EDGE('',*,*,#17724,.T.); #24159=ORIENTED_EDGE('',*,*,#17725,.T.); #24160=ORIENTED_EDGE('',*,*,#17726,.T.); #24161=ORIENTED_EDGE('',*,*,#17704,.T.); #24162=ORIENTED_EDGE('',*,*,#17707,.T.); #24163=ORIENTED_EDGE('',*,*,#17710,.T.); #24164=ORIENTED_EDGE('',*,*,#17713,.T.); #24165=ORIENTED_EDGE('',*,*,#17727,.F.); #24166=ORIENTED_EDGE('',*,*,#17728,.F.); #24167=ORIENTED_EDGE('',*,*,#17729,.F.); #24168=ORIENTED_EDGE('',*,*,#17715,.F.); #24169=ORIENTED_EDGE('',*,*,#17729,.T.); #24170=ORIENTED_EDGE('',*,*,#17730,.F.); #24171=ORIENTED_EDGE('',*,*,#17731,.F.); #24172=ORIENTED_EDGE('',*,*,#17716,.F.); #24173=ORIENTED_EDGE('',*,*,#17731,.T.); #24174=ORIENTED_EDGE('',*,*,#17732,.F.); #24175=ORIENTED_EDGE('',*,*,#17733,.F.); #24176=ORIENTED_EDGE('',*,*,#17717,.F.); #24177=ORIENTED_EDGE('',*,*,#17733,.T.); #24178=ORIENTED_EDGE('',*,*,#17734,.F.); #24179=ORIENTED_EDGE('',*,*,#17735,.F.); #24180=ORIENTED_EDGE('',*,*,#17718,.F.); #24181=ORIENTED_EDGE('',*,*,#17735,.T.); #24182=ORIENTED_EDGE('',*,*,#17736,.F.); #24183=ORIENTED_EDGE('',*,*,#17737,.F.); #24184=ORIENTED_EDGE('',*,*,#17719,.F.); #24185=ORIENTED_EDGE('',*,*,#17737,.T.); #24186=ORIENTED_EDGE('',*,*,#17738,.F.); #24187=ORIENTED_EDGE('',*,*,#17739,.F.); #24188=ORIENTED_EDGE('',*,*,#17720,.F.); #24189=ORIENTED_EDGE('',*,*,#17739,.T.); #24190=ORIENTED_EDGE('',*,*,#17740,.F.); #24191=ORIENTED_EDGE('',*,*,#17741,.F.); #24192=ORIENTED_EDGE('',*,*,#17721,.F.); #24193=ORIENTED_EDGE('',*,*,#17741,.T.); #24194=ORIENTED_EDGE('',*,*,#17742,.F.); #24195=ORIENTED_EDGE('',*,*,#17743,.F.); #24196=ORIENTED_EDGE('',*,*,#17722,.F.); #24197=ORIENTED_EDGE('',*,*,#17743,.T.); #24198=ORIENTED_EDGE('',*,*,#17744,.F.); #24199=ORIENTED_EDGE('',*,*,#17745,.F.); #24200=ORIENTED_EDGE('',*,*,#17723,.F.); #24201=ORIENTED_EDGE('',*,*,#17745,.T.); #24202=ORIENTED_EDGE('',*,*,#17746,.F.); #24203=ORIENTED_EDGE('',*,*,#17747,.F.); #24204=ORIENTED_EDGE('',*,*,#17724,.F.); #24205=ORIENTED_EDGE('',*,*,#17747,.T.); #24206=ORIENTED_EDGE('',*,*,#17748,.F.); #24207=ORIENTED_EDGE('',*,*,#17749,.F.); #24208=ORIENTED_EDGE('',*,*,#17725,.F.); #24209=ORIENTED_EDGE('',*,*,#17749,.T.); #24210=ORIENTED_EDGE('',*,*,#17750,.F.); #24211=ORIENTED_EDGE('',*,*,#17751,.F.); #24212=ORIENTED_EDGE('',*,*,#17726,.F.); #24213=ORIENTED_EDGE('',*,*,#17751,.T.); #24214=ORIENTED_EDGE('',*,*,#17752,.F.); #24215=ORIENTED_EDGE('',*,*,#17727,.T.); #24216=ORIENTED_EDGE('',*,*,#17714,.F.); #24217=ORIENTED_EDGE('',*,*,#17752,.T.); #24218=ORIENTED_EDGE('',*,*,#17750,.T.); #24219=ORIENTED_EDGE('',*,*,#17748,.T.); #24220=ORIENTED_EDGE('',*,*,#17746,.T.); #24221=ORIENTED_EDGE('',*,*,#17744,.T.); #24222=ORIENTED_EDGE('',*,*,#17742,.T.); #24223=ORIENTED_EDGE('',*,*,#17740,.T.); #24224=ORIENTED_EDGE('',*,*,#17738,.T.); #24225=ORIENTED_EDGE('',*,*,#17736,.T.); #24226=ORIENTED_EDGE('',*,*,#17734,.T.); #24227=ORIENTED_EDGE('',*,*,#17732,.T.); #24228=ORIENTED_EDGE('',*,*,#17730,.T.); #24229=ORIENTED_EDGE('',*,*,#17728,.T.); #24230=ORIENTED_EDGE('',*,*,#17702,.T.); #24231=ORIENTED_EDGE('',*,*,#17705,.T.); #24232=ORIENTED_EDGE('',*,*,#17708,.T.); #24233=ORIENTED_EDGE('',*,*,#17711,.T.); #24234=ORIENTED_EDGE('',*,*,#17753,.T.); #24235=ORIENTED_EDGE('',*,*,#17754,.T.); #24236=ORIENTED_EDGE('',*,*,#17755,.T.); #24237=ORIENTED_EDGE('',*,*,#17756,.T.); #24238=ORIENTED_EDGE('',*,*,#17754,.F.); #24239=ORIENTED_EDGE('',*,*,#17757,.F.); #24240=ORIENTED_EDGE('',*,*,#17758,.F.); #24241=ORIENTED_EDGE('',*,*,#17759,.T.); #24242=ORIENTED_EDGE('',*,*,#17755,.F.); #24243=ORIENTED_EDGE('',*,*,#17756,.F.); #24244=ORIENTED_EDGE('',*,*,#17759,.F.); #24245=ORIENTED_EDGE('',*,*,#17760,.T.); #24246=ORIENTED_EDGE('',*,*,#17753,.F.); #24247=ORIENTED_EDGE('',*,*,#17761,.T.); #24248=ORIENTED_EDGE('',*,*,#17762,.T.); #24249=ORIENTED_EDGE('',*,*,#17757,.T.); #24250=ORIENTED_EDGE('',*,*,#17758,.T.); #24251=ORIENTED_EDGE('',*,*,#17762,.F.); #24252=ORIENTED_EDGE('',*,*,#17761,.F.); #24253=ORIENTED_EDGE('',*,*,#17763,.T.); #24254=ORIENTED_EDGE('',*,*,#17764,.T.); #24255=ORIENTED_EDGE('',*,*,#17765,.T.); #24256=ORIENTED_EDGE('',*,*,#17766,.T.); #24257=ORIENTED_EDGE('',*,*,#17765,.F.); #24258=ORIENTED_EDGE('',*,*,#17766,.F.); #24259=ORIENTED_EDGE('',*,*,#17767,.T.); #24260=ORIENTED_EDGE('',*,*,#17768,.T.); #24261=ORIENTED_EDGE('',*,*,#17769,.T.); #24262=ORIENTED_EDGE('',*,*,#17770,.T.); #24263=ORIENTED_EDGE('',*,*,#17771,.T.); #24264=ORIENTED_EDGE('',*,*,#17772,.T.); #24265=ORIENTED_EDGE('',*,*,#17760,.F.); #24266=ORIENTED_EDGE('',*,*,#17773,.T.); #24267=ORIENTED_EDGE('',*,*,#17763,.F.); #24268=ORIENTED_EDGE('',*,*,#17764,.F.); #24269=ORIENTED_EDGE('',*,*,#17773,.F.); #24270=ORIENTED_EDGE('',*,*,#17774,.F.); #24271=ORIENTED_EDGE('',*,*,#17775,.T.); #24272=ORIENTED_EDGE('',*,*,#17771,.F.); #24273=ORIENTED_EDGE('',*,*,#17776,.F.); #24274=ORIENTED_EDGE('',*,*,#17777,.F.); #24275=ORIENTED_EDGE('',*,*,#17778,.T.); #24276=ORIENTED_EDGE('',*,*,#17772,.F.); #24277=ORIENTED_EDGE('',*,*,#17775,.F.); #24278=ORIENTED_EDGE('',*,*,#17779,.F.); #24279=ORIENTED_EDGE('',*,*,#17780,.T.); #24280=ORIENTED_EDGE('',*,*,#17767,.F.); #24281=ORIENTED_EDGE('',*,*,#17778,.F.); #24282=ORIENTED_EDGE('',*,*,#17781,.F.); #24283=ORIENTED_EDGE('',*,*,#17782,.F.); #24284=ORIENTED_EDGE('',*,*,#17768,.F.); #24285=ORIENTED_EDGE('',*,*,#17780,.F.); #24286=ORIENTED_EDGE('',*,*,#17783,.F.); #24287=ORIENTED_EDGE('',*,*,#17776,.T.); #24288=ORIENTED_EDGE('',*,*,#17770,.F.); #24289=ORIENTED_EDGE('',*,*,#17784,.F.); #24290=ORIENTED_EDGE('',*,*,#17785,.F.); #24291=ORIENTED_EDGE('',*,*,#17786,.F.); #24292=ORIENTED_EDGE('',*,*,#17784,.T.); #24293=ORIENTED_EDGE('',*,*,#17769,.F.); #24294=ORIENTED_EDGE('',*,*,#17782,.T.); #24295=ORIENTED_EDGE('',*,*,#17787,.T.); #24296=ORIENTED_EDGE('',*,*,#17785,.T.); #24297=ORIENTED_EDGE('',*,*,#17786,.T.); #24298=ORIENTED_EDGE('',*,*,#17781,.T.); #24299=ORIENTED_EDGE('',*,*,#17779,.T.); #24300=ORIENTED_EDGE('',*,*,#17777,.T.); #24301=ORIENTED_EDGE('',*,*,#17774,.T.); #24302=ORIENTED_EDGE('',*,*,#17783,.T.); #24303=ORIENTED_EDGE('',*,*,#17787,.F.); #24304=ORIENTED_EDGE('',*,*,#17788,.T.); #24305=ORIENTED_EDGE('',*,*,#17789,.T.); #24306=ORIENTED_EDGE('',*,*,#17790,.T.); #24307=ORIENTED_EDGE('',*,*,#17791,.T.); #24308=ORIENTED_EDGE('',*,*,#17791,.F.); #24309=ORIENTED_EDGE('',*,*,#17792,.F.); #24310=ORIENTED_EDGE('',*,*,#17793,.F.); #24311=ORIENTED_EDGE('',*,*,#17794,.F.); #24312=ORIENTED_EDGE('',*,*,#17795,.F.); #24313=ORIENTED_EDGE('',*,*,#17796,.F.); #24314=ORIENTED_EDGE('',*,*,#17790,.F.); #24315=ORIENTED_EDGE('',*,*,#17797,.F.); #24316=ORIENTED_EDGE('',*,*,#17798,.F.); #24317=ORIENTED_EDGE('',*,*,#17799,.F.); #24318=ORIENTED_EDGE('',*,*,#17800,.T.); #24319=ORIENTED_EDGE('',*,*,#17792,.T.); #24320=ORIENTED_EDGE('',*,*,#17801,.T.); #24321=ORIENTED_EDGE('',*,*,#17802,.T.); #24322=ORIENTED_EDGE('',*,*,#17803,.T.); #24323=ORIENTED_EDGE('',*,*,#17804,.T.); #24324=ORIENTED_EDGE('',*,*,#17802,.F.); #24325=ORIENTED_EDGE('',*,*,#17805,.T.); #24326=ORIENTED_EDGE('',*,*,#17806,.F.); #24327=ORIENTED_EDGE('',*,*,#17807,.F.); #24328=ORIENTED_EDGE('',*,*,#17801,.F.); #24329=ORIENTED_EDGE('',*,*,#17808,.T.); #24330=ORIENTED_EDGE('',*,*,#17809,.T.); #24331=ORIENTED_EDGE('',*,*,#17810,.F.); #24332=ORIENTED_EDGE('',*,*,#17811,.F.); #24333=ORIENTED_EDGE('',*,*,#17805,.F.); #24334=ORIENTED_EDGE('',*,*,#17798,.T.); #24335=ORIENTED_EDGE('',*,*,#17812,.T.); #24336=ORIENTED_EDGE('',*,*,#17813,.T.); #24337=ORIENTED_EDGE('',*,*,#17814,.T.); #24338=ORIENTED_EDGE('',*,*,#17814,.F.); #24339=ORIENTED_EDGE('',*,*,#17815,.T.); #24340=ORIENTED_EDGE('',*,*,#17816,.T.); #24341=ORIENTED_EDGE('',*,*,#17817,.F.); #24342=ORIENTED_EDGE('',*,*,#17818,.F.); #24343=ORIENTED_EDGE('',*,*,#17819,.F.); #24344=ORIENTED_EDGE('',*,*,#17820,.T.); #24345=ORIENTED_EDGE('',*,*,#17821,.T.); #24346=ORIENTED_EDGE('',*,*,#17822,.T.); #24347=ORIENTED_EDGE('',*,*,#17799,.T.); #24348=ORIENTED_EDGE('',*,*,#17811,.T.); #24349=ORIENTED_EDGE('',*,*,#17823,.T.); #24350=ORIENTED_EDGE('',*,*,#17824,.T.); #24351=ORIENTED_EDGE('',*,*,#17806,.T.); #24352=ORIENTED_EDGE('',*,*,#17823,.F.); #24353=ORIENTED_EDGE('',*,*,#17810,.T.); #24354=ORIENTED_EDGE('',*,*,#17825,.T.); #24355=ORIENTED_EDGE('',*,*,#17826,.T.); #24356=ORIENTED_EDGE('',*,*,#17827,.T.); #24357=ORIENTED_EDGE('',*,*,#17828,.F.); #24358=ORIENTED_EDGE('',*,*,#17829,.F.); #24359=ORIENTED_EDGE('',*,*,#17830,.F.); #24360=ORIENTED_EDGE('',*,*,#17831,.T.); #24361=ORIENTED_EDGE('',*,*,#17832,.T.); #24362=ORIENTED_EDGE('',*,*,#17833,.T.); #24363=ORIENTED_EDGE('',*,*,#17828,.T.); #24364=ORIENTED_EDGE('',*,*,#17834,.T.); #24365=ORIENTED_EDGE('',*,*,#17835,.T.); #24366=ORIENTED_EDGE('',*,*,#17835,.F.); #24367=ORIENTED_EDGE('',*,*,#17836,.F.); #24368=ORIENTED_EDGE('',*,*,#17837,.F.); #24369=ORIENTED_EDGE('',*,*,#17838,.F.); #24370=ORIENTED_EDGE('',*,*,#17834,.F.); #24371=ORIENTED_EDGE('',*,*,#17827,.F.); #24372=ORIENTED_EDGE('',*,*,#17839,.F.); #24373=ORIENTED_EDGE('',*,*,#17840,.T.); #24374=ORIENTED_EDGE('',*,*,#17841,.T.); #24375=ORIENTED_EDGE('',*,*,#17820,.F.); #24376=ORIENTED_EDGE('',*,*,#17842,.F.); #24377=ORIENTED_EDGE('',*,*,#17836,.T.); #24378=ORIENTED_EDGE('',*,*,#17842,.T.); #24379=ORIENTED_EDGE('',*,*,#17819,.T.); #24380=ORIENTED_EDGE('',*,*,#17843,.F.); #24381=ORIENTED_EDGE('',*,*,#17837,.T.); #24382=ORIENTED_EDGE('',*,*,#17844,.F.); #24383=ORIENTED_EDGE('',*,*,#17845,.F.); #24384=ORIENTED_EDGE('',*,*,#17846,.F.); #24385=ORIENTED_EDGE('',*,*,#17794,.T.); #24386=ORIENTED_EDGE('',*,*,#17847,.T.); #24387=ORIENTED_EDGE('',*,*,#17848,.F.); #24388=ORIENTED_EDGE('',*,*,#17840,.F.); #24389=ORIENTED_EDGE('',*,*,#17849,.T.); #24390=ORIENTED_EDGE('',*,*,#17850,.F.); #24391=ORIENTED_EDGE('',*,*,#17851,.T.); #24392=ORIENTED_EDGE('',*,*,#17852,.T.); #24393=ORIENTED_EDGE('',*,*,#17853,.F.); #24394=ORIENTED_EDGE('',*,*,#17844,.T.); #24395=ORIENTED_EDGE('',*,*,#17854,.T.); #24396=ORIENTED_EDGE('',*,*,#17804,.F.); #24397=ORIENTED_EDGE('',*,*,#17855,.F.); #24398=ORIENTED_EDGE('',*,*,#17856,.F.); #24399=ORIENTED_EDGE('',*,*,#17851,.F.); #24400=ORIENTED_EDGE('',*,*,#17857,.F.); #24401=ORIENTED_EDGE('',*,*,#17808,.F.); #24402=ORIENTED_EDGE('',*,*,#17858,.T.); #24403=ORIENTED_EDGE('',*,*,#17821,.F.); #24404=ORIENTED_EDGE('',*,*,#17841,.F.); #24405=ORIENTED_EDGE('',*,*,#17848,.T.); #24406=ORIENTED_EDGE('',*,*,#17793,.T.); #24407=ORIENTED_EDGE('',*,*,#17800,.F.); #24408=ORIENTED_EDGE('',*,*,#17822,.F.); #24409=ORIENTED_EDGE('',*,*,#17858,.F.); #24410=ORIENTED_EDGE('',*,*,#17847,.F.); #24411=ORIENTED_EDGE('',*,*,#17789,.F.); #24412=ORIENTED_EDGE('',*,*,#17859,.F.); #24413=ORIENTED_EDGE('',*,*,#17812,.F.); #24414=ORIENTED_EDGE('',*,*,#17797,.T.); #24415=ORIENTED_EDGE('',*,*,#17788,.F.); #24416=ORIENTED_EDGE('',*,*,#17796,.T.); #24417=ORIENTED_EDGE('',*,*,#17860,.F.); #24418=ORIENTED_EDGE('',*,*,#17815,.F.); #24419=ORIENTED_EDGE('',*,*,#17813,.F.); #24420=ORIENTED_EDGE('',*,*,#17859,.T.); #24421=ORIENTED_EDGE('',*,*,#17795,.T.); #24422=ORIENTED_EDGE('',*,*,#17846,.T.); #24423=ORIENTED_EDGE('',*,*,#17861,.F.); #24424=ORIENTED_EDGE('',*,*,#17816,.F.); #24425=ORIENTED_EDGE('',*,*,#17860,.T.); #24426=ORIENTED_EDGE('',*,*,#17839,.T.); #24427=ORIENTED_EDGE('',*,*,#17826,.F.); #24428=ORIENTED_EDGE('',*,*,#17862,.F.); #24429=ORIENTED_EDGE('',*,*,#17849,.F.); #24430=ORIENTED_EDGE('',*,*,#17857,.T.); #24431=ORIENTED_EDGE('',*,*,#17850,.T.); #24432=ORIENTED_EDGE('',*,*,#17862,.T.); #24433=ORIENTED_EDGE('',*,*,#17825,.F.); #24434=ORIENTED_EDGE('',*,*,#17809,.F.); #24435=ORIENTED_EDGE('',*,*,#17803,.F.); #24436=ORIENTED_EDGE('',*,*,#17807,.T.); #24437=ORIENTED_EDGE('',*,*,#17824,.F.); #24438=ORIENTED_EDGE('',*,*,#17832,.F.); #24439=ORIENTED_EDGE('',*,*,#17863,.F.); #24440=ORIENTED_EDGE('',*,*,#17855,.T.); #24441=ORIENTED_EDGE('',*,*,#17856,.T.); #24442=ORIENTED_EDGE('',*,*,#17863,.T.); #24443=ORIENTED_EDGE('',*,*,#17831,.F.); #24444=ORIENTED_EDGE('',*,*,#17864,.F.); #24445=ORIENTED_EDGE('',*,*,#17852,.F.); #24446=ORIENTED_EDGE('',*,*,#17829,.T.); #24447=ORIENTED_EDGE('',*,*,#17833,.F.); #24448=ORIENTED_EDGE('',*,*,#17865,.F.); #24449=ORIENTED_EDGE('',*,*,#17866,.F.); #24450=ORIENTED_EDGE('',*,*,#17867,.F.); #24451=ORIENTED_EDGE('',*,*,#17818,.T.); #24452=ORIENTED_EDGE('',*,*,#17868,.F.); #24453=ORIENTED_EDGE('',*,*,#17869,.F.); #24454=ORIENTED_EDGE('',*,*,#17870,.F.); #24455=ORIENTED_EDGE('',*,*,#17843,.T.); #24456=ORIENTED_EDGE('',*,*,#17845,.T.); #24457=ORIENTED_EDGE('',*,*,#17854,.F.); #24458=ORIENTED_EDGE('',*,*,#17853,.T.); #24459=ORIENTED_EDGE('',*,*,#17864,.T.); #24460=ORIENTED_EDGE('',*,*,#17830,.T.); #24461=ORIENTED_EDGE('',*,*,#17867,.T.); #24462=ORIENTED_EDGE('',*,*,#17871,.F.); #24463=ORIENTED_EDGE('',*,*,#17872,.F.); #24464=ORIENTED_EDGE('',*,*,#17873,.T.); #24465=ORIENTED_EDGE('',*,*,#17874,.T.); #24466=ORIENTED_EDGE('',*,*,#17875,.T.); #24467=ORIENTED_EDGE('',*,*,#17876,.F.); #24468=ORIENTED_EDGE('',*,*,#17877,.F.); #24469=ORIENTED_EDGE('',*,*,#17868,.T.); #24470=ORIENTED_EDGE('',*,*,#17817,.T.); #24471=ORIENTED_EDGE('',*,*,#17861,.T.); #24472=ORIENTED_EDGE('',*,*,#17878,.F.); #24473=ORIENTED_EDGE('',*,*,#17879,.T.); #24474=ORIENTED_EDGE('',*,*,#17880,.F.); #24475=ORIENTED_EDGE('',*,*,#17881,.F.); #24476=ORIENTED_EDGE('',*,*,#17882,.F.); #24477=ORIENTED_EDGE('',*,*,#17883,.F.); #24478=ORIENTED_EDGE('',*,*,#17884,.F.); #24479=ORIENTED_EDGE('',*,*,#17875,.F.); #24480=ORIENTED_EDGE('',*,*,#17874,.F.); #24481=ORIENTED_EDGE('',*,*,#17873,.F.); #24482=ORIENTED_EDGE('',*,*,#17885,.F.); #24483=ORIENTED_EDGE('',*,*,#17886,.F.); #24484=ORIENTED_EDGE('',*,*,#17887,.F.); #24485=ORIENTED_EDGE('',*,*,#17888,.F.); #24486=ORIENTED_EDGE('',*,*,#17889,.F.); #24487=ORIENTED_EDGE('',*,*,#17879,.F.); #24488=ORIENTED_EDGE('',*,*,#17880,.T.); #24489=ORIENTED_EDGE('',*,*,#17889,.T.); #24490=ORIENTED_EDGE('',*,*,#17890,.T.); #24491=ORIENTED_EDGE('',*,*,#17891,.F.); #24492=ORIENTED_EDGE('',*,*,#17892,.F.); #24493=ORIENTED_EDGE('',*,*,#17893,.F.); #24494=ORIENTED_EDGE('',*,*,#17894,.T.); #24495=ORIENTED_EDGE('',*,*,#17888,.T.); #24496=ORIENTED_EDGE('',*,*,#17895,.T.); #24497=ORIENTED_EDGE('',*,*,#17896,.F.); #24498=ORIENTED_EDGE('',*,*,#17890,.F.); #24499=ORIENTED_EDGE('',*,*,#17887,.T.); #24500=ORIENTED_EDGE('',*,*,#17897,.T.); #24501=ORIENTED_EDGE('',*,*,#17898,.F.); #24502=ORIENTED_EDGE('',*,*,#17895,.F.); #24503=ORIENTED_EDGE('',*,*,#17886,.T.); #24504=ORIENTED_EDGE('',*,*,#17899,.T.); #24505=ORIENTED_EDGE('',*,*,#17900,.F.); #24506=ORIENTED_EDGE('',*,*,#17897,.F.); #24507=ORIENTED_EDGE('',*,*,#17885,.T.); #24508=ORIENTED_EDGE('',*,*,#17872,.T.); #24509=ORIENTED_EDGE('',*,*,#17901,.F.); #24510=ORIENTED_EDGE('',*,*,#17899,.F.); #24511=ORIENTED_EDGE('',*,*,#17902,.F.); #24512=ORIENTED_EDGE('',*,*,#17903,.F.); #24513=ORIENTED_EDGE('',*,*,#17904,.F.); #24514=ORIENTED_EDGE('',*,*,#17905,.F.); #24515=ORIENTED_EDGE('',*,*,#17906,.F.); #24516=ORIENTED_EDGE('',*,*,#17907,.T.); #24517=ORIENTED_EDGE('',*,*,#17906,.T.); #24518=ORIENTED_EDGE('',*,*,#17908,.T.); #24519=ORIENTED_EDGE('',*,*,#17902,.T.); #24520=ORIENTED_EDGE('',*,*,#17907,.F.); #24521=ORIENTED_EDGE('',*,*,#17904,.T.); #24522=ORIENTED_EDGE('',*,*,#17909,.F.); #24523=ORIENTED_EDGE('',*,*,#17910,.F.); #24524=ORIENTED_EDGE('',*,*,#17903,.T.); #24525=ORIENTED_EDGE('',*,*,#17908,.F.); #24526=ORIENTED_EDGE('',*,*,#17905,.T.); #24527=ORIENTED_EDGE('',*,*,#17911,.T.); #24528=ORIENTED_EDGE('',*,*,#17912,.T.); #24529=ORIENTED_EDGE('',*,*,#17913,.T.); #24530=ORIENTED_EDGE('',*,*,#17914,.T.); #24531=ORIENTED_EDGE('',*,*,#17915,.T.); #24532=ORIENTED_EDGE('',*,*,#17916,.T.); #24533=ORIENTED_EDGE('',*,*,#17917,.T.); #24534=ORIENTED_EDGE('',*,*,#17918,.T.); #24535=ORIENTED_EDGE('',*,*,#17919,.T.); #24536=ORIENTED_EDGE('',*,*,#17920,.T.); #24537=ORIENTED_EDGE('',*,*,#17921,.F.); #24538=ORIENTED_EDGE('',*,*,#17922,.T.); #24539=ORIENTED_EDGE('',*,*,#17923,.T.); #24540=ORIENTED_EDGE('',*,*,#17924,.T.); #24541=ORIENTED_EDGE('',*,*,#17869,.T.); #24542=ORIENTED_EDGE('',*,*,#17877,.T.); #24543=ORIENTED_EDGE('',*,*,#17925,.T.); #24544=ORIENTED_EDGE('',*,*,#17926,.T.); #24545=ORIENTED_EDGE('',*,*,#17927,.T.); #24546=ORIENTED_EDGE('',*,*,#17928,.T.); #24547=ORIENTED_EDGE('',*,*,#17893,.T.); #24548=ORIENTED_EDGE('',*,*,#17929,.T.); #24549=ORIENTED_EDGE('',*,*,#17930,.T.); #24550=ORIENTED_EDGE('',*,*,#17931,.T.); #24551=ORIENTED_EDGE('',*,*,#17909,.T.); #24552=ORIENTED_EDGE('',*,*,#17931,.F.); #24553=ORIENTED_EDGE('',*,*,#17932,.T.); #24554=ORIENTED_EDGE('',*,*,#17933,.F.); #24555=ORIENTED_EDGE('',*,*,#17934,.T.); #24556=ORIENTED_EDGE('',*,*,#17935,.T.); #24557=ORIENTED_EDGE('',*,*,#17936,.F.); #24558=ORIENTED_EDGE('',*,*,#17937,.F.); #24559=ORIENTED_EDGE('',*,*,#17911,.F.); #24560=ORIENTED_EDGE('',*,*,#17910,.T.); #24561=ORIENTED_EDGE('',*,*,#17938,.F.); #24562=ORIENTED_EDGE('',*,*,#17920,.F.); #24563=ORIENTED_EDGE('',*,*,#17939,.F.); #24564=ORIENTED_EDGE('',*,*,#17922,.F.); #24565=ORIENTED_EDGE('',*,*,#17940,.F.); #24566=ORIENTED_EDGE('',*,*,#17941,.T.); #24567=ORIENTED_EDGE('',*,*,#17940,.T.); #24568=ORIENTED_EDGE('',*,*,#17921,.T.); #24569=ORIENTED_EDGE('',*,*,#17938,.T.); #24570=ORIENTED_EDGE('',*,*,#17941,.F.); #24571=ORIENTED_EDGE('',*,*,#17939,.T.); #24572=ORIENTED_EDGE('',*,*,#17942,.F.); #24573=ORIENTED_EDGE('',*,*,#17943,.F.); #24574=ORIENTED_EDGE('',*,*,#17944,.F.); #24575=ORIENTED_EDGE('',*,*,#17945,.F.); #24576=ORIENTED_EDGE('',*,*,#17946,.F.); #24577=ORIENTED_EDGE('',*,*,#17947,.F.); #24578=ORIENTED_EDGE('',*,*,#17948,.F.); #24579=ORIENTED_EDGE('',*,*,#17944,.T.); #24580=ORIENTED_EDGE('',*,*,#17935,.F.); #24581=ORIENTED_EDGE('',*,*,#17934,.F.); #24582=ORIENTED_EDGE('',*,*,#17949,.T.); #24583=ORIENTED_EDGE('',*,*,#17946,.T.); #24584=ORIENTED_EDGE('',*,*,#17950,.F.); #24585=ORIENTED_EDGE('',*,*,#17947,.T.); #24586=ORIENTED_EDGE('',*,*,#17949,.F.); #24587=ORIENTED_EDGE('',*,*,#17951,.F.); #24588=ORIENTED_EDGE('',*,*,#17952,.F.); #24589=ORIENTED_EDGE('',*,*,#17953,.F.); #24590=ORIENTED_EDGE('',*,*,#17954,.F.); #24591=ORIENTED_EDGE('',*,*,#17955,.F.); #24592=ORIENTED_EDGE('',*,*,#17951,.T.); #24593=ORIENTED_EDGE('',*,*,#17956,.F.); #24594=ORIENTED_EDGE('',*,*,#17957,.F.); #24595=ORIENTED_EDGE('',*,*,#17942,.T.); #24596=ORIENTED_EDGE('',*,*,#17919,.F.); #24597=ORIENTED_EDGE('',*,*,#17958,.T.); #24598=ORIENTED_EDGE('',*,*,#17959,.F.); #24599=ORIENTED_EDGE('',*,*,#17957,.T.); #24600=ORIENTED_EDGE('',*,*,#17956,.T.); #24601=ORIENTED_EDGE('',*,*,#17960,.F.); #24602=ORIENTED_EDGE('',*,*,#17961,.F.); #24603=ORIENTED_EDGE('',*,*,#17923,.F.); #24604=ORIENTED_EDGE('',*,*,#17943,.T.); #24605=ORIENTED_EDGE('',*,*,#17962,.T.); #24606=ORIENTED_EDGE('',*,*,#17953,.T.); #24607=ORIENTED_EDGE('',*,*,#17963,.F.); #24608=ORIENTED_EDGE('',*,*,#17954,.T.); #24609=ORIENTED_EDGE('',*,*,#17962,.F.); #24610=ORIENTED_EDGE('',*,*,#17884,.T.); #24611=ORIENTED_EDGE('',*,*,#17964,.F.); #24612=ORIENTED_EDGE('',*,*,#17925,.F.); #24613=ORIENTED_EDGE('',*,*,#17876,.T.); #24614=ORIENTED_EDGE('',*,*,#17883,.T.); #24615=ORIENTED_EDGE('',*,*,#17965,.F.); #24616=ORIENTED_EDGE('',*,*,#17926,.F.); #24617=ORIENTED_EDGE('',*,*,#17964,.T.); #24618=ORIENTED_EDGE('',*,*,#17882,.T.); #24619=ORIENTED_EDGE('',*,*,#17966,.F.); #24620=ORIENTED_EDGE('',*,*,#17927,.F.); #24621=ORIENTED_EDGE('',*,*,#17965,.T.); #24622=ORIENTED_EDGE('',*,*,#17881,.T.); #24623=ORIENTED_EDGE('',*,*,#17894,.F.); #24624=ORIENTED_EDGE('',*,*,#17928,.F.); #24625=ORIENTED_EDGE('',*,*,#17966,.T.); #24626=ORIENTED_EDGE('',*,*,#17892,.T.); #24627=ORIENTED_EDGE('',*,*,#17967,.F.); #24628=ORIENTED_EDGE('',*,*,#17968,.F.); #24629=ORIENTED_EDGE('',*,*,#17929,.F.); #24630=ORIENTED_EDGE('',*,*,#17968,.T.); #24631=ORIENTED_EDGE('',*,*,#17969,.F.); #24632=ORIENTED_EDGE('',*,*,#17932,.F.); #24633=ORIENTED_EDGE('',*,*,#17930,.F.); #24634=ORIENTED_EDGE('',*,*,#17937,.T.); #24635=ORIENTED_EDGE('',*,*,#17970,.F.); #24636=ORIENTED_EDGE('',*,*,#17971,.F.); #24637=ORIENTED_EDGE('',*,*,#17912,.F.); #24638=ORIENTED_EDGE('',*,*,#17971,.T.); #24639=ORIENTED_EDGE('',*,*,#17972,.F.); #24640=ORIENTED_EDGE('',*,*,#17973,.F.); #24641=ORIENTED_EDGE('',*,*,#17913,.F.); #24642=ORIENTED_EDGE('',*,*,#17973,.T.); #24643=ORIENTED_EDGE('',*,*,#17974,.F.); #24644=ORIENTED_EDGE('',*,*,#17975,.F.); #24645=ORIENTED_EDGE('',*,*,#17914,.F.); #24646=ORIENTED_EDGE('',*,*,#17975,.T.); #24647=ORIENTED_EDGE('',*,*,#17976,.F.); #24648=ORIENTED_EDGE('',*,*,#17977,.F.); #24649=ORIENTED_EDGE('',*,*,#17915,.F.); #24650=ORIENTED_EDGE('',*,*,#17878,.T.); #24651=ORIENTED_EDGE('',*,*,#17977,.T.); #24652=ORIENTED_EDGE('',*,*,#17978,.F.); #24653=ORIENTED_EDGE('',*,*,#17979,.F.); #24654=ORIENTED_EDGE('',*,*,#17916,.F.); #24655=ORIENTED_EDGE('',*,*,#17979,.T.); #24656=ORIENTED_EDGE('',*,*,#17980,.F.); #24657=ORIENTED_EDGE('',*,*,#17981,.F.); #24658=ORIENTED_EDGE('',*,*,#17917,.F.); #24659=ORIENTED_EDGE('',*,*,#17981,.T.); #24660=ORIENTED_EDGE('',*,*,#17982,.F.); #24661=ORIENTED_EDGE('',*,*,#17958,.F.); #24662=ORIENTED_EDGE('',*,*,#17918,.F.); #24663=ORIENTED_EDGE('',*,*,#17961,.T.); #24664=ORIENTED_EDGE('',*,*,#17983,.F.); #24665=ORIENTED_EDGE('',*,*,#17865,.T.); #24666=ORIENTED_EDGE('',*,*,#17838,.T.); #24667=ORIENTED_EDGE('',*,*,#17870,.T.); #24668=ORIENTED_EDGE('',*,*,#17924,.F.); #24669=ORIENTED_EDGE('',*,*,#17945,.T.); #24670=ORIENTED_EDGE('',*,*,#17933,.T.); #24671=ORIENTED_EDGE('',*,*,#17969,.T.); #24672=ORIENTED_EDGE('',*,*,#17967,.T.); #24673=ORIENTED_EDGE('',*,*,#17891,.T.); #24674=ORIENTED_EDGE('',*,*,#17896,.T.); #24675=ORIENTED_EDGE('',*,*,#17898,.T.); #24676=ORIENTED_EDGE('',*,*,#17900,.T.); #24677=ORIENTED_EDGE('',*,*,#17901,.T.); #24678=ORIENTED_EDGE('',*,*,#17871,.T.); #24679=ORIENTED_EDGE('',*,*,#17866,.T.); #24680=ORIENTED_EDGE('',*,*,#17983,.T.); #24681=ORIENTED_EDGE('',*,*,#17960,.T.); #24682=ORIENTED_EDGE('',*,*,#17955,.T.); #24683=ORIENTED_EDGE('',*,*,#17963,.T.); #24684=ORIENTED_EDGE('',*,*,#17952,.T.); #24685=ORIENTED_EDGE('',*,*,#17959,.T.); #24686=ORIENTED_EDGE('',*,*,#17982,.T.); #24687=ORIENTED_EDGE('',*,*,#17980,.T.); #24688=ORIENTED_EDGE('',*,*,#17978,.T.); #24689=ORIENTED_EDGE('',*,*,#17976,.T.); #24690=ORIENTED_EDGE('',*,*,#17974,.T.); #24691=ORIENTED_EDGE('',*,*,#17972,.T.); #24692=ORIENTED_EDGE('',*,*,#17970,.T.); #24693=ORIENTED_EDGE('',*,*,#17936,.T.); #24694=ORIENTED_EDGE('',*,*,#17948,.T.); #24695=ORIENTED_EDGE('',*,*,#17950,.T.); #24696=ORIENTED_EDGE('',*,*,#17984,.F.); #24697=ORIENTED_EDGE('',*,*,#17985,.T.); #24698=ORIENTED_EDGE('',*,*,#17986,.F.); #24699=ORIENTED_EDGE('',*,*,#17985,.F.); #24700=ORIENTED_EDGE('',*,*,#17987,.F.); #24701=ORIENTED_EDGE('',*,*,#17988,.T.); #24702=ORIENTED_EDGE('',*,*,#17989,.F.); #24703=ORIENTED_EDGE('',*,*,#17988,.F.); #24704=ORIENTED_EDGE('',*,*,#17990,.F.); #24705=ORIENTED_EDGE('',*,*,#17991,.T.); #24706=ORIENTED_EDGE('',*,*,#17992,.F.); #24707=ORIENTED_EDGE('',*,*,#17991,.F.); #24708=ORIENTED_EDGE('',*,*,#17993,.F.); #24709=ORIENTED_EDGE('',*,*,#17994,.T.); #24710=ORIENTED_EDGE('',*,*,#17995,.F.); #24711=ORIENTED_EDGE('',*,*,#17994,.F.); #24712=ORIENTED_EDGE('',*,*,#17996,.T.); #24713=ORIENTED_EDGE('',*,*,#17997,.T.); #24714=ORIENTED_EDGE('',*,*,#17998,.T.); #24715=ORIENTED_EDGE('',*,*,#17999,.T.); #24716=ORIENTED_EDGE('',*,*,#18000,.T.); #24717=ORIENTED_EDGE('',*,*,#18001,.T.); #24718=ORIENTED_EDGE('',*,*,#18002,.T.); #24719=ORIENTED_EDGE('',*,*,#18003,.T.); #24720=ORIENTED_EDGE('',*,*,#18004,.T.); #24721=ORIENTED_EDGE('',*,*,#18005,.T.); #24722=ORIENTED_EDGE('',*,*,#18006,.T.); #24723=ORIENTED_EDGE('',*,*,#18007,.T.); #24724=ORIENTED_EDGE('',*,*,#18008,.T.); #24725=ORIENTED_EDGE('',*,*,#17986,.T.); #24726=ORIENTED_EDGE('',*,*,#17989,.T.); #24727=ORIENTED_EDGE('',*,*,#17992,.T.); #24728=ORIENTED_EDGE('',*,*,#17995,.T.); #24729=ORIENTED_EDGE('',*,*,#18009,.F.); #24730=ORIENTED_EDGE('',*,*,#18010,.F.); #24731=ORIENTED_EDGE('',*,*,#18011,.F.); #24732=ORIENTED_EDGE('',*,*,#17997,.F.); #24733=ORIENTED_EDGE('',*,*,#18011,.T.); #24734=ORIENTED_EDGE('',*,*,#18012,.F.); #24735=ORIENTED_EDGE('',*,*,#18013,.F.); #24736=ORIENTED_EDGE('',*,*,#17998,.F.); #24737=ORIENTED_EDGE('',*,*,#18013,.T.); #24738=ORIENTED_EDGE('',*,*,#18014,.F.); #24739=ORIENTED_EDGE('',*,*,#18015,.F.); #24740=ORIENTED_EDGE('',*,*,#17999,.F.); #24741=ORIENTED_EDGE('',*,*,#18015,.T.); #24742=ORIENTED_EDGE('',*,*,#18016,.F.); #24743=ORIENTED_EDGE('',*,*,#18017,.F.); #24744=ORIENTED_EDGE('',*,*,#18000,.F.); #24745=ORIENTED_EDGE('',*,*,#18017,.T.); #24746=ORIENTED_EDGE('',*,*,#18018,.F.); #24747=ORIENTED_EDGE('',*,*,#18019,.F.); #24748=ORIENTED_EDGE('',*,*,#18001,.F.); #24749=ORIENTED_EDGE('',*,*,#18019,.T.); #24750=ORIENTED_EDGE('',*,*,#18020,.F.); #24751=ORIENTED_EDGE('',*,*,#18021,.F.); #24752=ORIENTED_EDGE('',*,*,#18002,.F.); #24753=ORIENTED_EDGE('',*,*,#18021,.T.); #24754=ORIENTED_EDGE('',*,*,#18022,.F.); #24755=ORIENTED_EDGE('',*,*,#18023,.F.); #24756=ORIENTED_EDGE('',*,*,#18003,.F.); #24757=ORIENTED_EDGE('',*,*,#18023,.T.); #24758=ORIENTED_EDGE('',*,*,#18024,.F.); #24759=ORIENTED_EDGE('',*,*,#18025,.F.); #24760=ORIENTED_EDGE('',*,*,#18004,.F.); #24761=ORIENTED_EDGE('',*,*,#18025,.T.); #24762=ORIENTED_EDGE('',*,*,#18026,.F.); #24763=ORIENTED_EDGE('',*,*,#18027,.F.); #24764=ORIENTED_EDGE('',*,*,#18005,.F.); #24765=ORIENTED_EDGE('',*,*,#18027,.T.); #24766=ORIENTED_EDGE('',*,*,#18028,.F.); #24767=ORIENTED_EDGE('',*,*,#18029,.F.); #24768=ORIENTED_EDGE('',*,*,#18006,.F.); #24769=ORIENTED_EDGE('',*,*,#18029,.T.); #24770=ORIENTED_EDGE('',*,*,#18030,.F.); #24771=ORIENTED_EDGE('',*,*,#18031,.F.); #24772=ORIENTED_EDGE('',*,*,#18007,.F.); #24773=ORIENTED_EDGE('',*,*,#18031,.T.); #24774=ORIENTED_EDGE('',*,*,#18032,.F.); #24775=ORIENTED_EDGE('',*,*,#18033,.F.); #24776=ORIENTED_EDGE('',*,*,#18008,.F.); #24777=ORIENTED_EDGE('',*,*,#18033,.T.); #24778=ORIENTED_EDGE('',*,*,#18034,.F.); #24779=ORIENTED_EDGE('',*,*,#18009,.T.); #24780=ORIENTED_EDGE('',*,*,#17996,.F.); #24781=ORIENTED_EDGE('',*,*,#18034,.T.); #24782=ORIENTED_EDGE('',*,*,#18032,.T.); #24783=ORIENTED_EDGE('',*,*,#18030,.T.); #24784=ORIENTED_EDGE('',*,*,#18028,.T.); #24785=ORIENTED_EDGE('',*,*,#18026,.T.); #24786=ORIENTED_EDGE('',*,*,#18024,.T.); #24787=ORIENTED_EDGE('',*,*,#18022,.T.); #24788=ORIENTED_EDGE('',*,*,#18020,.T.); #24789=ORIENTED_EDGE('',*,*,#18018,.T.); #24790=ORIENTED_EDGE('',*,*,#18016,.T.); #24791=ORIENTED_EDGE('',*,*,#18014,.T.); #24792=ORIENTED_EDGE('',*,*,#18012,.T.); #24793=ORIENTED_EDGE('',*,*,#18010,.T.); #24794=ORIENTED_EDGE('',*,*,#17984,.T.); #24795=ORIENTED_EDGE('',*,*,#17987,.T.); #24796=ORIENTED_EDGE('',*,*,#17990,.T.); #24797=ORIENTED_EDGE('',*,*,#17993,.T.); #24798=ORIENTED_EDGE('',*,*,#18035,.F.); #24799=ORIENTED_EDGE('',*,*,#18036,.F.); #24800=ORIENTED_EDGE('',*,*,#18037,.T.); #24801=ORIENTED_EDGE('',*,*,#18038,.T.); #24802=ORIENTED_EDGE('',*,*,#18039,.F.); #24803=ORIENTED_EDGE('',*,*,#18038,.F.); #24804=ORIENTED_EDGE('',*,*,#18040,.T.); #24805=ORIENTED_EDGE('',*,*,#18041,.T.); #24806=ORIENTED_EDGE('',*,*,#18042,.F.); #24807=ORIENTED_EDGE('',*,*,#18041,.F.); #24808=ORIENTED_EDGE('',*,*,#18043,.T.); #24809=ORIENTED_EDGE('',*,*,#18044,.T.); #24810=ORIENTED_EDGE('',*,*,#18045,.F.); #24811=ORIENTED_EDGE('',*,*,#18044,.F.); #24812=ORIENTED_EDGE('',*,*,#18046,.T.); #24813=ORIENTED_EDGE('',*,*,#18036,.T.); #24814=ORIENTED_EDGE('',*,*,#18040,.F.); #24815=ORIENTED_EDGE('',*,*,#18037,.F.); #24816=ORIENTED_EDGE('',*,*,#18046,.F.); #24817=ORIENTED_EDGE('',*,*,#18043,.F.); #24818=ORIENTED_EDGE('',*,*,#18047,.F.); #24819=ORIENTED_EDGE('',*,*,#18048,.F.); #24820=ORIENTED_EDGE('',*,*,#18049,.F.); #24821=ORIENTED_EDGE('',*,*,#18050,.T.); #24822=ORIENTED_EDGE('',*,*,#18051,.F.); #24823=ORIENTED_EDGE('',*,*,#18050,.F.); #24824=ORIENTED_EDGE('',*,*,#18052,.F.); #24825=ORIENTED_EDGE('',*,*,#18053,.T.); #24826=ORIENTED_EDGE('',*,*,#18054,.F.); #24827=ORIENTED_EDGE('',*,*,#18053,.F.); #24828=ORIENTED_EDGE('',*,*,#18055,.F.); #24829=ORIENTED_EDGE('',*,*,#18056,.T.); #24830=ORIENTED_EDGE('',*,*,#18057,.F.); #24831=ORIENTED_EDGE('',*,*,#18056,.F.); #24832=ORIENTED_EDGE('',*,*,#18058,.F.); #24833=ORIENTED_EDGE('',*,*,#18059,.T.); #24834=ORIENTED_EDGE('',*,*,#18060,.F.); #24835=ORIENTED_EDGE('',*,*,#18059,.F.); #24836=ORIENTED_EDGE('',*,*,#18061,.F.); #24837=ORIENTED_EDGE('',*,*,#18062,.T.); #24838=ORIENTED_EDGE('',*,*,#18063,.F.); #24839=ORIENTED_EDGE('',*,*,#18062,.F.); #24840=ORIENTED_EDGE('',*,*,#18064,.F.); #24841=ORIENTED_EDGE('',*,*,#18065,.T.); #24842=ORIENTED_EDGE('',*,*,#18066,.F.); #24843=ORIENTED_EDGE('',*,*,#18065,.F.); #24844=ORIENTED_EDGE('',*,*,#18067,.F.); #24845=ORIENTED_EDGE('',*,*,#18068,.T.); #24846=ORIENTED_EDGE('',*,*,#18069,.F.); #24847=ORIENTED_EDGE('',*,*,#18068,.F.); #24848=ORIENTED_EDGE('',*,*,#18070,.F.); #24849=ORIENTED_EDGE('',*,*,#18071,.T.); #24850=ORIENTED_EDGE('',*,*,#18072,.F.); #24851=ORIENTED_EDGE('',*,*,#18071,.F.); #24852=ORIENTED_EDGE('',*,*,#18073,.F.); #24853=ORIENTED_EDGE('',*,*,#18074,.T.); #24854=ORIENTED_EDGE('',*,*,#18075,.F.); #24855=ORIENTED_EDGE('',*,*,#18074,.F.); #24856=ORIENTED_EDGE('',*,*,#18076,.F.); #24857=ORIENTED_EDGE('',*,*,#18077,.T.); #24858=ORIENTED_EDGE('',*,*,#18078,.F.); #24859=ORIENTED_EDGE('',*,*,#18077,.F.); #24860=ORIENTED_EDGE('',*,*,#18079,.F.); #24861=ORIENTED_EDGE('',*,*,#18080,.T.); #24862=ORIENTED_EDGE('',*,*,#18081,.F.); #24863=ORIENTED_EDGE('',*,*,#18080,.F.); #24864=ORIENTED_EDGE('',*,*,#18082,.F.); #24865=ORIENTED_EDGE('',*,*,#18083,.T.); #24866=ORIENTED_EDGE('',*,*,#18084,.F.); #24867=ORIENTED_EDGE('',*,*,#18083,.F.); #24868=ORIENTED_EDGE('',*,*,#18085,.F.); #24869=ORIENTED_EDGE('',*,*,#18086,.T.); #24870=ORIENTED_EDGE('',*,*,#18087,.F.); #24871=ORIENTED_EDGE('',*,*,#18086,.F.); #24872=ORIENTED_EDGE('',*,*,#18088,.F.); #24873=ORIENTED_EDGE('',*,*,#18089,.T.); #24874=ORIENTED_EDGE('',*,*,#18090,.F.); #24875=ORIENTED_EDGE('',*,*,#18089,.F.); #24876=ORIENTED_EDGE('',*,*,#18091,.F.); #24877=ORIENTED_EDGE('',*,*,#18092,.T.); #24878=ORIENTED_EDGE('',*,*,#18093,.F.); #24879=ORIENTED_EDGE('',*,*,#18092,.F.); #24880=ORIENTED_EDGE('',*,*,#18094,.F.); #24881=ORIENTED_EDGE('',*,*,#18095,.T.); #24882=ORIENTED_EDGE('',*,*,#18096,.F.); #24883=ORIENTED_EDGE('',*,*,#18095,.F.); #24884=ORIENTED_EDGE('',*,*,#18097,.F.); #24885=ORIENTED_EDGE('',*,*,#18098,.T.); #24886=ORIENTED_EDGE('',*,*,#18099,.F.); #24887=ORIENTED_EDGE('',*,*,#18098,.F.); #24888=ORIENTED_EDGE('',*,*,#18100,.F.); #24889=ORIENTED_EDGE('',*,*,#18101,.T.); #24890=ORIENTED_EDGE('',*,*,#18102,.F.); #24891=ORIENTED_EDGE('',*,*,#18101,.F.); #24892=ORIENTED_EDGE('',*,*,#18103,.F.); #24893=ORIENTED_EDGE('',*,*,#18104,.T.); #24894=ORIENTED_EDGE('',*,*,#18105,.F.); #24895=ORIENTED_EDGE('',*,*,#18104,.F.); #24896=ORIENTED_EDGE('',*,*,#18106,.F.); #24897=ORIENTED_EDGE('',*,*,#18107,.T.); #24898=ORIENTED_EDGE('',*,*,#18108,.F.); #24899=ORIENTED_EDGE('',*,*,#18107,.F.); #24900=ORIENTED_EDGE('',*,*,#18109,.F.); #24901=ORIENTED_EDGE('',*,*,#18110,.T.); #24902=ORIENTED_EDGE('',*,*,#18111,.F.); #24903=ORIENTED_EDGE('',*,*,#18110,.F.); #24904=ORIENTED_EDGE('',*,*,#18112,.F.); #24905=ORIENTED_EDGE('',*,*,#18113,.T.); #24906=ORIENTED_EDGE('',*,*,#18114,.F.); #24907=ORIENTED_EDGE('',*,*,#18113,.F.); #24908=ORIENTED_EDGE('',*,*,#18115,.F.); #24909=ORIENTED_EDGE('',*,*,#18116,.T.); #24910=ORIENTED_EDGE('',*,*,#18117,.F.); #24911=ORIENTED_EDGE('',*,*,#18116,.F.); #24912=ORIENTED_EDGE('',*,*,#18118,.F.); #24913=ORIENTED_EDGE('',*,*,#18119,.T.); #24914=ORIENTED_EDGE('',*,*,#18120,.F.); #24915=ORIENTED_EDGE('',*,*,#18119,.F.); #24916=ORIENTED_EDGE('',*,*,#18121,.F.); #24917=ORIENTED_EDGE('',*,*,#18122,.T.); #24918=ORIENTED_EDGE('',*,*,#18123,.F.); #24919=ORIENTED_EDGE('',*,*,#18122,.F.); #24920=ORIENTED_EDGE('',*,*,#18124,.F.); #24921=ORIENTED_EDGE('',*,*,#18125,.T.); #24922=ORIENTED_EDGE('',*,*,#18126,.F.); #24923=ORIENTED_EDGE('',*,*,#18125,.F.); #24924=ORIENTED_EDGE('',*,*,#18127,.F.); #24925=ORIENTED_EDGE('',*,*,#18128,.T.); #24926=ORIENTED_EDGE('',*,*,#18129,.F.); #24927=ORIENTED_EDGE('',*,*,#18128,.F.); #24928=ORIENTED_EDGE('',*,*,#18130,.F.); #24929=ORIENTED_EDGE('',*,*,#18131,.T.); #24930=ORIENTED_EDGE('',*,*,#18132,.F.); #24931=ORIENTED_EDGE('',*,*,#18131,.F.); #24932=ORIENTED_EDGE('',*,*,#18133,.F.); #24933=ORIENTED_EDGE('',*,*,#18134,.T.); #24934=ORIENTED_EDGE('',*,*,#18135,.F.); #24935=ORIENTED_EDGE('',*,*,#18134,.F.); #24936=ORIENTED_EDGE('',*,*,#18136,.F.); #24937=ORIENTED_EDGE('',*,*,#18137,.T.); #24938=ORIENTED_EDGE('',*,*,#18138,.F.); #24939=ORIENTED_EDGE('',*,*,#18137,.F.); #24940=ORIENTED_EDGE('',*,*,#18139,.F.); #24941=ORIENTED_EDGE('',*,*,#18140,.T.); #24942=ORIENTED_EDGE('',*,*,#18141,.F.); #24943=ORIENTED_EDGE('',*,*,#18140,.F.); #24944=ORIENTED_EDGE('',*,*,#18142,.F.); #24945=ORIENTED_EDGE('',*,*,#18143,.T.); #24946=ORIENTED_EDGE('',*,*,#18144,.F.); #24947=ORIENTED_EDGE('',*,*,#18143,.F.); #24948=ORIENTED_EDGE('',*,*,#18145,.F.); #24949=ORIENTED_EDGE('',*,*,#18146,.T.); #24950=ORIENTED_EDGE('',*,*,#18147,.T.); #24951=ORIENTED_EDGE('',*,*,#18148,.T.); #24952=ORIENTED_EDGE('',*,*,#18149,.T.); #24953=ORIENTED_EDGE('',*,*,#18150,.T.); #24954=ORIENTED_EDGE('',*,*,#18151,.T.); #24955=ORIENTED_EDGE('',*,*,#18152,.T.); #24956=ORIENTED_EDGE('',*,*,#18153,.T.); #24957=ORIENTED_EDGE('',*,*,#18154,.T.); #24958=ORIENTED_EDGE('',*,*,#18155,.T.); #24959=ORIENTED_EDGE('',*,*,#18156,.T.); #24960=ORIENTED_EDGE('',*,*,#18157,.T.); #24961=ORIENTED_EDGE('',*,*,#18145,.T.); #24962=ORIENTED_EDGE('',*,*,#18142,.T.); #24963=ORIENTED_EDGE('',*,*,#18139,.T.); #24964=ORIENTED_EDGE('',*,*,#18136,.T.); #24965=ORIENTED_EDGE('',*,*,#18133,.T.); #24966=ORIENTED_EDGE('',*,*,#18130,.T.); #24967=ORIENTED_EDGE('',*,*,#18127,.T.); #24968=ORIENTED_EDGE('',*,*,#18124,.T.); #24969=ORIENTED_EDGE('',*,*,#18121,.T.); #24970=ORIENTED_EDGE('',*,*,#18118,.T.); #24971=ORIENTED_EDGE('',*,*,#18115,.T.); #24972=ORIENTED_EDGE('',*,*,#18112,.T.); #24973=ORIENTED_EDGE('',*,*,#18109,.T.); #24974=ORIENTED_EDGE('',*,*,#18106,.T.); #24975=ORIENTED_EDGE('',*,*,#18103,.T.); #24976=ORIENTED_EDGE('',*,*,#18100,.T.); #24977=ORIENTED_EDGE('',*,*,#18097,.T.); #24978=ORIENTED_EDGE('',*,*,#18094,.T.); #24979=ORIENTED_EDGE('',*,*,#18091,.T.); #24980=ORIENTED_EDGE('',*,*,#18088,.T.); #24981=ORIENTED_EDGE('',*,*,#18085,.T.); #24982=ORIENTED_EDGE('',*,*,#18082,.T.); #24983=ORIENTED_EDGE('',*,*,#18079,.T.); #24984=ORIENTED_EDGE('',*,*,#18076,.T.); #24985=ORIENTED_EDGE('',*,*,#18073,.T.); #24986=ORIENTED_EDGE('',*,*,#18070,.T.); #24987=ORIENTED_EDGE('',*,*,#18067,.T.); #24988=ORIENTED_EDGE('',*,*,#18064,.T.); #24989=ORIENTED_EDGE('',*,*,#18061,.T.); #24990=ORIENTED_EDGE('',*,*,#18058,.T.); #24991=ORIENTED_EDGE('',*,*,#18055,.T.); #24992=ORIENTED_EDGE('',*,*,#18052,.T.); #24993=ORIENTED_EDGE('',*,*,#18049,.T.); #24994=ORIENTED_EDGE('',*,*,#18158,.T.); #24995=ORIENTED_EDGE('',*,*,#18159,.T.); #24996=ORIENTED_EDGE('',*,*,#18160,.T.); #24997=ORIENTED_EDGE('',*,*,#18161,.T.); #24998=ORIENTED_EDGE('',*,*,#18162,.T.); #24999=ORIENTED_EDGE('',*,*,#18163,.T.); #25000=ORIENTED_EDGE('',*,*,#18164,.T.); #25001=ORIENTED_EDGE('',*,*,#18165,.T.); #25002=ORIENTED_EDGE('',*,*,#18166,.T.); #25003=ORIENTED_EDGE('',*,*,#18167,.T.); #25004=ORIENTED_EDGE('',*,*,#18168,.T.); #25005=ORIENTED_EDGE('',*,*,#18169,.T.); #25006=ORIENTED_EDGE('',*,*,#18170,.T.); #25007=ORIENTED_EDGE('',*,*,#18171,.T.); #25008=ORIENTED_EDGE('',*,*,#18172,.T.); #25009=ORIENTED_EDGE('',*,*,#18173,.T.); #25010=ORIENTED_EDGE('',*,*,#18174,.T.); #25011=ORIENTED_EDGE('',*,*,#18175,.T.); #25012=ORIENTED_EDGE('',*,*,#18176,.T.); #25013=ORIENTED_EDGE('',*,*,#18177,.T.); #25014=ORIENTED_EDGE('',*,*,#18178,.T.); #25015=ORIENTED_EDGE('',*,*,#18179,.T.); #25016=ORIENTED_EDGE('',*,*,#18180,.T.); #25017=ORIENTED_EDGE('',*,*,#18181,.F.); #25018=ORIENTED_EDGE('',*,*,#18146,.F.); #25019=ORIENTED_EDGE('',*,*,#18157,.F.); #25020=ORIENTED_EDGE('',*,*,#18182,.T.); #25021=ORIENTED_EDGE('',*,*,#18183,.F.); #25022=ORIENTED_EDGE('',*,*,#18182,.F.); #25023=ORIENTED_EDGE('',*,*,#18156,.F.); #25024=ORIENTED_EDGE('',*,*,#18184,.T.); #25025=ORIENTED_EDGE('',*,*,#18185,.F.); #25026=ORIENTED_EDGE('',*,*,#18184,.F.); #25027=ORIENTED_EDGE('',*,*,#18155,.F.); #25028=ORIENTED_EDGE('',*,*,#18186,.T.); #25029=ORIENTED_EDGE('',*,*,#18187,.F.); #25030=ORIENTED_EDGE('',*,*,#18186,.F.); #25031=ORIENTED_EDGE('',*,*,#18154,.F.); #25032=ORIENTED_EDGE('',*,*,#18188,.T.); #25033=ORIENTED_EDGE('',*,*,#18189,.F.); #25034=ORIENTED_EDGE('',*,*,#18188,.F.); #25035=ORIENTED_EDGE('',*,*,#18153,.F.); #25036=ORIENTED_EDGE('',*,*,#18190,.T.); #25037=ORIENTED_EDGE('',*,*,#18191,.F.); #25038=ORIENTED_EDGE('',*,*,#18190,.F.); #25039=ORIENTED_EDGE('',*,*,#18152,.F.); #25040=ORIENTED_EDGE('',*,*,#18192,.T.); #25041=ORIENTED_EDGE('',*,*,#18193,.F.); #25042=ORIENTED_EDGE('',*,*,#18192,.F.); #25043=ORIENTED_EDGE('',*,*,#18151,.F.); #25044=ORIENTED_EDGE('',*,*,#18194,.T.); #25045=ORIENTED_EDGE('',*,*,#18195,.F.); #25046=ORIENTED_EDGE('',*,*,#18194,.F.); #25047=ORIENTED_EDGE('',*,*,#18150,.F.); #25048=ORIENTED_EDGE('',*,*,#18196,.T.); #25049=ORIENTED_EDGE('',*,*,#18197,.F.); #25050=ORIENTED_EDGE('',*,*,#18196,.F.); #25051=ORIENTED_EDGE('',*,*,#18149,.F.); #25052=ORIENTED_EDGE('',*,*,#18198,.T.); #25053=ORIENTED_EDGE('',*,*,#18199,.F.); #25054=ORIENTED_EDGE('',*,*,#18198,.F.); #25055=ORIENTED_EDGE('',*,*,#18148,.F.); #25056=ORIENTED_EDGE('',*,*,#18200,.T.); #25057=ORIENTED_EDGE('',*,*,#18201,.F.); #25058=ORIENTED_EDGE('',*,*,#18200,.F.); #25059=ORIENTED_EDGE('',*,*,#18147,.F.); #25060=ORIENTED_EDGE('',*,*,#18202,.T.); #25061=ORIENTED_EDGE('',*,*,#18203,.F.); #25062=ORIENTED_EDGE('',*,*,#18202,.F.); #25063=ORIENTED_EDGE('',*,*,#18180,.F.); #25064=ORIENTED_EDGE('',*,*,#18204,.T.); #25065=ORIENTED_EDGE('',*,*,#18205,.F.); #25066=ORIENTED_EDGE('',*,*,#18204,.F.); #25067=ORIENTED_EDGE('',*,*,#18179,.F.); #25068=ORIENTED_EDGE('',*,*,#18206,.T.); #25069=ORIENTED_EDGE('',*,*,#18207,.F.); #25070=ORIENTED_EDGE('',*,*,#18206,.F.); #25071=ORIENTED_EDGE('',*,*,#18178,.F.); #25072=ORIENTED_EDGE('',*,*,#18208,.T.); #25073=ORIENTED_EDGE('',*,*,#18209,.F.); #25074=ORIENTED_EDGE('',*,*,#18208,.F.); #25075=ORIENTED_EDGE('',*,*,#18177,.F.); #25076=ORIENTED_EDGE('',*,*,#18210,.T.); #25077=ORIENTED_EDGE('',*,*,#18211,.F.); #25078=ORIENTED_EDGE('',*,*,#18210,.F.); #25079=ORIENTED_EDGE('',*,*,#18176,.F.); #25080=ORIENTED_EDGE('',*,*,#18212,.T.); #25081=ORIENTED_EDGE('',*,*,#18213,.F.); #25082=ORIENTED_EDGE('',*,*,#18212,.F.); #25083=ORIENTED_EDGE('',*,*,#18175,.F.); #25084=ORIENTED_EDGE('',*,*,#18214,.T.); #25085=ORIENTED_EDGE('',*,*,#18215,.F.); #25086=ORIENTED_EDGE('',*,*,#18214,.F.); #25087=ORIENTED_EDGE('',*,*,#18174,.F.); #25088=ORIENTED_EDGE('',*,*,#18216,.T.); #25089=ORIENTED_EDGE('',*,*,#18217,.F.); #25090=ORIENTED_EDGE('',*,*,#18216,.F.); #25091=ORIENTED_EDGE('',*,*,#18173,.F.); #25092=ORIENTED_EDGE('',*,*,#18218,.T.); #25093=ORIENTED_EDGE('',*,*,#18219,.F.); #25094=ORIENTED_EDGE('',*,*,#18218,.F.); #25095=ORIENTED_EDGE('',*,*,#18172,.F.); #25096=ORIENTED_EDGE('',*,*,#18220,.T.); #25097=ORIENTED_EDGE('',*,*,#18221,.F.); #25098=ORIENTED_EDGE('',*,*,#18220,.F.); #25099=ORIENTED_EDGE('',*,*,#18171,.F.); #25100=ORIENTED_EDGE('',*,*,#18222,.T.); #25101=ORIENTED_EDGE('',*,*,#18223,.F.); #25102=ORIENTED_EDGE('',*,*,#18222,.F.); #25103=ORIENTED_EDGE('',*,*,#18170,.F.); #25104=ORIENTED_EDGE('',*,*,#18224,.T.); #25105=ORIENTED_EDGE('',*,*,#18225,.F.); #25106=ORIENTED_EDGE('',*,*,#18224,.F.); #25107=ORIENTED_EDGE('',*,*,#18169,.F.); #25108=ORIENTED_EDGE('',*,*,#18226,.T.); #25109=ORIENTED_EDGE('',*,*,#18227,.F.); #25110=ORIENTED_EDGE('',*,*,#18226,.F.); #25111=ORIENTED_EDGE('',*,*,#18168,.F.); #25112=ORIENTED_EDGE('',*,*,#18228,.T.); #25113=ORIENTED_EDGE('',*,*,#18229,.F.); #25114=ORIENTED_EDGE('',*,*,#18228,.F.); #25115=ORIENTED_EDGE('',*,*,#18167,.F.); #25116=ORIENTED_EDGE('',*,*,#18230,.T.); #25117=ORIENTED_EDGE('',*,*,#18231,.F.); #25118=ORIENTED_EDGE('',*,*,#18230,.F.); #25119=ORIENTED_EDGE('',*,*,#18166,.F.); #25120=ORIENTED_EDGE('',*,*,#18232,.T.); #25121=ORIENTED_EDGE('',*,*,#18233,.F.); #25122=ORIENTED_EDGE('',*,*,#18232,.F.); #25123=ORIENTED_EDGE('',*,*,#18165,.F.); #25124=ORIENTED_EDGE('',*,*,#18234,.T.); #25125=ORIENTED_EDGE('',*,*,#18235,.F.); #25126=ORIENTED_EDGE('',*,*,#18234,.F.); #25127=ORIENTED_EDGE('',*,*,#18164,.F.); #25128=ORIENTED_EDGE('',*,*,#18236,.T.); #25129=ORIENTED_EDGE('',*,*,#18237,.F.); #25130=ORIENTED_EDGE('',*,*,#18236,.F.); #25131=ORIENTED_EDGE('',*,*,#18163,.F.); #25132=ORIENTED_EDGE('',*,*,#18238,.T.); #25133=ORIENTED_EDGE('',*,*,#18239,.F.); #25134=ORIENTED_EDGE('',*,*,#18238,.F.); #25135=ORIENTED_EDGE('',*,*,#18162,.F.); #25136=ORIENTED_EDGE('',*,*,#18240,.T.); #25137=ORIENTED_EDGE('',*,*,#18241,.F.); #25138=ORIENTED_EDGE('',*,*,#18240,.F.); #25139=ORIENTED_EDGE('',*,*,#18161,.F.); #25140=ORIENTED_EDGE('',*,*,#18242,.T.); #25141=ORIENTED_EDGE('',*,*,#18243,.F.); #25142=ORIENTED_EDGE('',*,*,#18242,.F.); #25143=ORIENTED_EDGE('',*,*,#18160,.F.); #25144=ORIENTED_EDGE('',*,*,#18244,.T.); #25145=ORIENTED_EDGE('',*,*,#18245,.F.); #25146=ORIENTED_EDGE('',*,*,#18244,.F.); #25147=ORIENTED_EDGE('',*,*,#18159,.F.); #25148=ORIENTED_EDGE('',*,*,#18246,.T.); #25149=ORIENTED_EDGE('',*,*,#18247,.F.); #25150=ORIENTED_EDGE('',*,*,#18246,.F.); #25151=ORIENTED_EDGE('',*,*,#18158,.F.); #25152=ORIENTED_EDGE('',*,*,#18048,.T.); #25153=ORIENTED_EDGE('',*,*,#18248,.F.); #25154=ORIENTED_EDGE('',*,*,#18249,.F.); #25155=ORIENTED_EDGE('',*,*,#18250,.T.); #25156=ORIENTED_EDGE('',*,*,#18251,.T.); #25157=ORIENTED_EDGE('',*,*,#18252,.F.); #25158=ORIENTED_EDGE('',*,*,#18253,.F.); #25159=ORIENTED_EDGE('',*,*,#18254,.F.); #25160=ORIENTED_EDGE('',*,*,#18255,.T.); #25161=ORIENTED_EDGE('',*,*,#18256,.F.); #25162=ORIENTED_EDGE('',*,*,#18255,.F.); #25163=ORIENTED_EDGE('',*,*,#18257,.F.); #25164=ORIENTED_EDGE('',*,*,#18258,.T.); #25165=ORIENTED_EDGE('',*,*,#18259,.F.); #25166=ORIENTED_EDGE('',*,*,#18258,.F.); #25167=ORIENTED_EDGE('',*,*,#18260,.F.); #25168=ORIENTED_EDGE('',*,*,#18261,.T.); #25169=ORIENTED_EDGE('',*,*,#18262,.F.); #25170=ORIENTED_EDGE('',*,*,#18261,.F.); #25171=ORIENTED_EDGE('',*,*,#18263,.F.); #25172=ORIENTED_EDGE('',*,*,#18264,.T.); #25173=ORIENTED_EDGE('',*,*,#18265,.F.); #25174=ORIENTED_EDGE('',*,*,#18264,.F.); #25175=ORIENTED_EDGE('',*,*,#18266,.F.); #25176=ORIENTED_EDGE('',*,*,#18267,.T.); #25177=ORIENTED_EDGE('',*,*,#18268,.F.); #25178=ORIENTED_EDGE('',*,*,#18251,.F.); #25179=ORIENTED_EDGE('',*,*,#18269,.T.); #25180=ORIENTED_EDGE('',*,*,#18270,.T.); #25181=ORIENTED_EDGE('',*,*,#18271,.F.); #25182=ORIENTED_EDGE('',*,*,#18270,.F.); #25183=ORIENTED_EDGE('',*,*,#18272,.T.); #25184=ORIENTED_EDGE('',*,*,#18249,.T.); #25185=ORIENTED_EDGE('',*,*,#18273,.F.); #25186=ORIENTED_EDGE('',*,*,#18267,.F.); #25187=ORIENTED_EDGE('',*,*,#18274,.F.); #25188=ORIENTED_EDGE('',*,*,#18275,.T.); #25189=ORIENTED_EDGE('',*,*,#18276,.F.); #25190=ORIENTED_EDGE('',*,*,#18275,.F.); #25191=ORIENTED_EDGE('',*,*,#18277,.F.); #25192=ORIENTED_EDGE('',*,*,#18278,.T.); #25193=ORIENTED_EDGE('',*,*,#18279,.F.); #25194=ORIENTED_EDGE('',*,*,#18278,.F.); #25195=ORIENTED_EDGE('',*,*,#18280,.F.); #25196=ORIENTED_EDGE('',*,*,#18281,.T.); #25197=ORIENTED_EDGE('',*,*,#18282,.F.); #25198=ORIENTED_EDGE('',*,*,#18281,.F.); #25199=ORIENTED_EDGE('',*,*,#18283,.F.); #25200=ORIENTED_EDGE('',*,*,#18284,.T.); #25201=ORIENTED_EDGE('',*,*,#18285,.F.); #25202=ORIENTED_EDGE('',*,*,#18284,.F.); #25203=ORIENTED_EDGE('',*,*,#18286,.F.); #25204=ORIENTED_EDGE('',*,*,#18287,.T.); #25205=ORIENTED_EDGE('',*,*,#18288,.F.); #25206=ORIENTED_EDGE('',*,*,#18287,.F.); #25207=ORIENTED_EDGE('',*,*,#18289,.F.); #25208=ORIENTED_EDGE('',*,*,#18253,.T.); #25209=ORIENTED_EDGE('',*,*,#18266,.T.); #25210=ORIENTED_EDGE('',*,*,#18263,.T.); #25211=ORIENTED_EDGE('',*,*,#18260,.T.); #25212=ORIENTED_EDGE('',*,*,#18257,.T.); #25213=ORIENTED_EDGE('',*,*,#18254,.T.); #25214=ORIENTED_EDGE('',*,*,#18289,.T.); #25215=ORIENTED_EDGE('',*,*,#18286,.T.); #25216=ORIENTED_EDGE('',*,*,#18283,.T.); #25217=ORIENTED_EDGE('',*,*,#18280,.T.); #25218=ORIENTED_EDGE('',*,*,#18277,.T.); #25219=ORIENTED_EDGE('',*,*,#18274,.T.); #25220=ORIENTED_EDGE('',*,*,#18272,.F.); #25221=ORIENTED_EDGE('',*,*,#18269,.F.); #25222=ORIENTED_EDGE('',*,*,#18250,.F.); #25223=ORIENTED_EDGE('',*,*,#18290,.F.); #25224=ORIENTED_EDGE('',*,*,#18291,.F.); #25225=ORIENTED_EDGE('',*,*,#18292,.F.); #25226=ORIENTED_EDGE('',*,*,#18293,.T.); #25227=ORIENTED_EDGE('',*,*,#18294,.F.); #25228=ORIENTED_EDGE('',*,*,#18293,.F.); #25229=ORIENTED_EDGE('',*,*,#18295,.F.); #25230=ORIENTED_EDGE('',*,*,#18296,.T.); #25231=ORIENTED_EDGE('',*,*,#18297,.F.); #25232=ORIENTED_EDGE('',*,*,#18296,.F.); #25233=ORIENTED_EDGE('',*,*,#18298,.F.); #25234=ORIENTED_EDGE('',*,*,#18299,.T.); #25235=ORIENTED_EDGE('',*,*,#18300,.F.); #25236=ORIENTED_EDGE('',*,*,#18299,.F.); #25237=ORIENTED_EDGE('',*,*,#18301,.F.); #25238=ORIENTED_EDGE('',*,*,#18302,.T.); #25239=ORIENTED_EDGE('',*,*,#18303,.F.); #25240=ORIENTED_EDGE('',*,*,#18302,.F.); #25241=ORIENTED_EDGE('',*,*,#18304,.F.); #25242=ORIENTED_EDGE('',*,*,#18305,.T.); #25243=ORIENTED_EDGE('',*,*,#18306,.F.); #25244=ORIENTED_EDGE('',*,*,#18305,.F.); #25245=ORIENTED_EDGE('',*,*,#18307,.F.); #25246=ORIENTED_EDGE('',*,*,#18308,.T.); #25247=ORIENTED_EDGE('',*,*,#18309,.F.); #25248=ORIENTED_EDGE('',*,*,#18308,.F.); #25249=ORIENTED_EDGE('',*,*,#18310,.F.); #25250=ORIENTED_EDGE('',*,*,#18311,.T.); #25251=ORIENTED_EDGE('',*,*,#18312,.F.); #25252=ORIENTED_EDGE('',*,*,#18311,.F.); #25253=ORIENTED_EDGE('',*,*,#18313,.F.); #25254=ORIENTED_EDGE('',*,*,#18314,.T.); #25255=ORIENTED_EDGE('',*,*,#18315,.F.); #25256=ORIENTED_EDGE('',*,*,#18314,.F.); #25257=ORIENTED_EDGE('',*,*,#18316,.F.); #25258=ORIENTED_EDGE('',*,*,#18317,.T.); #25259=ORIENTED_EDGE('',*,*,#18318,.F.); #25260=ORIENTED_EDGE('',*,*,#18317,.F.); #25261=ORIENTED_EDGE('',*,*,#18319,.F.); #25262=ORIENTED_EDGE('',*,*,#18320,.T.); #25263=ORIENTED_EDGE('',*,*,#18321,.F.); #25264=ORIENTED_EDGE('',*,*,#18320,.F.); #25265=ORIENTED_EDGE('',*,*,#18322,.F.); #25266=ORIENTED_EDGE('',*,*,#18323,.T.); #25267=ORIENTED_EDGE('',*,*,#18324,.F.); #25268=ORIENTED_EDGE('',*,*,#18323,.F.); #25269=ORIENTED_EDGE('',*,*,#18325,.F.); #25270=ORIENTED_EDGE('',*,*,#18326,.T.); #25271=ORIENTED_EDGE('',*,*,#18327,.F.); #25272=ORIENTED_EDGE('',*,*,#18326,.F.); #25273=ORIENTED_EDGE('',*,*,#18328,.F.); #25274=ORIENTED_EDGE('',*,*,#18329,.T.); #25275=ORIENTED_EDGE('',*,*,#18330,.F.); #25276=ORIENTED_EDGE('',*,*,#18329,.F.); #25277=ORIENTED_EDGE('',*,*,#18331,.F.); #25278=ORIENTED_EDGE('',*,*,#18332,.T.); #25279=ORIENTED_EDGE('',*,*,#18333,.F.); #25280=ORIENTED_EDGE('',*,*,#18332,.F.); #25281=ORIENTED_EDGE('',*,*,#18334,.F.); #25282=ORIENTED_EDGE('',*,*,#18291,.T.); #25283=ORIENTED_EDGE('',*,*,#18307,.T.); #25284=ORIENTED_EDGE('',*,*,#18304,.T.); #25285=ORIENTED_EDGE('',*,*,#18301,.T.); #25286=ORIENTED_EDGE('',*,*,#18298,.T.); #25287=ORIENTED_EDGE('',*,*,#18295,.T.); #25288=ORIENTED_EDGE('',*,*,#18292,.T.); #25289=ORIENTED_EDGE('',*,*,#18334,.T.); #25290=ORIENTED_EDGE('',*,*,#18331,.T.); #25291=ORIENTED_EDGE('',*,*,#18328,.T.); #25292=ORIENTED_EDGE('',*,*,#18325,.T.); #25293=ORIENTED_EDGE('',*,*,#18322,.T.); #25294=ORIENTED_EDGE('',*,*,#18319,.T.); #25295=ORIENTED_EDGE('',*,*,#18316,.T.); #25296=ORIENTED_EDGE('',*,*,#18313,.T.); #25297=ORIENTED_EDGE('',*,*,#18310,.T.); #25298=ORIENTED_EDGE('',*,*,#18335,.F.); #25299=ORIENTED_EDGE('',*,*,#18336,.F.); #25300=ORIENTED_EDGE('',*,*,#18337,.F.); #25301=ORIENTED_EDGE('',*,*,#18338,.T.); #25302=ORIENTED_EDGE('',*,*,#18339,.F.); #25303=ORIENTED_EDGE('',*,*,#18338,.F.); #25304=ORIENTED_EDGE('',*,*,#18340,.F.); #25305=ORIENTED_EDGE('',*,*,#18341,.T.); #25306=ORIENTED_EDGE('',*,*,#18342,.F.); #25307=ORIENTED_EDGE('',*,*,#18341,.F.); #25308=ORIENTED_EDGE('',*,*,#18343,.F.); #25309=ORIENTED_EDGE('',*,*,#18344,.T.); #25310=ORIENTED_EDGE('',*,*,#18345,.F.); #25311=ORIENTED_EDGE('',*,*,#18344,.F.); #25312=ORIENTED_EDGE('',*,*,#18346,.F.); #25313=ORIENTED_EDGE('',*,*,#18347,.T.); #25314=ORIENTED_EDGE('',*,*,#18348,.F.); #25315=ORIENTED_EDGE('',*,*,#18347,.F.); #25316=ORIENTED_EDGE('',*,*,#18349,.F.); #25317=ORIENTED_EDGE('',*,*,#18350,.T.); #25318=ORIENTED_EDGE('',*,*,#18351,.F.); #25319=ORIENTED_EDGE('',*,*,#18350,.F.); #25320=ORIENTED_EDGE('',*,*,#18352,.F.); #25321=ORIENTED_EDGE('',*,*,#18353,.T.); #25322=ORIENTED_EDGE('',*,*,#18354,.F.); #25323=ORIENTED_EDGE('',*,*,#18353,.F.); #25324=ORIENTED_EDGE('',*,*,#18355,.F.); #25325=ORIENTED_EDGE('',*,*,#18356,.T.); #25326=ORIENTED_EDGE('',*,*,#18357,.F.); #25327=ORIENTED_EDGE('',*,*,#18356,.F.); #25328=ORIENTED_EDGE('',*,*,#18358,.F.); #25329=ORIENTED_EDGE('',*,*,#18359,.T.); #25330=ORIENTED_EDGE('',*,*,#18360,.F.); #25331=ORIENTED_EDGE('',*,*,#18359,.F.); #25332=ORIENTED_EDGE('',*,*,#18361,.F.); #25333=ORIENTED_EDGE('',*,*,#18362,.T.); #25334=ORIENTED_EDGE('',*,*,#18363,.F.); #25335=ORIENTED_EDGE('',*,*,#18362,.F.); #25336=ORIENTED_EDGE('',*,*,#18364,.F.); #25337=ORIENTED_EDGE('',*,*,#18365,.T.); #25338=ORIENTED_EDGE('',*,*,#18366,.F.); #25339=ORIENTED_EDGE('',*,*,#18365,.F.); #25340=ORIENTED_EDGE('',*,*,#18367,.F.); #25341=ORIENTED_EDGE('',*,*,#18368,.T.); #25342=ORIENTED_EDGE('',*,*,#18369,.F.); #25343=ORIENTED_EDGE('',*,*,#18368,.F.); #25344=ORIENTED_EDGE('',*,*,#18370,.F.); #25345=ORIENTED_EDGE('',*,*,#18371,.T.); #25346=ORIENTED_EDGE('',*,*,#18372,.F.); #25347=ORIENTED_EDGE('',*,*,#18371,.F.); #25348=ORIENTED_EDGE('',*,*,#18373,.F.); #25349=ORIENTED_EDGE('',*,*,#18374,.T.); #25350=ORIENTED_EDGE('',*,*,#18375,.F.); #25351=ORIENTED_EDGE('',*,*,#18374,.F.); #25352=ORIENTED_EDGE('',*,*,#18376,.F.); #25353=ORIENTED_EDGE('',*,*,#18377,.T.); #25354=ORIENTED_EDGE('',*,*,#18378,.F.); #25355=ORIENTED_EDGE('',*,*,#18377,.F.); #25356=ORIENTED_EDGE('',*,*,#18379,.F.); #25357=ORIENTED_EDGE('',*,*,#18380,.T.); #25358=ORIENTED_EDGE('',*,*,#18381,.F.); #25359=ORIENTED_EDGE('',*,*,#18380,.F.); #25360=ORIENTED_EDGE('',*,*,#18382,.F.); #25361=ORIENTED_EDGE('',*,*,#18383,.T.); #25362=ORIENTED_EDGE('',*,*,#18384,.F.); #25363=ORIENTED_EDGE('',*,*,#18383,.F.); #25364=ORIENTED_EDGE('',*,*,#18385,.F.); #25365=ORIENTED_EDGE('',*,*,#18386,.T.); #25366=ORIENTED_EDGE('',*,*,#18387,.F.); #25367=ORIENTED_EDGE('',*,*,#18386,.F.); #25368=ORIENTED_EDGE('',*,*,#18388,.F.); #25369=ORIENTED_EDGE('',*,*,#18389,.T.); #25370=ORIENTED_EDGE('',*,*,#18390,.F.); #25371=ORIENTED_EDGE('',*,*,#18389,.F.); #25372=ORIENTED_EDGE('',*,*,#18391,.F.); #25373=ORIENTED_EDGE('',*,*,#18392,.T.); #25374=ORIENTED_EDGE('',*,*,#18393,.F.); #25375=ORIENTED_EDGE('',*,*,#18392,.F.); #25376=ORIENTED_EDGE('',*,*,#18394,.F.); #25377=ORIENTED_EDGE('',*,*,#18395,.T.); #25378=ORIENTED_EDGE('',*,*,#18396,.F.); #25379=ORIENTED_EDGE('',*,*,#18395,.F.); #25380=ORIENTED_EDGE('',*,*,#18397,.F.); #25381=ORIENTED_EDGE('',*,*,#18398,.T.); #25382=ORIENTED_EDGE('',*,*,#18399,.F.); #25383=ORIENTED_EDGE('',*,*,#18398,.F.); #25384=ORIENTED_EDGE('',*,*,#18400,.F.); #25385=ORIENTED_EDGE('',*,*,#18401,.T.); #25386=ORIENTED_EDGE('',*,*,#18402,.F.); #25387=ORIENTED_EDGE('',*,*,#18401,.F.); #25388=ORIENTED_EDGE('',*,*,#18403,.F.); #25389=ORIENTED_EDGE('',*,*,#18404,.T.); #25390=ORIENTED_EDGE('',*,*,#18405,.F.); #25391=ORIENTED_EDGE('',*,*,#18404,.F.); #25392=ORIENTED_EDGE('',*,*,#18406,.F.); #25393=ORIENTED_EDGE('',*,*,#18407,.T.); #25394=ORIENTED_EDGE('',*,*,#18408,.F.); #25395=ORIENTED_EDGE('',*,*,#18407,.F.); #25396=ORIENTED_EDGE('',*,*,#18409,.F.); #25397=ORIENTED_EDGE('',*,*,#18410,.T.); #25398=ORIENTED_EDGE('',*,*,#18411,.F.); #25399=ORIENTED_EDGE('',*,*,#18410,.F.); #25400=ORIENTED_EDGE('',*,*,#18412,.F.); #25401=ORIENTED_EDGE('',*,*,#18413,.T.); #25402=ORIENTED_EDGE('',*,*,#18414,.F.); #25403=ORIENTED_EDGE('',*,*,#18413,.F.); #25404=ORIENTED_EDGE('',*,*,#18415,.F.); #25405=ORIENTED_EDGE('',*,*,#18416,.T.); #25406=ORIENTED_EDGE('',*,*,#18417,.F.); #25407=ORIENTED_EDGE('',*,*,#18418,.F.); #25408=ORIENTED_EDGE('',*,*,#18419,.F.); #25409=ORIENTED_EDGE('',*,*,#18420,.T.); #25410=ORIENTED_EDGE('',*,*,#18421,.F.); #25411=ORIENTED_EDGE('',*,*,#18420,.F.); #25412=ORIENTED_EDGE('',*,*,#18422,.F.); #25413=ORIENTED_EDGE('',*,*,#18423,.T.); #25414=ORIENTED_EDGE('',*,*,#18424,.F.); #25415=ORIENTED_EDGE('',*,*,#18423,.F.); #25416=ORIENTED_EDGE('',*,*,#18425,.F.); #25417=ORIENTED_EDGE('',*,*,#18426,.T.); #25418=ORIENTED_EDGE('',*,*,#18427,.F.); #25419=ORIENTED_EDGE('',*,*,#18426,.F.); #25420=ORIENTED_EDGE('',*,*,#18428,.F.); #25421=ORIENTED_EDGE('',*,*,#18429,.T.); #25422=ORIENTED_EDGE('',*,*,#18430,.F.); #25423=ORIENTED_EDGE('',*,*,#18429,.F.); #25424=ORIENTED_EDGE('',*,*,#18431,.F.); #25425=ORIENTED_EDGE('',*,*,#18432,.T.); #25426=ORIENTED_EDGE('',*,*,#18433,.F.); #25427=ORIENTED_EDGE('',*,*,#18432,.F.); #25428=ORIENTED_EDGE('',*,*,#18434,.F.); #25429=ORIENTED_EDGE('',*,*,#18435,.T.); #25430=ORIENTED_EDGE('',*,*,#18436,.F.); #25431=ORIENTED_EDGE('',*,*,#18435,.F.); #25432=ORIENTED_EDGE('',*,*,#18437,.F.); #25433=ORIENTED_EDGE('',*,*,#18438,.T.); #25434=ORIENTED_EDGE('',*,*,#18439,.F.); #25435=ORIENTED_EDGE('',*,*,#18438,.F.); #25436=ORIENTED_EDGE('',*,*,#18440,.F.); #25437=ORIENTED_EDGE('',*,*,#18441,.T.); #25438=ORIENTED_EDGE('',*,*,#18442,.F.); #25439=ORIENTED_EDGE('',*,*,#18443,.F.); #25440=ORIENTED_EDGE('',*,*,#18444,.F.); #25441=ORIENTED_EDGE('',*,*,#18336,.T.); #25442=ORIENTED_EDGE('',*,*,#18445,.F.); #25443=ORIENTED_EDGE('',*,*,#18416,.F.); #25444=ORIENTED_EDGE('',*,*,#18446,.F.); #25445=ORIENTED_EDGE('',*,*,#18447,.T.); #25446=ORIENTED_EDGE('',*,*,#18448,.F.); #25447=ORIENTED_EDGE('',*,*,#18447,.F.); #25448=ORIENTED_EDGE('',*,*,#18449,.F.); #25449=ORIENTED_EDGE('',*,*,#18450,.T.); #25450=ORIENTED_EDGE('',*,*,#18451,.F.); #25451=ORIENTED_EDGE('',*,*,#18450,.F.); #25452=ORIENTED_EDGE('',*,*,#18452,.F.); #25453=ORIENTED_EDGE('',*,*,#18453,.T.); #25454=ORIENTED_EDGE('',*,*,#18454,.F.); #25455=ORIENTED_EDGE('',*,*,#18453,.F.); #25456=ORIENTED_EDGE('',*,*,#18455,.F.); #25457=ORIENTED_EDGE('',*,*,#18456,.T.); #25458=ORIENTED_EDGE('',*,*,#18457,.F.); #25459=ORIENTED_EDGE('',*,*,#18456,.F.); #25460=ORIENTED_EDGE('',*,*,#18458,.F.); #25461=ORIENTED_EDGE('',*,*,#18459,.T.); #25462=ORIENTED_EDGE('',*,*,#18460,.F.); #25463=ORIENTED_EDGE('',*,*,#18459,.F.); #25464=ORIENTED_EDGE('',*,*,#18461,.F.); #25465=ORIENTED_EDGE('',*,*,#18462,.T.); #25466=ORIENTED_EDGE('',*,*,#18463,.F.); #25467=ORIENTED_EDGE('',*,*,#18462,.F.); #25468=ORIENTED_EDGE('',*,*,#18464,.F.); #25469=ORIENTED_EDGE('',*,*,#18465,.T.); #25470=ORIENTED_EDGE('',*,*,#18466,.F.); #25471=ORIENTED_EDGE('',*,*,#18465,.F.); #25472=ORIENTED_EDGE('',*,*,#18467,.F.); #25473=ORIENTED_EDGE('',*,*,#18468,.T.); #25474=ORIENTED_EDGE('',*,*,#18469,.F.); #25475=ORIENTED_EDGE('',*,*,#18468,.F.); #25476=ORIENTED_EDGE('',*,*,#18470,.F.); #25477=ORIENTED_EDGE('',*,*,#18471,.T.); #25478=ORIENTED_EDGE('',*,*,#18472,.F.); #25479=ORIENTED_EDGE('',*,*,#18471,.F.); #25480=ORIENTED_EDGE('',*,*,#18473,.F.); #25481=ORIENTED_EDGE('',*,*,#18474,.T.); #25482=ORIENTED_EDGE('',*,*,#18475,.F.); #25483=ORIENTED_EDGE('',*,*,#18474,.F.); #25484=ORIENTED_EDGE('',*,*,#18476,.F.); #25485=ORIENTED_EDGE('',*,*,#18477,.T.); #25486=ORIENTED_EDGE('',*,*,#18478,.F.); #25487=ORIENTED_EDGE('',*,*,#18477,.F.); #25488=ORIENTED_EDGE('',*,*,#18479,.F.); #25489=ORIENTED_EDGE('',*,*,#18480,.T.); #25490=ORIENTED_EDGE('',*,*,#18481,.F.); #25491=ORIENTED_EDGE('',*,*,#18480,.F.); #25492=ORIENTED_EDGE('',*,*,#18482,.F.); #25493=ORIENTED_EDGE('',*,*,#18483,.T.); #25494=ORIENTED_EDGE('',*,*,#18484,.F.); #25495=ORIENTED_EDGE('',*,*,#18483,.F.); #25496=ORIENTED_EDGE('',*,*,#18485,.F.); #25497=ORIENTED_EDGE('',*,*,#18486,.T.); #25498=ORIENTED_EDGE('',*,*,#18487,.F.); #25499=ORIENTED_EDGE('',*,*,#18486,.F.); #25500=ORIENTED_EDGE('',*,*,#18488,.F.); #25501=ORIENTED_EDGE('',*,*,#18489,.T.); #25502=ORIENTED_EDGE('',*,*,#18490,.F.); #25503=ORIENTED_EDGE('',*,*,#18491,.F.); #25504=ORIENTED_EDGE('',*,*,#18492,.F.); #25505=ORIENTED_EDGE('',*,*,#18418,.T.); #25506=ORIENTED_EDGE('',*,*,#18493,.F.); #25507=ORIENTED_EDGE('',*,*,#18441,.F.); #25508=ORIENTED_EDGE('',*,*,#18494,.F.); #25509=ORIENTED_EDGE('',*,*,#18495,.T.); #25510=ORIENTED_EDGE('',*,*,#18496,.F.); #25511=ORIENTED_EDGE('',*,*,#18495,.F.); #25512=ORIENTED_EDGE('',*,*,#18497,.F.); #25513=ORIENTED_EDGE('',*,*,#18498,.T.); #25514=ORIENTED_EDGE('',*,*,#18499,.F.); #25515=ORIENTED_EDGE('',*,*,#18498,.F.); #25516=ORIENTED_EDGE('',*,*,#18500,.F.); #25517=ORIENTED_EDGE('',*,*,#18501,.T.); #25518=ORIENTED_EDGE('',*,*,#18502,.F.); #25519=ORIENTED_EDGE('',*,*,#18501,.F.); #25520=ORIENTED_EDGE('',*,*,#18503,.F.); #25521=ORIENTED_EDGE('',*,*,#18504,.T.); #25522=ORIENTED_EDGE('',*,*,#18505,.F.); #25523=ORIENTED_EDGE('',*,*,#18504,.F.); #25524=ORIENTED_EDGE('',*,*,#18506,.F.); #25525=ORIENTED_EDGE('',*,*,#18507,.T.); #25526=ORIENTED_EDGE('',*,*,#18508,.F.); #25527=ORIENTED_EDGE('',*,*,#18507,.F.); #25528=ORIENTED_EDGE('',*,*,#18509,.F.); #25529=ORIENTED_EDGE('',*,*,#18510,.T.); #25530=ORIENTED_EDGE('',*,*,#18511,.F.); #25531=ORIENTED_EDGE('',*,*,#18510,.F.); #25532=ORIENTED_EDGE('',*,*,#18512,.F.); #25533=ORIENTED_EDGE('',*,*,#18491,.T.); #25534=ORIENTED_EDGE('',*,*,#18513,.F.); #25535=ORIENTED_EDGE('',*,*,#18489,.F.); #25536=ORIENTED_EDGE('',*,*,#18514,.F.); #25537=ORIENTED_EDGE('',*,*,#18515,.T.); #25538=ORIENTED_EDGE('',*,*,#18516,.F.); #25539=ORIENTED_EDGE('',*,*,#18515,.F.); #25540=ORIENTED_EDGE('',*,*,#18517,.F.); #25541=ORIENTED_EDGE('',*,*,#18518,.T.); #25542=ORIENTED_EDGE('',*,*,#18519,.F.); #25543=ORIENTED_EDGE('',*,*,#18518,.F.); #25544=ORIENTED_EDGE('',*,*,#18520,.F.); #25545=ORIENTED_EDGE('',*,*,#18521,.T.); #25546=ORIENTED_EDGE('',*,*,#18522,.F.); #25547=ORIENTED_EDGE('',*,*,#18521,.F.); #25548=ORIENTED_EDGE('',*,*,#18523,.F.); #25549=ORIENTED_EDGE('',*,*,#18524,.T.); #25550=ORIENTED_EDGE('',*,*,#18525,.F.); #25551=ORIENTED_EDGE('',*,*,#18524,.F.); #25552=ORIENTED_EDGE('',*,*,#18526,.F.); #25553=ORIENTED_EDGE('',*,*,#18527,.T.); #25554=ORIENTED_EDGE('',*,*,#18528,.F.); #25555=ORIENTED_EDGE('',*,*,#18527,.F.); #25556=ORIENTED_EDGE('',*,*,#18529,.F.); #25557=ORIENTED_EDGE('',*,*,#18530,.T.); #25558=ORIENTED_EDGE('',*,*,#18531,.F.); #25559=ORIENTED_EDGE('',*,*,#18530,.F.); #25560=ORIENTED_EDGE('',*,*,#18532,.F.); #25561=ORIENTED_EDGE('',*,*,#18533,.T.); #25562=ORIENTED_EDGE('',*,*,#18534,.F.); #25563=ORIENTED_EDGE('',*,*,#18533,.F.); #25564=ORIENTED_EDGE('',*,*,#18535,.F.); #25565=ORIENTED_EDGE('',*,*,#18536,.T.); #25566=ORIENTED_EDGE('',*,*,#18537,.F.); #25567=ORIENTED_EDGE('',*,*,#18536,.F.); #25568=ORIENTED_EDGE('',*,*,#18538,.F.); #25569=ORIENTED_EDGE('',*,*,#18539,.T.); #25570=ORIENTED_EDGE('',*,*,#18540,.F.); #25571=ORIENTED_EDGE('',*,*,#18539,.F.); #25572=ORIENTED_EDGE('',*,*,#18541,.F.); #25573=ORIENTED_EDGE('',*,*,#18542,.T.); #25574=ORIENTED_EDGE('',*,*,#18543,.F.); #25575=ORIENTED_EDGE('',*,*,#18542,.F.); #25576=ORIENTED_EDGE('',*,*,#18544,.F.); #25577=ORIENTED_EDGE('',*,*,#18443,.T.); #25578=ORIENTED_EDGE('',*,*,#18514,.T.); #25579=ORIENTED_EDGE('',*,*,#18488,.T.); #25580=ORIENTED_EDGE('',*,*,#18485,.T.); #25581=ORIENTED_EDGE('',*,*,#18482,.T.); #25582=ORIENTED_EDGE('',*,*,#18479,.T.); #25583=ORIENTED_EDGE('',*,*,#18476,.T.); #25584=ORIENTED_EDGE('',*,*,#18473,.T.); #25585=ORIENTED_EDGE('',*,*,#18470,.T.); #25586=ORIENTED_EDGE('',*,*,#18467,.T.); #25587=ORIENTED_EDGE('',*,*,#18464,.T.); #25588=ORIENTED_EDGE('',*,*,#18461,.T.); #25589=ORIENTED_EDGE('',*,*,#18458,.T.); #25590=ORIENTED_EDGE('',*,*,#18455,.T.); #25591=ORIENTED_EDGE('',*,*,#18452,.T.); #25592=ORIENTED_EDGE('',*,*,#18449,.T.); #25593=ORIENTED_EDGE('',*,*,#18446,.T.); #25594=ORIENTED_EDGE('',*,*,#18415,.T.); #25595=ORIENTED_EDGE('',*,*,#18412,.T.); #25596=ORIENTED_EDGE('',*,*,#18409,.T.); #25597=ORIENTED_EDGE('',*,*,#18406,.T.); #25598=ORIENTED_EDGE('',*,*,#18403,.T.); #25599=ORIENTED_EDGE('',*,*,#18400,.T.); #25600=ORIENTED_EDGE('',*,*,#18397,.T.); #25601=ORIENTED_EDGE('',*,*,#18394,.T.); #25602=ORIENTED_EDGE('',*,*,#18391,.T.); #25603=ORIENTED_EDGE('',*,*,#18388,.T.); #25604=ORIENTED_EDGE('',*,*,#18385,.T.); #25605=ORIENTED_EDGE('',*,*,#18382,.T.); #25606=ORIENTED_EDGE('',*,*,#18379,.T.); #25607=ORIENTED_EDGE('',*,*,#18376,.T.); #25608=ORIENTED_EDGE('',*,*,#18373,.T.); #25609=ORIENTED_EDGE('',*,*,#18370,.T.); #25610=ORIENTED_EDGE('',*,*,#18367,.T.); #25611=ORIENTED_EDGE('',*,*,#18364,.T.); #25612=ORIENTED_EDGE('',*,*,#18361,.T.); #25613=ORIENTED_EDGE('',*,*,#18358,.T.); #25614=ORIENTED_EDGE('',*,*,#18355,.T.); #25615=ORIENTED_EDGE('',*,*,#18352,.T.); #25616=ORIENTED_EDGE('',*,*,#18349,.T.); #25617=ORIENTED_EDGE('',*,*,#18346,.T.); #25618=ORIENTED_EDGE('',*,*,#18343,.T.); #25619=ORIENTED_EDGE('',*,*,#18340,.T.); #25620=ORIENTED_EDGE('',*,*,#18337,.T.); #25621=ORIENTED_EDGE('',*,*,#18444,.T.); #25622=ORIENTED_EDGE('',*,*,#18544,.T.); #25623=ORIENTED_EDGE('',*,*,#18541,.T.); #25624=ORIENTED_EDGE('',*,*,#18538,.T.); #25625=ORIENTED_EDGE('',*,*,#18535,.T.); #25626=ORIENTED_EDGE('',*,*,#18532,.T.); #25627=ORIENTED_EDGE('',*,*,#18529,.T.); #25628=ORIENTED_EDGE('',*,*,#18526,.T.); #25629=ORIENTED_EDGE('',*,*,#18523,.T.); #25630=ORIENTED_EDGE('',*,*,#18520,.T.); #25631=ORIENTED_EDGE('',*,*,#18517,.T.); #25632=ORIENTED_EDGE('',*,*,#18492,.T.); #25633=ORIENTED_EDGE('',*,*,#18512,.T.); #25634=ORIENTED_EDGE('',*,*,#18509,.T.); #25635=ORIENTED_EDGE('',*,*,#18506,.T.); #25636=ORIENTED_EDGE('',*,*,#18503,.T.); #25637=ORIENTED_EDGE('',*,*,#18500,.T.); #25638=ORIENTED_EDGE('',*,*,#18497,.T.); #25639=ORIENTED_EDGE('',*,*,#18494,.T.); #25640=ORIENTED_EDGE('',*,*,#18440,.T.); #25641=ORIENTED_EDGE('',*,*,#18437,.T.); #25642=ORIENTED_EDGE('',*,*,#18434,.T.); #25643=ORIENTED_EDGE('',*,*,#18431,.T.); #25644=ORIENTED_EDGE('',*,*,#18428,.T.); #25645=ORIENTED_EDGE('',*,*,#18425,.T.); #25646=ORIENTED_EDGE('',*,*,#18422,.T.); #25647=ORIENTED_EDGE('',*,*,#18419,.T.); #25648=ORIENTED_EDGE('',*,*,#18545,.F.); #25649=ORIENTED_EDGE('',*,*,#18546,.F.); #25650=ORIENTED_EDGE('',*,*,#18547,.F.); #25651=ORIENTED_EDGE('',*,*,#18548,.T.); #25652=ORIENTED_EDGE('',*,*,#18549,.F.); #25653=ORIENTED_EDGE('',*,*,#18548,.F.); #25654=ORIENTED_EDGE('',*,*,#18550,.F.); #25655=ORIENTED_EDGE('',*,*,#18551,.T.); #25656=ORIENTED_EDGE('',*,*,#18552,.F.); #25657=ORIENTED_EDGE('',*,*,#18551,.F.); #25658=ORIENTED_EDGE('',*,*,#18553,.F.); #25659=ORIENTED_EDGE('',*,*,#18554,.T.); #25660=ORIENTED_EDGE('',*,*,#18555,.F.); #25661=ORIENTED_EDGE('',*,*,#18554,.F.); #25662=ORIENTED_EDGE('',*,*,#18556,.F.); #25663=ORIENTED_EDGE('',*,*,#18557,.T.); #25664=ORIENTED_EDGE('',*,*,#18558,.F.); #25665=ORIENTED_EDGE('',*,*,#18557,.F.); #25666=ORIENTED_EDGE('',*,*,#18559,.F.); #25667=ORIENTED_EDGE('',*,*,#18560,.T.); #25668=ORIENTED_EDGE('',*,*,#18561,.F.); #25669=ORIENTED_EDGE('',*,*,#18560,.F.); #25670=ORIENTED_EDGE('',*,*,#18562,.F.); #25671=ORIENTED_EDGE('',*,*,#18563,.T.); #25672=ORIENTED_EDGE('',*,*,#18564,.F.); #25673=ORIENTED_EDGE('',*,*,#18563,.F.); #25674=ORIENTED_EDGE('',*,*,#18565,.F.); #25675=ORIENTED_EDGE('',*,*,#18566,.T.); #25676=ORIENTED_EDGE('',*,*,#18567,.F.); #25677=ORIENTED_EDGE('',*,*,#18566,.F.); #25678=ORIENTED_EDGE('',*,*,#18568,.F.); #25679=ORIENTED_EDGE('',*,*,#18569,.T.); #25680=ORIENTED_EDGE('',*,*,#18570,.F.); #25681=ORIENTED_EDGE('',*,*,#18569,.F.); #25682=ORIENTED_EDGE('',*,*,#18571,.F.); #25683=ORIENTED_EDGE('',*,*,#18572,.T.); #25684=ORIENTED_EDGE('',*,*,#18573,.F.); #25685=ORIENTED_EDGE('',*,*,#18572,.F.); #25686=ORIENTED_EDGE('',*,*,#18574,.F.); #25687=ORIENTED_EDGE('',*,*,#18575,.T.); #25688=ORIENTED_EDGE('',*,*,#18576,.F.); #25689=ORIENTED_EDGE('',*,*,#18575,.F.); #25690=ORIENTED_EDGE('',*,*,#18577,.F.); #25691=ORIENTED_EDGE('',*,*,#18578,.T.); #25692=ORIENTED_EDGE('',*,*,#18579,.F.); #25693=ORIENTED_EDGE('',*,*,#18578,.F.); #25694=ORIENTED_EDGE('',*,*,#18580,.F.); #25695=ORIENTED_EDGE('',*,*,#18581,.T.); #25696=ORIENTED_EDGE('',*,*,#18582,.F.); #25697=ORIENTED_EDGE('',*,*,#18581,.F.); #25698=ORIENTED_EDGE('',*,*,#18583,.F.); #25699=ORIENTED_EDGE('',*,*,#18584,.T.); #25700=ORIENTED_EDGE('',*,*,#18585,.F.); #25701=ORIENTED_EDGE('',*,*,#18584,.F.); #25702=ORIENTED_EDGE('',*,*,#18586,.F.); #25703=ORIENTED_EDGE('',*,*,#18587,.T.); #25704=ORIENTED_EDGE('',*,*,#18588,.F.); #25705=ORIENTED_EDGE('',*,*,#18587,.F.); #25706=ORIENTED_EDGE('',*,*,#18589,.F.); #25707=ORIENTED_EDGE('',*,*,#18590,.T.); #25708=ORIENTED_EDGE('',*,*,#18591,.F.); #25709=ORIENTED_EDGE('',*,*,#18590,.F.); #25710=ORIENTED_EDGE('',*,*,#18592,.F.); #25711=ORIENTED_EDGE('',*,*,#18593,.T.); #25712=ORIENTED_EDGE('',*,*,#18594,.F.); #25713=ORIENTED_EDGE('',*,*,#18593,.F.); #25714=ORIENTED_EDGE('',*,*,#18595,.F.); #25715=ORIENTED_EDGE('',*,*,#18596,.T.); #25716=ORIENTED_EDGE('',*,*,#18597,.F.); #25717=ORIENTED_EDGE('',*,*,#18596,.F.); #25718=ORIENTED_EDGE('',*,*,#18598,.F.); #25719=ORIENTED_EDGE('',*,*,#18599,.T.); #25720=ORIENTED_EDGE('',*,*,#18600,.F.); #25721=ORIENTED_EDGE('',*,*,#18599,.F.); #25722=ORIENTED_EDGE('',*,*,#18601,.F.); #25723=ORIENTED_EDGE('',*,*,#18602,.T.); #25724=ORIENTED_EDGE('',*,*,#18603,.F.); #25725=ORIENTED_EDGE('',*,*,#18602,.F.); #25726=ORIENTED_EDGE('',*,*,#18604,.F.); #25727=ORIENTED_EDGE('',*,*,#18605,.T.); #25728=ORIENTED_EDGE('',*,*,#18606,.F.); #25729=ORIENTED_EDGE('',*,*,#18605,.F.); #25730=ORIENTED_EDGE('',*,*,#18607,.F.); #25731=ORIENTED_EDGE('',*,*,#18608,.T.); #25732=ORIENTED_EDGE('',*,*,#18609,.F.); #25733=ORIENTED_EDGE('',*,*,#18608,.F.); #25734=ORIENTED_EDGE('',*,*,#18610,.F.); #25735=ORIENTED_EDGE('',*,*,#18611,.T.); #25736=ORIENTED_EDGE('',*,*,#18612,.F.); #25737=ORIENTED_EDGE('',*,*,#18611,.F.); #25738=ORIENTED_EDGE('',*,*,#18613,.F.); #25739=ORIENTED_EDGE('',*,*,#18614,.T.); #25740=ORIENTED_EDGE('',*,*,#18615,.F.); #25741=ORIENTED_EDGE('',*,*,#18614,.F.); #25742=ORIENTED_EDGE('',*,*,#18616,.F.); #25743=ORIENTED_EDGE('',*,*,#18617,.T.); #25744=ORIENTED_EDGE('',*,*,#18618,.F.); #25745=ORIENTED_EDGE('',*,*,#18617,.F.); #25746=ORIENTED_EDGE('',*,*,#18619,.F.); #25747=ORIENTED_EDGE('',*,*,#18620,.T.); #25748=ORIENTED_EDGE('',*,*,#18621,.F.); #25749=ORIENTED_EDGE('',*,*,#18620,.F.); #25750=ORIENTED_EDGE('',*,*,#18622,.F.); #25751=ORIENTED_EDGE('',*,*,#18623,.T.); #25752=ORIENTED_EDGE('',*,*,#18624,.F.); #25753=ORIENTED_EDGE('',*,*,#18623,.F.); #25754=ORIENTED_EDGE('',*,*,#18625,.F.); #25755=ORIENTED_EDGE('',*,*,#18626,.T.); #25756=ORIENTED_EDGE('',*,*,#18627,.F.); #25757=ORIENTED_EDGE('',*,*,#18626,.F.); #25758=ORIENTED_EDGE('',*,*,#18628,.F.); #25759=ORIENTED_EDGE('',*,*,#18629,.T.); #25760=ORIENTED_EDGE('',*,*,#18630,.F.); #25761=ORIENTED_EDGE('',*,*,#18629,.F.); #25762=ORIENTED_EDGE('',*,*,#18631,.F.); #25763=ORIENTED_EDGE('',*,*,#18632,.T.); #25764=ORIENTED_EDGE('',*,*,#18633,.F.); #25765=ORIENTED_EDGE('',*,*,#18632,.F.); #25766=ORIENTED_EDGE('',*,*,#18634,.F.); #25767=ORIENTED_EDGE('',*,*,#18635,.T.); #25768=ORIENTED_EDGE('',*,*,#18636,.F.); #25769=ORIENTED_EDGE('',*,*,#18635,.F.); #25770=ORIENTED_EDGE('',*,*,#18637,.F.); #25771=ORIENTED_EDGE('',*,*,#18638,.T.); #25772=ORIENTED_EDGE('',*,*,#18639,.F.); #25773=ORIENTED_EDGE('',*,*,#18638,.F.); #25774=ORIENTED_EDGE('',*,*,#18640,.F.); #25775=ORIENTED_EDGE('',*,*,#18641,.T.); #25776=ORIENTED_EDGE('',*,*,#18642,.F.); #25777=ORIENTED_EDGE('',*,*,#18641,.F.); #25778=ORIENTED_EDGE('',*,*,#18643,.F.); #25779=ORIENTED_EDGE('',*,*,#18644,.T.); #25780=ORIENTED_EDGE('',*,*,#18645,.F.); #25781=ORIENTED_EDGE('',*,*,#18644,.F.); #25782=ORIENTED_EDGE('',*,*,#18646,.F.); #25783=ORIENTED_EDGE('',*,*,#18647,.T.); #25784=ORIENTED_EDGE('',*,*,#18648,.F.); #25785=ORIENTED_EDGE('',*,*,#18647,.F.); #25786=ORIENTED_EDGE('',*,*,#18649,.F.); #25787=ORIENTED_EDGE('',*,*,#18650,.T.); #25788=ORIENTED_EDGE('',*,*,#18651,.F.); #25789=ORIENTED_EDGE('',*,*,#18650,.F.); #25790=ORIENTED_EDGE('',*,*,#18652,.F.); #25791=ORIENTED_EDGE('',*,*,#18653,.T.); #25792=ORIENTED_EDGE('',*,*,#18654,.F.); #25793=ORIENTED_EDGE('',*,*,#18653,.F.); #25794=ORIENTED_EDGE('',*,*,#18655,.F.); #25795=ORIENTED_EDGE('',*,*,#18656,.T.); #25796=ORIENTED_EDGE('',*,*,#18657,.F.); #25797=ORIENTED_EDGE('',*,*,#18656,.F.); #25798=ORIENTED_EDGE('',*,*,#18658,.F.); #25799=ORIENTED_EDGE('',*,*,#18659,.T.); #25800=ORIENTED_EDGE('',*,*,#18660,.F.); #25801=ORIENTED_EDGE('',*,*,#18659,.F.); #25802=ORIENTED_EDGE('',*,*,#18661,.F.); #25803=ORIENTED_EDGE('',*,*,#18662,.T.); #25804=ORIENTED_EDGE('',*,*,#18663,.F.); #25805=ORIENTED_EDGE('',*,*,#18662,.F.); #25806=ORIENTED_EDGE('',*,*,#18664,.F.); #25807=ORIENTED_EDGE('',*,*,#18665,.T.); #25808=ORIENTED_EDGE('',*,*,#18666,.F.); #25809=ORIENTED_EDGE('',*,*,#18665,.F.); #25810=ORIENTED_EDGE('',*,*,#18667,.F.); #25811=ORIENTED_EDGE('',*,*,#18668,.T.); #25812=ORIENTED_EDGE('',*,*,#18669,.F.); #25813=ORIENTED_EDGE('',*,*,#18668,.F.); #25814=ORIENTED_EDGE('',*,*,#18670,.F.); #25815=ORIENTED_EDGE('',*,*,#18671,.T.); #25816=ORIENTED_EDGE('',*,*,#18672,.F.); #25817=ORIENTED_EDGE('',*,*,#18671,.F.); #25818=ORIENTED_EDGE('',*,*,#18673,.F.); #25819=ORIENTED_EDGE('',*,*,#18674,.T.); #25820=ORIENTED_EDGE('',*,*,#18675,.F.); #25821=ORIENTED_EDGE('',*,*,#18674,.F.); #25822=ORIENTED_EDGE('',*,*,#18676,.F.); #25823=ORIENTED_EDGE('',*,*,#18677,.T.); #25824=ORIENTED_EDGE('',*,*,#18678,.F.); #25825=ORIENTED_EDGE('',*,*,#18677,.F.); #25826=ORIENTED_EDGE('',*,*,#18679,.F.); #25827=ORIENTED_EDGE('',*,*,#18680,.T.); #25828=ORIENTED_EDGE('',*,*,#18681,.F.); #25829=ORIENTED_EDGE('',*,*,#18680,.F.); #25830=ORIENTED_EDGE('',*,*,#18682,.F.); #25831=ORIENTED_EDGE('',*,*,#18683,.T.); #25832=ORIENTED_EDGE('',*,*,#18684,.F.); #25833=ORIENTED_EDGE('',*,*,#18683,.F.); #25834=ORIENTED_EDGE('',*,*,#18685,.F.); #25835=ORIENTED_EDGE('',*,*,#18686,.T.); #25836=ORIENTED_EDGE('',*,*,#18687,.F.); #25837=ORIENTED_EDGE('',*,*,#18686,.F.); #25838=ORIENTED_EDGE('',*,*,#18688,.F.); #25839=ORIENTED_EDGE('',*,*,#18689,.T.); #25840=ORIENTED_EDGE('',*,*,#18690,.F.); #25841=ORIENTED_EDGE('',*,*,#18689,.F.); #25842=ORIENTED_EDGE('',*,*,#18691,.F.); #25843=ORIENTED_EDGE('',*,*,#18692,.T.); #25844=ORIENTED_EDGE('',*,*,#18693,.F.); #25845=ORIENTED_EDGE('',*,*,#18692,.F.); #25846=ORIENTED_EDGE('',*,*,#18694,.F.); #25847=ORIENTED_EDGE('',*,*,#18546,.T.); #25848=ORIENTED_EDGE('',*,*,#18676,.T.); #25849=ORIENTED_EDGE('',*,*,#18673,.T.); #25850=ORIENTED_EDGE('',*,*,#18670,.T.); #25851=ORIENTED_EDGE('',*,*,#18667,.T.); #25852=ORIENTED_EDGE('',*,*,#18664,.T.); #25853=ORIENTED_EDGE('',*,*,#18661,.T.); #25854=ORIENTED_EDGE('',*,*,#18658,.T.); #25855=ORIENTED_EDGE('',*,*,#18655,.T.); #25856=ORIENTED_EDGE('',*,*,#18652,.T.); #25857=ORIENTED_EDGE('',*,*,#18649,.T.); #25858=ORIENTED_EDGE('',*,*,#18646,.T.); #25859=ORIENTED_EDGE('',*,*,#18643,.T.); #25860=ORIENTED_EDGE('',*,*,#18640,.T.); #25861=ORIENTED_EDGE('',*,*,#18637,.T.); #25862=ORIENTED_EDGE('',*,*,#18634,.T.); #25863=ORIENTED_EDGE('',*,*,#18631,.T.); #25864=ORIENTED_EDGE('',*,*,#18628,.T.); #25865=ORIENTED_EDGE('',*,*,#18625,.T.); #25866=ORIENTED_EDGE('',*,*,#18622,.T.); #25867=ORIENTED_EDGE('',*,*,#18619,.T.); #25868=ORIENTED_EDGE('',*,*,#18616,.T.); #25869=ORIENTED_EDGE('',*,*,#18613,.T.); #25870=ORIENTED_EDGE('',*,*,#18610,.T.); #25871=ORIENTED_EDGE('',*,*,#18607,.T.); #25872=ORIENTED_EDGE('',*,*,#18604,.T.); #25873=ORIENTED_EDGE('',*,*,#18601,.T.); #25874=ORIENTED_EDGE('',*,*,#18598,.T.); #25875=ORIENTED_EDGE('',*,*,#18595,.T.); #25876=ORIENTED_EDGE('',*,*,#18592,.T.); #25877=ORIENTED_EDGE('',*,*,#18589,.T.); #25878=ORIENTED_EDGE('',*,*,#18586,.T.); #25879=ORIENTED_EDGE('',*,*,#18583,.T.); #25880=ORIENTED_EDGE('',*,*,#18580,.T.); #25881=ORIENTED_EDGE('',*,*,#18577,.T.); #25882=ORIENTED_EDGE('',*,*,#18574,.T.); #25883=ORIENTED_EDGE('',*,*,#18571,.T.); #25884=ORIENTED_EDGE('',*,*,#18568,.T.); #25885=ORIENTED_EDGE('',*,*,#18565,.T.); #25886=ORIENTED_EDGE('',*,*,#18562,.T.); #25887=ORIENTED_EDGE('',*,*,#18559,.T.); #25888=ORIENTED_EDGE('',*,*,#18556,.T.); #25889=ORIENTED_EDGE('',*,*,#18553,.T.); #25890=ORIENTED_EDGE('',*,*,#18550,.T.); #25891=ORIENTED_EDGE('',*,*,#18547,.T.); #25892=ORIENTED_EDGE('',*,*,#18694,.T.); #25893=ORIENTED_EDGE('',*,*,#18691,.T.); #25894=ORIENTED_EDGE('',*,*,#18688,.T.); #25895=ORIENTED_EDGE('',*,*,#18685,.T.); #25896=ORIENTED_EDGE('',*,*,#18682,.T.); #25897=ORIENTED_EDGE('',*,*,#18679,.T.); #25898=ORIENTED_EDGE('',*,*,#18695,.F.); #25899=ORIENTED_EDGE('',*,*,#18696,.F.); #25900=ORIENTED_EDGE('',*,*,#18697,.F.); #25901=ORIENTED_EDGE('',*,*,#18698,.T.); #25902=ORIENTED_EDGE('',*,*,#18699,.F.); #25903=ORIENTED_EDGE('',*,*,#18698,.F.); #25904=ORIENTED_EDGE('',*,*,#18700,.F.); #25905=ORIENTED_EDGE('',*,*,#18701,.T.); #25906=ORIENTED_EDGE('',*,*,#18702,.F.); #25907=ORIENTED_EDGE('',*,*,#18701,.F.); #25908=ORIENTED_EDGE('',*,*,#18703,.F.); #25909=ORIENTED_EDGE('',*,*,#18704,.T.); #25910=ORIENTED_EDGE('',*,*,#18705,.F.); #25911=ORIENTED_EDGE('',*,*,#18704,.F.); #25912=ORIENTED_EDGE('',*,*,#18706,.F.); #25913=ORIENTED_EDGE('',*,*,#18707,.T.); #25914=ORIENTED_EDGE('',*,*,#18708,.F.); #25915=ORIENTED_EDGE('',*,*,#18707,.F.); #25916=ORIENTED_EDGE('',*,*,#18709,.F.); #25917=ORIENTED_EDGE('',*,*,#18710,.T.); #25918=ORIENTED_EDGE('',*,*,#18711,.F.); #25919=ORIENTED_EDGE('',*,*,#18710,.F.); #25920=ORIENTED_EDGE('',*,*,#18712,.F.); #25921=ORIENTED_EDGE('',*,*,#18713,.T.); #25922=ORIENTED_EDGE('',*,*,#18714,.F.); #25923=ORIENTED_EDGE('',*,*,#18713,.F.); #25924=ORIENTED_EDGE('',*,*,#18715,.F.); #25925=ORIENTED_EDGE('',*,*,#18716,.T.); #25926=ORIENTED_EDGE('',*,*,#18717,.F.); #25927=ORIENTED_EDGE('',*,*,#18716,.F.); #25928=ORIENTED_EDGE('',*,*,#18718,.F.); #25929=ORIENTED_EDGE('',*,*,#18719,.T.); #25930=ORIENTED_EDGE('',*,*,#18720,.F.); #25931=ORIENTED_EDGE('',*,*,#18721,.F.); #25932=ORIENTED_EDGE('',*,*,#18722,.F.); #25933=ORIENTED_EDGE('',*,*,#18723,.T.); #25934=ORIENTED_EDGE('',*,*,#18724,.F.); #25935=ORIENTED_EDGE('',*,*,#18723,.F.); #25936=ORIENTED_EDGE('',*,*,#18725,.F.); #25937=ORIENTED_EDGE('',*,*,#18726,.T.); #25938=ORIENTED_EDGE('',*,*,#18727,.F.); #25939=ORIENTED_EDGE('',*,*,#18726,.F.); #25940=ORIENTED_EDGE('',*,*,#18728,.F.); #25941=ORIENTED_EDGE('',*,*,#18729,.T.); #25942=ORIENTED_EDGE('',*,*,#18730,.F.); #25943=ORIENTED_EDGE('',*,*,#18729,.F.); #25944=ORIENTED_EDGE('',*,*,#18731,.F.); #25945=ORIENTED_EDGE('',*,*,#18732,.T.); #25946=ORIENTED_EDGE('',*,*,#18733,.F.); #25947=ORIENTED_EDGE('',*,*,#18732,.F.); #25948=ORIENTED_EDGE('',*,*,#18734,.F.); #25949=ORIENTED_EDGE('',*,*,#18735,.T.); #25950=ORIENTED_EDGE('',*,*,#18736,.F.); #25951=ORIENTED_EDGE('',*,*,#18735,.F.); #25952=ORIENTED_EDGE('',*,*,#18737,.F.); #25953=ORIENTED_EDGE('',*,*,#18738,.T.); #25954=ORIENTED_EDGE('',*,*,#18739,.F.); #25955=ORIENTED_EDGE('',*,*,#18738,.F.); #25956=ORIENTED_EDGE('',*,*,#18740,.F.); #25957=ORIENTED_EDGE('',*,*,#18741,.T.); #25958=ORIENTED_EDGE('',*,*,#18742,.F.); #25959=ORIENTED_EDGE('',*,*,#18741,.F.); #25960=ORIENTED_EDGE('',*,*,#18743,.F.); #25961=ORIENTED_EDGE('',*,*,#18744,.T.); #25962=ORIENTED_EDGE('',*,*,#18745,.F.); #25963=ORIENTED_EDGE('',*,*,#18746,.F.); #25964=ORIENTED_EDGE('',*,*,#18747,.F.); #25965=ORIENTED_EDGE('',*,*,#18748,.T.); #25966=ORIENTED_EDGE('',*,*,#18749,.F.); #25967=ORIENTED_EDGE('',*,*,#18748,.F.); #25968=ORIENTED_EDGE('',*,*,#18750,.F.); #25969=ORIENTED_EDGE('',*,*,#18751,.T.); #25970=ORIENTED_EDGE('',*,*,#18752,.F.); #25971=ORIENTED_EDGE('',*,*,#18751,.F.); #25972=ORIENTED_EDGE('',*,*,#18753,.F.); #25973=ORIENTED_EDGE('',*,*,#18754,.T.); #25974=ORIENTED_EDGE('',*,*,#18755,.F.); #25975=ORIENTED_EDGE('',*,*,#18754,.F.); #25976=ORIENTED_EDGE('',*,*,#18756,.F.); #25977=ORIENTED_EDGE('',*,*,#18757,.T.); #25978=ORIENTED_EDGE('',*,*,#18758,.F.); #25979=ORIENTED_EDGE('',*,*,#18757,.F.); #25980=ORIENTED_EDGE('',*,*,#18759,.F.); #25981=ORIENTED_EDGE('',*,*,#18760,.T.); #25982=ORIENTED_EDGE('',*,*,#18761,.F.); #25983=ORIENTED_EDGE('',*,*,#18760,.F.); #25984=ORIENTED_EDGE('',*,*,#18762,.F.); #25985=ORIENTED_EDGE('',*,*,#18763,.T.); #25986=ORIENTED_EDGE('',*,*,#18764,.F.); #25987=ORIENTED_EDGE('',*,*,#18763,.F.); #25988=ORIENTED_EDGE('',*,*,#18765,.F.); #25989=ORIENTED_EDGE('',*,*,#18766,.T.); #25990=ORIENTED_EDGE('',*,*,#18767,.F.); #25991=ORIENTED_EDGE('',*,*,#18766,.F.); #25992=ORIENTED_EDGE('',*,*,#18768,.F.); #25993=ORIENTED_EDGE('',*,*,#18769,.T.); #25994=ORIENTED_EDGE('',*,*,#18770,.F.); #25995=ORIENTED_EDGE('',*,*,#18769,.F.); #25996=ORIENTED_EDGE('',*,*,#18771,.F.); #25997=ORIENTED_EDGE('',*,*,#18772,.T.); #25998=ORIENTED_EDGE('',*,*,#18773,.F.); #25999=ORIENTED_EDGE('',*,*,#18772,.F.); #26000=ORIENTED_EDGE('',*,*,#18774,.F.); #26001=ORIENTED_EDGE('',*,*,#18775,.T.); #26002=ORIENTED_EDGE('',*,*,#18776,.F.); #26003=ORIENTED_EDGE('',*,*,#18775,.F.); #26004=ORIENTED_EDGE('',*,*,#18777,.F.); #26005=ORIENTED_EDGE('',*,*,#18778,.T.); #26006=ORIENTED_EDGE('',*,*,#18779,.F.); #26007=ORIENTED_EDGE('',*,*,#18778,.F.); #26008=ORIENTED_EDGE('',*,*,#18780,.F.); #26009=ORIENTED_EDGE('',*,*,#18781,.T.); #26010=ORIENTED_EDGE('',*,*,#18782,.F.); #26011=ORIENTED_EDGE('',*,*,#18781,.F.); #26012=ORIENTED_EDGE('',*,*,#18783,.F.); #26013=ORIENTED_EDGE('',*,*,#18784,.T.); #26014=ORIENTED_EDGE('',*,*,#18785,.F.); #26015=ORIENTED_EDGE('',*,*,#18784,.F.); #26016=ORIENTED_EDGE('',*,*,#18786,.F.); #26017=ORIENTED_EDGE('',*,*,#18787,.T.); #26018=ORIENTED_EDGE('',*,*,#18788,.F.); #26019=ORIENTED_EDGE('',*,*,#18787,.F.); #26020=ORIENTED_EDGE('',*,*,#18789,.F.); #26021=ORIENTED_EDGE('',*,*,#18790,.T.); #26022=ORIENTED_EDGE('',*,*,#18791,.F.); #26023=ORIENTED_EDGE('',*,*,#18790,.F.); #26024=ORIENTED_EDGE('',*,*,#18792,.F.); #26025=ORIENTED_EDGE('',*,*,#18793,.T.); #26026=ORIENTED_EDGE('',*,*,#18794,.F.); #26027=ORIENTED_EDGE('',*,*,#18793,.F.); #26028=ORIENTED_EDGE('',*,*,#18795,.F.); #26029=ORIENTED_EDGE('',*,*,#18796,.T.); #26030=ORIENTED_EDGE('',*,*,#18797,.F.); #26031=ORIENTED_EDGE('',*,*,#18796,.F.); #26032=ORIENTED_EDGE('',*,*,#18798,.F.); #26033=ORIENTED_EDGE('',*,*,#18799,.T.); #26034=ORIENTED_EDGE('',*,*,#18800,.F.); #26035=ORIENTED_EDGE('',*,*,#18799,.F.); #26036=ORIENTED_EDGE('',*,*,#18801,.F.); #26037=ORIENTED_EDGE('',*,*,#18802,.T.); #26038=ORIENTED_EDGE('',*,*,#18803,.F.); #26039=ORIENTED_EDGE('',*,*,#18802,.F.); #26040=ORIENTED_EDGE('',*,*,#18804,.F.); #26041=ORIENTED_EDGE('',*,*,#18805,.T.); #26042=ORIENTED_EDGE('',*,*,#18806,.F.); #26043=ORIENTED_EDGE('',*,*,#18805,.F.); #26044=ORIENTED_EDGE('',*,*,#18807,.F.); #26045=ORIENTED_EDGE('',*,*,#18808,.T.); #26046=ORIENTED_EDGE('',*,*,#18809,.F.); #26047=ORIENTED_EDGE('',*,*,#18808,.F.); #26048=ORIENTED_EDGE('',*,*,#18810,.F.); #26049=ORIENTED_EDGE('',*,*,#18811,.T.); #26050=ORIENTED_EDGE('',*,*,#18812,.F.); #26051=ORIENTED_EDGE('',*,*,#18811,.F.); #26052=ORIENTED_EDGE('',*,*,#18813,.F.); #26053=ORIENTED_EDGE('',*,*,#18814,.T.); #26054=ORIENTED_EDGE('',*,*,#18815,.F.); #26055=ORIENTED_EDGE('',*,*,#18814,.F.); #26056=ORIENTED_EDGE('',*,*,#18816,.F.); #26057=ORIENTED_EDGE('',*,*,#18817,.T.); #26058=ORIENTED_EDGE('',*,*,#18818,.F.); #26059=ORIENTED_EDGE('',*,*,#18819,.F.); #26060=ORIENTED_EDGE('',*,*,#18820,.F.); #26061=ORIENTED_EDGE('',*,*,#18821,.T.); #26062=ORIENTED_EDGE('',*,*,#18822,.F.); #26063=ORIENTED_EDGE('',*,*,#18821,.F.); #26064=ORIENTED_EDGE('',*,*,#18823,.F.); #26065=ORIENTED_EDGE('',*,*,#18824,.T.); #26066=ORIENTED_EDGE('',*,*,#18825,.F.); #26067=ORIENTED_EDGE('',*,*,#18824,.F.); #26068=ORIENTED_EDGE('',*,*,#18826,.F.); #26069=ORIENTED_EDGE('',*,*,#18827,.T.); #26070=ORIENTED_EDGE('',*,*,#18828,.F.); #26071=ORIENTED_EDGE('',*,*,#18827,.F.); #26072=ORIENTED_EDGE('',*,*,#18829,.F.); #26073=ORIENTED_EDGE('',*,*,#18830,.T.); #26074=ORIENTED_EDGE('',*,*,#18831,.F.); #26075=ORIENTED_EDGE('',*,*,#18830,.F.); #26076=ORIENTED_EDGE('',*,*,#18832,.F.); #26077=ORIENTED_EDGE('',*,*,#18833,.T.); #26078=ORIENTED_EDGE('',*,*,#18834,.F.); #26079=ORIENTED_EDGE('',*,*,#18719,.F.); #26080=ORIENTED_EDGE('',*,*,#18835,.F.); #26081=ORIENTED_EDGE('',*,*,#18836,.T.); #26082=ORIENTED_EDGE('',*,*,#18837,.F.); #26083=ORIENTED_EDGE('',*,*,#18836,.F.); #26084=ORIENTED_EDGE('',*,*,#18838,.F.); #26085=ORIENTED_EDGE('',*,*,#18839,.T.); #26086=ORIENTED_EDGE('',*,*,#18840,.F.); #26087=ORIENTED_EDGE('',*,*,#18839,.F.); #26088=ORIENTED_EDGE('',*,*,#18841,.F.); #26089=ORIENTED_EDGE('',*,*,#18842,.T.); #26090=ORIENTED_EDGE('',*,*,#18843,.F.); #26091=ORIENTED_EDGE('',*,*,#18842,.F.); #26092=ORIENTED_EDGE('',*,*,#18844,.F.); #26093=ORIENTED_EDGE('',*,*,#18845,.T.); #26094=ORIENTED_EDGE('',*,*,#18846,.F.); #26095=ORIENTED_EDGE('',*,*,#18845,.F.); #26096=ORIENTED_EDGE('',*,*,#18847,.F.); #26097=ORIENTED_EDGE('',*,*,#18848,.T.); #26098=ORIENTED_EDGE('',*,*,#18849,.F.); #26099=ORIENTED_EDGE('',*,*,#18848,.F.); #26100=ORIENTED_EDGE('',*,*,#18850,.F.); #26101=ORIENTED_EDGE('',*,*,#18851,.T.); #26102=ORIENTED_EDGE('',*,*,#18852,.F.); #26103=ORIENTED_EDGE('',*,*,#18851,.F.); #26104=ORIENTED_EDGE('',*,*,#18853,.F.); #26105=ORIENTED_EDGE('',*,*,#18854,.T.); #26106=ORIENTED_EDGE('',*,*,#18855,.F.); #26107=ORIENTED_EDGE('',*,*,#18854,.F.); #26108=ORIENTED_EDGE('',*,*,#18856,.F.); #26109=ORIENTED_EDGE('',*,*,#18696,.T.); #26110=ORIENTED_EDGE('',*,*,#18857,.F.); #26111=ORIENTED_EDGE('',*,*,#18858,.F.); #26112=ORIENTED_EDGE('',*,*,#18859,.F.); #26113=ORIENTED_EDGE('',*,*,#18819,.T.); #26114=ORIENTED_EDGE('',*,*,#18860,.F.); #26115=ORIENTED_EDGE('',*,*,#18861,.F.); #26116=ORIENTED_EDGE('',*,*,#18862,.F.); #26117=ORIENTED_EDGE('',*,*,#18863,.T.); #26118=ORIENTED_EDGE('',*,*,#18864,.F.); #26119=ORIENTED_EDGE('',*,*,#18863,.F.); #26120=ORIENTED_EDGE('',*,*,#18865,.F.); #26121=ORIENTED_EDGE('',*,*,#18866,.T.); #26122=ORIENTED_EDGE('',*,*,#18867,.F.); #26123=ORIENTED_EDGE('',*,*,#18866,.F.); #26124=ORIENTED_EDGE('',*,*,#18868,.F.); #26125=ORIENTED_EDGE('',*,*,#18869,.T.); #26126=ORIENTED_EDGE('',*,*,#18870,.F.); #26127=ORIENTED_EDGE('',*,*,#18869,.F.); #26128=ORIENTED_EDGE('',*,*,#18871,.F.); #26129=ORIENTED_EDGE('',*,*,#18872,.T.); #26130=ORIENTED_EDGE('',*,*,#18873,.F.); #26131=ORIENTED_EDGE('',*,*,#18872,.F.); #26132=ORIENTED_EDGE('',*,*,#18874,.F.); #26133=ORIENTED_EDGE('',*,*,#18875,.T.); #26134=ORIENTED_EDGE('',*,*,#18876,.F.); #26135=ORIENTED_EDGE('',*,*,#18875,.F.); #26136=ORIENTED_EDGE('',*,*,#18877,.F.); #26137=ORIENTED_EDGE('',*,*,#18878,.T.); #26138=ORIENTED_EDGE('',*,*,#18879,.F.); #26139=ORIENTED_EDGE('',*,*,#18878,.F.); #26140=ORIENTED_EDGE('',*,*,#18880,.F.); #26141=ORIENTED_EDGE('',*,*,#18881,.T.); #26142=ORIENTED_EDGE('',*,*,#18882,.F.); #26143=ORIENTED_EDGE('',*,*,#18881,.F.); #26144=ORIENTED_EDGE('',*,*,#18883,.F.); #26145=ORIENTED_EDGE('',*,*,#18746,.T.); #26146=ORIENTED_EDGE('',*,*,#18884,.F.); #26147=ORIENTED_EDGE('',*,*,#18744,.F.); #26148=ORIENTED_EDGE('',*,*,#18885,.F.); #26149=ORIENTED_EDGE('',*,*,#18886,.T.); #26150=ORIENTED_EDGE('',*,*,#18887,.F.); #26151=ORIENTED_EDGE('',*,*,#18886,.F.); #26152=ORIENTED_EDGE('',*,*,#18888,.F.); #26153=ORIENTED_EDGE('',*,*,#18889,.T.); #26154=ORIENTED_EDGE('',*,*,#18890,.F.); #26155=ORIENTED_EDGE('',*,*,#18889,.F.); #26156=ORIENTED_EDGE('',*,*,#18891,.F.); #26157=ORIENTED_EDGE('',*,*,#18892,.T.); #26158=ORIENTED_EDGE('',*,*,#18893,.F.); #26159=ORIENTED_EDGE('',*,*,#18892,.F.); #26160=ORIENTED_EDGE('',*,*,#18894,.F.); #26161=ORIENTED_EDGE('',*,*,#18895,.T.); #26162=ORIENTED_EDGE('',*,*,#18896,.F.); #26163=ORIENTED_EDGE('',*,*,#18895,.F.); #26164=ORIENTED_EDGE('',*,*,#18897,.F.); #26165=ORIENTED_EDGE('',*,*,#18898,.T.); #26166=ORIENTED_EDGE('',*,*,#18899,.F.); #26167=ORIENTED_EDGE('',*,*,#18898,.F.); #26168=ORIENTED_EDGE('',*,*,#18900,.F.); #26169=ORIENTED_EDGE('',*,*,#18901,.T.); #26170=ORIENTED_EDGE('',*,*,#18902,.F.); #26171=ORIENTED_EDGE('',*,*,#18901,.F.); #26172=ORIENTED_EDGE('',*,*,#18903,.F.); #26173=ORIENTED_EDGE('',*,*,#18904,.T.); #26174=ORIENTED_EDGE('',*,*,#18905,.F.); #26175=ORIENTED_EDGE('',*,*,#18904,.F.); #26176=ORIENTED_EDGE('',*,*,#18906,.F.); #26177=ORIENTED_EDGE('',*,*,#18721,.T.); #26178=ORIENTED_EDGE('',*,*,#18907,.F.); #26179=ORIENTED_EDGE('',*,*,#18908,.F.); #26180=ORIENTED_EDGE('',*,*,#18909,.F.); #26181=ORIENTED_EDGE('',*,*,#18910,.T.); #26182=ORIENTED_EDGE('',*,*,#18911,.F.); #26183=ORIENTED_EDGE('',*,*,#18910,.F.); #26184=ORIENTED_EDGE('',*,*,#18912,.F.); #26185=ORIENTED_EDGE('',*,*,#18913,.T.); #26186=ORIENTED_EDGE('',*,*,#18914,.F.); #26187=ORIENTED_EDGE('',*,*,#18913,.F.); #26188=ORIENTED_EDGE('',*,*,#18915,.F.); #26189=ORIENTED_EDGE('',*,*,#18916,.T.); #26190=ORIENTED_EDGE('',*,*,#18917,.F.); #26191=ORIENTED_EDGE('',*,*,#18916,.F.); #26192=ORIENTED_EDGE('',*,*,#18918,.F.); #26193=ORIENTED_EDGE('',*,*,#18861,.T.); #26194=ORIENTED_EDGE('',*,*,#18919,.F.); #26195=ORIENTED_EDGE('',*,*,#18817,.F.); #26196=ORIENTED_EDGE('',*,*,#18920,.F.); #26197=ORIENTED_EDGE('',*,*,#18921,.T.); #26198=ORIENTED_EDGE('',*,*,#18922,.F.); #26199=ORIENTED_EDGE('',*,*,#18921,.F.); #26200=ORIENTED_EDGE('',*,*,#18923,.F.); #26201=ORIENTED_EDGE('',*,*,#18924,.T.); #26202=ORIENTED_EDGE('',*,*,#18925,.F.); #26203=ORIENTED_EDGE('',*,*,#18924,.F.); #26204=ORIENTED_EDGE('',*,*,#18926,.F.); #26205=ORIENTED_EDGE('',*,*,#18858,.T.); #26206=ORIENTED_EDGE('',*,*,#18927,.F.); #26207=ORIENTED_EDGE('',*,*,#18833,.F.); #26208=ORIENTED_EDGE('',*,*,#18928,.F.); #26209=ORIENTED_EDGE('',*,*,#18929,.T.); #26210=ORIENTED_EDGE('',*,*,#18930,.F.); #26211=ORIENTED_EDGE('',*,*,#18929,.F.); #26212=ORIENTED_EDGE('',*,*,#18931,.F.); #26213=ORIENTED_EDGE('',*,*,#18932,.T.); #26214=ORIENTED_EDGE('',*,*,#18933,.F.); #26215=ORIENTED_EDGE('',*,*,#18932,.F.); #26216=ORIENTED_EDGE('',*,*,#18934,.F.); #26217=ORIENTED_EDGE('',*,*,#18908,.T.); #26218=ORIENTED_EDGE('',*,*,#18928,.T.); #26219=ORIENTED_EDGE('',*,*,#18832,.T.); #26220=ORIENTED_EDGE('',*,*,#18829,.T.); #26221=ORIENTED_EDGE('',*,*,#18826,.T.); #26222=ORIENTED_EDGE('',*,*,#18823,.T.); #26223=ORIENTED_EDGE('',*,*,#18820,.T.); #26224=ORIENTED_EDGE('',*,*,#18859,.T.); #26225=ORIENTED_EDGE('',*,*,#18926,.T.); #26226=ORIENTED_EDGE('',*,*,#18923,.T.); #26227=ORIENTED_EDGE('',*,*,#18920,.T.); #26228=ORIENTED_EDGE('',*,*,#18816,.T.); #26229=ORIENTED_EDGE('',*,*,#18813,.T.); #26230=ORIENTED_EDGE('',*,*,#18810,.T.); #26231=ORIENTED_EDGE('',*,*,#18807,.T.); #26232=ORIENTED_EDGE('',*,*,#18804,.T.); #26233=ORIENTED_EDGE('',*,*,#18801,.T.); #26234=ORIENTED_EDGE('',*,*,#18798,.T.); #26235=ORIENTED_EDGE('',*,*,#18795,.T.); #26236=ORIENTED_EDGE('',*,*,#18792,.T.); #26237=ORIENTED_EDGE('',*,*,#18789,.T.); #26238=ORIENTED_EDGE('',*,*,#18786,.T.); #26239=ORIENTED_EDGE('',*,*,#18783,.T.); #26240=ORIENTED_EDGE('',*,*,#18780,.T.); #26241=ORIENTED_EDGE('',*,*,#18777,.T.); #26242=ORIENTED_EDGE('',*,*,#18774,.T.); #26243=ORIENTED_EDGE('',*,*,#18771,.T.); #26244=ORIENTED_EDGE('',*,*,#18768,.T.); #26245=ORIENTED_EDGE('',*,*,#18765,.T.); #26246=ORIENTED_EDGE('',*,*,#18762,.T.); #26247=ORIENTED_EDGE('',*,*,#18759,.T.); #26248=ORIENTED_EDGE('',*,*,#18756,.T.); #26249=ORIENTED_EDGE('',*,*,#18753,.T.); #26250=ORIENTED_EDGE('',*,*,#18750,.T.); #26251=ORIENTED_EDGE('',*,*,#18747,.T.); #26252=ORIENTED_EDGE('',*,*,#18883,.T.); #26253=ORIENTED_EDGE('',*,*,#18880,.T.); #26254=ORIENTED_EDGE('',*,*,#18877,.T.); #26255=ORIENTED_EDGE('',*,*,#18874,.T.); #26256=ORIENTED_EDGE('',*,*,#18871,.T.); #26257=ORIENTED_EDGE('',*,*,#18868,.T.); #26258=ORIENTED_EDGE('',*,*,#18865,.T.); #26259=ORIENTED_EDGE('',*,*,#18862,.T.); #26260=ORIENTED_EDGE('',*,*,#18918,.T.); #26261=ORIENTED_EDGE('',*,*,#18915,.T.); #26262=ORIENTED_EDGE('',*,*,#18912,.T.); #26263=ORIENTED_EDGE('',*,*,#18909,.T.); #26264=ORIENTED_EDGE('',*,*,#18934,.T.); #26265=ORIENTED_EDGE('',*,*,#18931,.T.); #26266=ORIENTED_EDGE('',*,*,#18835,.T.); #26267=ORIENTED_EDGE('',*,*,#18718,.T.); #26268=ORIENTED_EDGE('',*,*,#18715,.T.); #26269=ORIENTED_EDGE('',*,*,#18712,.T.); #26270=ORIENTED_EDGE('',*,*,#18709,.T.); #26271=ORIENTED_EDGE('',*,*,#18706,.T.); #26272=ORIENTED_EDGE('',*,*,#18703,.T.); #26273=ORIENTED_EDGE('',*,*,#18700,.T.); #26274=ORIENTED_EDGE('',*,*,#18697,.T.); #26275=ORIENTED_EDGE('',*,*,#18856,.T.); #26276=ORIENTED_EDGE('',*,*,#18853,.T.); #26277=ORIENTED_EDGE('',*,*,#18850,.T.); #26278=ORIENTED_EDGE('',*,*,#18847,.T.); #26279=ORIENTED_EDGE('',*,*,#18844,.T.); #26280=ORIENTED_EDGE('',*,*,#18841,.T.); #26281=ORIENTED_EDGE('',*,*,#18838,.T.); #26282=ORIENTED_EDGE('',*,*,#18885,.T.); #26283=ORIENTED_EDGE('',*,*,#18743,.T.); #26284=ORIENTED_EDGE('',*,*,#18740,.T.); #26285=ORIENTED_EDGE('',*,*,#18737,.T.); #26286=ORIENTED_EDGE('',*,*,#18734,.T.); #26287=ORIENTED_EDGE('',*,*,#18731,.T.); #26288=ORIENTED_EDGE('',*,*,#18728,.T.); #26289=ORIENTED_EDGE('',*,*,#18725,.T.); #26290=ORIENTED_EDGE('',*,*,#18722,.T.); #26291=ORIENTED_EDGE('',*,*,#18906,.T.); #26292=ORIENTED_EDGE('',*,*,#18903,.T.); #26293=ORIENTED_EDGE('',*,*,#18900,.T.); #26294=ORIENTED_EDGE('',*,*,#18897,.T.); #26295=ORIENTED_EDGE('',*,*,#18894,.T.); #26296=ORIENTED_EDGE('',*,*,#18891,.T.); #26297=ORIENTED_EDGE('',*,*,#18888,.T.); #26298=ORIENTED_EDGE('',*,*,#18935,.F.); #26299=ORIENTED_EDGE('',*,*,#18936,.F.); #26300=ORIENTED_EDGE('',*,*,#18937,.F.); #26301=ORIENTED_EDGE('',*,*,#18938,.T.); #26302=ORIENTED_EDGE('',*,*,#18939,.F.); #26303=ORIENTED_EDGE('',*,*,#18938,.F.); #26304=ORIENTED_EDGE('',*,*,#18940,.F.); #26305=ORIENTED_EDGE('',*,*,#18941,.T.); #26306=ORIENTED_EDGE('',*,*,#18942,.F.); #26307=ORIENTED_EDGE('',*,*,#18941,.F.); #26308=ORIENTED_EDGE('',*,*,#18943,.F.); #26309=ORIENTED_EDGE('',*,*,#18944,.T.); #26310=ORIENTED_EDGE('',*,*,#18945,.F.); #26311=ORIENTED_EDGE('',*,*,#18944,.F.); #26312=ORIENTED_EDGE('',*,*,#18946,.F.); #26313=ORIENTED_EDGE('',*,*,#18947,.T.); #26314=ORIENTED_EDGE('',*,*,#18948,.F.); #26315=ORIENTED_EDGE('',*,*,#18947,.F.); #26316=ORIENTED_EDGE('',*,*,#18949,.F.); #26317=ORIENTED_EDGE('',*,*,#18950,.T.); #26318=ORIENTED_EDGE('',*,*,#18951,.F.); #26319=ORIENTED_EDGE('',*,*,#18950,.F.); #26320=ORIENTED_EDGE('',*,*,#18952,.F.); #26321=ORIENTED_EDGE('',*,*,#18953,.T.); #26322=ORIENTED_EDGE('',*,*,#18954,.F.); #26323=ORIENTED_EDGE('',*,*,#18953,.F.); #26324=ORIENTED_EDGE('',*,*,#18955,.F.); #26325=ORIENTED_EDGE('',*,*,#18956,.T.); #26326=ORIENTED_EDGE('',*,*,#18957,.F.); #26327=ORIENTED_EDGE('',*,*,#18956,.F.); #26328=ORIENTED_EDGE('',*,*,#18958,.F.); #26329=ORIENTED_EDGE('',*,*,#18959,.T.); #26330=ORIENTED_EDGE('',*,*,#18960,.F.); #26331=ORIENTED_EDGE('',*,*,#18959,.F.); #26332=ORIENTED_EDGE('',*,*,#18961,.F.); #26333=ORIENTED_EDGE('',*,*,#18962,.T.); #26334=ORIENTED_EDGE('',*,*,#18963,.F.); #26335=ORIENTED_EDGE('',*,*,#18962,.F.); #26336=ORIENTED_EDGE('',*,*,#18964,.F.); #26337=ORIENTED_EDGE('',*,*,#18965,.T.); #26338=ORIENTED_EDGE('',*,*,#18966,.F.); #26339=ORIENTED_EDGE('',*,*,#18965,.F.); #26340=ORIENTED_EDGE('',*,*,#18967,.F.); #26341=ORIENTED_EDGE('',*,*,#18968,.T.); #26342=ORIENTED_EDGE('',*,*,#18969,.F.); #26343=ORIENTED_EDGE('',*,*,#18968,.F.); #26344=ORIENTED_EDGE('',*,*,#18970,.F.); #26345=ORIENTED_EDGE('',*,*,#18971,.T.); #26346=ORIENTED_EDGE('',*,*,#18972,.F.); #26347=ORIENTED_EDGE('',*,*,#18971,.F.); #26348=ORIENTED_EDGE('',*,*,#18973,.F.); #26349=ORIENTED_EDGE('',*,*,#18974,.T.); #26350=ORIENTED_EDGE('',*,*,#18975,.F.); #26351=ORIENTED_EDGE('',*,*,#18974,.F.); #26352=ORIENTED_EDGE('',*,*,#18976,.F.); #26353=ORIENTED_EDGE('',*,*,#18977,.T.); #26354=ORIENTED_EDGE('',*,*,#18978,.F.); #26355=ORIENTED_EDGE('',*,*,#18977,.F.); #26356=ORIENTED_EDGE('',*,*,#18979,.F.); #26357=ORIENTED_EDGE('',*,*,#18980,.T.); #26358=ORIENTED_EDGE('',*,*,#18981,.F.); #26359=ORIENTED_EDGE('',*,*,#18980,.F.); #26360=ORIENTED_EDGE('',*,*,#18982,.F.); #26361=ORIENTED_EDGE('',*,*,#18983,.T.); #26362=ORIENTED_EDGE('',*,*,#18984,.F.); #26363=ORIENTED_EDGE('',*,*,#18983,.F.); #26364=ORIENTED_EDGE('',*,*,#18985,.F.); #26365=ORIENTED_EDGE('',*,*,#18986,.T.); #26366=ORIENTED_EDGE('',*,*,#18987,.F.); #26367=ORIENTED_EDGE('',*,*,#18986,.F.); #26368=ORIENTED_EDGE('',*,*,#18988,.F.); #26369=ORIENTED_EDGE('',*,*,#18989,.T.); #26370=ORIENTED_EDGE('',*,*,#18990,.F.); #26371=ORIENTED_EDGE('',*,*,#18989,.F.); #26372=ORIENTED_EDGE('',*,*,#18991,.F.); #26373=ORIENTED_EDGE('',*,*,#18992,.T.); #26374=ORIENTED_EDGE('',*,*,#18993,.F.); #26375=ORIENTED_EDGE('',*,*,#18992,.F.); #26376=ORIENTED_EDGE('',*,*,#18994,.F.); #26377=ORIENTED_EDGE('',*,*,#18995,.T.); #26378=ORIENTED_EDGE('',*,*,#18996,.F.); #26379=ORIENTED_EDGE('',*,*,#18997,.F.); #26380=ORIENTED_EDGE('',*,*,#18998,.F.); #26381=ORIENTED_EDGE('',*,*,#18999,.T.); #26382=ORIENTED_EDGE('',*,*,#19000,.F.); #26383=ORIENTED_EDGE('',*,*,#18999,.F.); #26384=ORIENTED_EDGE('',*,*,#19001,.F.); #26385=ORIENTED_EDGE('',*,*,#19002,.T.); #26386=ORIENTED_EDGE('',*,*,#19003,.F.); #26387=ORIENTED_EDGE('',*,*,#19002,.F.); #26388=ORIENTED_EDGE('',*,*,#19004,.F.); #26389=ORIENTED_EDGE('',*,*,#19005,.T.); #26390=ORIENTED_EDGE('',*,*,#19006,.F.); #26391=ORIENTED_EDGE('',*,*,#19005,.F.); #26392=ORIENTED_EDGE('',*,*,#19007,.F.); #26393=ORIENTED_EDGE('',*,*,#19008,.T.); #26394=ORIENTED_EDGE('',*,*,#19009,.F.); #26395=ORIENTED_EDGE('',*,*,#19008,.F.); #26396=ORIENTED_EDGE('',*,*,#19010,.F.); #26397=ORIENTED_EDGE('',*,*,#19011,.T.); #26398=ORIENTED_EDGE('',*,*,#19012,.F.); #26399=ORIENTED_EDGE('',*,*,#19011,.F.); #26400=ORIENTED_EDGE('',*,*,#19013,.F.); #26401=ORIENTED_EDGE('',*,*,#19014,.T.); #26402=ORIENTED_EDGE('',*,*,#19015,.F.); #26403=ORIENTED_EDGE('',*,*,#19014,.F.); #26404=ORIENTED_EDGE('',*,*,#19016,.F.); #26405=ORIENTED_EDGE('',*,*,#19017,.T.); #26406=ORIENTED_EDGE('',*,*,#19018,.F.); #26407=ORIENTED_EDGE('',*,*,#19017,.F.); #26408=ORIENTED_EDGE('',*,*,#19019,.F.); #26409=ORIENTED_EDGE('',*,*,#19020,.T.); #26410=ORIENTED_EDGE('',*,*,#19021,.F.); #26411=ORIENTED_EDGE('',*,*,#19020,.F.); #26412=ORIENTED_EDGE('',*,*,#19022,.F.); #26413=ORIENTED_EDGE('',*,*,#19023,.T.); #26414=ORIENTED_EDGE('',*,*,#19024,.F.); #26415=ORIENTED_EDGE('',*,*,#19023,.F.); #26416=ORIENTED_EDGE('',*,*,#19025,.F.); #26417=ORIENTED_EDGE('',*,*,#19026,.T.); #26418=ORIENTED_EDGE('',*,*,#19027,.F.); #26419=ORIENTED_EDGE('',*,*,#19026,.F.); #26420=ORIENTED_EDGE('',*,*,#19028,.F.); #26421=ORIENTED_EDGE('',*,*,#19029,.T.); #26422=ORIENTED_EDGE('',*,*,#19030,.F.); #26423=ORIENTED_EDGE('',*,*,#19029,.F.); #26424=ORIENTED_EDGE('',*,*,#19031,.F.); #26425=ORIENTED_EDGE('',*,*,#19032,.T.); #26426=ORIENTED_EDGE('',*,*,#19033,.F.); #26427=ORIENTED_EDGE('',*,*,#19032,.F.); #26428=ORIENTED_EDGE('',*,*,#19034,.F.); #26429=ORIENTED_EDGE('',*,*,#19035,.T.); #26430=ORIENTED_EDGE('',*,*,#19036,.F.); #26431=ORIENTED_EDGE('',*,*,#19035,.F.); #26432=ORIENTED_EDGE('',*,*,#19037,.F.); #26433=ORIENTED_EDGE('',*,*,#19038,.T.); #26434=ORIENTED_EDGE('',*,*,#19039,.F.); #26435=ORIENTED_EDGE('',*,*,#19040,.F.); #26436=ORIENTED_EDGE('',*,*,#19041,.F.); #26437=ORIENTED_EDGE('',*,*,#18997,.T.); #26438=ORIENTED_EDGE('',*,*,#19042,.F.); #26439=ORIENTED_EDGE('',*,*,#18995,.F.); #26440=ORIENTED_EDGE('',*,*,#19043,.F.); #26441=ORIENTED_EDGE('',*,*,#19044,.T.); #26442=ORIENTED_EDGE('',*,*,#19045,.F.); #26443=ORIENTED_EDGE('',*,*,#19044,.F.); #26444=ORIENTED_EDGE('',*,*,#19046,.F.); #26445=ORIENTED_EDGE('',*,*,#19047,.T.); #26446=ORIENTED_EDGE('',*,*,#19048,.F.); #26447=ORIENTED_EDGE('',*,*,#19047,.F.); #26448=ORIENTED_EDGE('',*,*,#19049,.F.); #26449=ORIENTED_EDGE('',*,*,#19050,.T.); #26450=ORIENTED_EDGE('',*,*,#19051,.F.); #26451=ORIENTED_EDGE('',*,*,#19050,.F.); #26452=ORIENTED_EDGE('',*,*,#19052,.F.); #26453=ORIENTED_EDGE('',*,*,#19053,.T.); #26454=ORIENTED_EDGE('',*,*,#19054,.F.); #26455=ORIENTED_EDGE('',*,*,#19053,.F.); #26456=ORIENTED_EDGE('',*,*,#19055,.F.); #26457=ORIENTED_EDGE('',*,*,#19056,.T.); #26458=ORIENTED_EDGE('',*,*,#19057,.F.); #26459=ORIENTED_EDGE('',*,*,#19056,.F.); #26460=ORIENTED_EDGE('',*,*,#19058,.F.); #26461=ORIENTED_EDGE('',*,*,#19059,.T.); #26462=ORIENTED_EDGE('',*,*,#19060,.F.); #26463=ORIENTED_EDGE('',*,*,#19059,.F.); #26464=ORIENTED_EDGE('',*,*,#19061,.F.); #26465=ORIENTED_EDGE('',*,*,#19062,.T.); #26466=ORIENTED_EDGE('',*,*,#19063,.F.); #26467=ORIENTED_EDGE('',*,*,#19062,.F.); #26468=ORIENTED_EDGE('',*,*,#19064,.F.); #26469=ORIENTED_EDGE('',*,*,#19065,.T.); #26470=ORIENTED_EDGE('',*,*,#19066,.F.); #26471=ORIENTED_EDGE('',*,*,#19065,.F.); #26472=ORIENTED_EDGE('',*,*,#19067,.F.); #26473=ORIENTED_EDGE('',*,*,#19068,.T.); #26474=ORIENTED_EDGE('',*,*,#19069,.F.); #26475=ORIENTED_EDGE('',*,*,#19068,.F.); #26476=ORIENTED_EDGE('',*,*,#19070,.F.); #26477=ORIENTED_EDGE('',*,*,#19071,.T.); #26478=ORIENTED_EDGE('',*,*,#19072,.F.); #26479=ORIENTED_EDGE('',*,*,#19073,.F.); #26480=ORIENTED_EDGE('',*,*,#19074,.F.); #26481=ORIENTED_EDGE('',*,*,#19040,.T.); #26482=ORIENTED_EDGE('',*,*,#19075,.F.); #26483=ORIENTED_EDGE('',*,*,#19038,.F.); #26484=ORIENTED_EDGE('',*,*,#19076,.F.); #26485=ORIENTED_EDGE('',*,*,#19077,.T.); #26486=ORIENTED_EDGE('',*,*,#19078,.F.); #26487=ORIENTED_EDGE('',*,*,#19077,.F.); #26488=ORIENTED_EDGE('',*,*,#19079,.F.); #26489=ORIENTED_EDGE('',*,*,#19080,.T.); #26490=ORIENTED_EDGE('',*,*,#19081,.F.); #26491=ORIENTED_EDGE('',*,*,#19080,.F.); #26492=ORIENTED_EDGE('',*,*,#19082,.F.); #26493=ORIENTED_EDGE('',*,*,#19083,.T.); #26494=ORIENTED_EDGE('',*,*,#19084,.F.); #26495=ORIENTED_EDGE('',*,*,#19083,.F.); #26496=ORIENTED_EDGE('',*,*,#19085,.F.); #26497=ORIENTED_EDGE('',*,*,#19086,.T.); #26498=ORIENTED_EDGE('',*,*,#19087,.F.); #26499=ORIENTED_EDGE('',*,*,#19086,.F.); #26500=ORIENTED_EDGE('',*,*,#19088,.F.); #26501=ORIENTED_EDGE('',*,*,#19089,.T.); #26502=ORIENTED_EDGE('',*,*,#19090,.F.); #26503=ORIENTED_EDGE('',*,*,#19089,.F.); #26504=ORIENTED_EDGE('',*,*,#19091,.F.); #26505=ORIENTED_EDGE('',*,*,#19092,.T.); #26506=ORIENTED_EDGE('',*,*,#19093,.F.); #26507=ORIENTED_EDGE('',*,*,#19092,.F.); #26508=ORIENTED_EDGE('',*,*,#19094,.F.); #26509=ORIENTED_EDGE('',*,*,#19095,.T.); #26510=ORIENTED_EDGE('',*,*,#19096,.F.); #26511=ORIENTED_EDGE('',*,*,#19095,.F.); #26512=ORIENTED_EDGE('',*,*,#19097,.F.); #26513=ORIENTED_EDGE('',*,*,#19098,.T.); #26514=ORIENTED_EDGE('',*,*,#19099,.F.); #26515=ORIENTED_EDGE('',*,*,#19098,.F.); #26516=ORIENTED_EDGE('',*,*,#19100,.F.); #26517=ORIENTED_EDGE('',*,*,#19101,.T.); #26518=ORIENTED_EDGE('',*,*,#19102,.F.); #26519=ORIENTED_EDGE('',*,*,#19101,.F.); #26520=ORIENTED_EDGE('',*,*,#19103,.F.); #26521=ORIENTED_EDGE('',*,*,#19104,.T.); #26522=ORIENTED_EDGE('',*,*,#19105,.F.); #26523=ORIENTED_EDGE('',*,*,#19104,.F.); #26524=ORIENTED_EDGE('',*,*,#19106,.F.); #26525=ORIENTED_EDGE('',*,*,#19107,.T.); #26526=ORIENTED_EDGE('',*,*,#19108,.F.); #26527=ORIENTED_EDGE('',*,*,#19107,.F.); #26528=ORIENTED_EDGE('',*,*,#19109,.F.); #26529=ORIENTED_EDGE('',*,*,#19073,.T.); #26530=ORIENTED_EDGE('',*,*,#19110,.F.); #26531=ORIENTED_EDGE('',*,*,#19071,.F.); #26532=ORIENTED_EDGE('',*,*,#19111,.F.); #26533=ORIENTED_EDGE('',*,*,#19112,.T.); #26534=ORIENTED_EDGE('',*,*,#19113,.F.); #26535=ORIENTED_EDGE('',*,*,#19112,.F.); #26536=ORIENTED_EDGE('',*,*,#19114,.F.); #26537=ORIENTED_EDGE('',*,*,#19115,.T.); #26538=ORIENTED_EDGE('',*,*,#19116,.F.); #26539=ORIENTED_EDGE('',*,*,#19115,.F.); #26540=ORIENTED_EDGE('',*,*,#19117,.F.); #26541=ORIENTED_EDGE('',*,*,#19118,.T.); #26542=ORIENTED_EDGE('',*,*,#19119,.F.); #26543=ORIENTED_EDGE('',*,*,#19118,.F.); #26544=ORIENTED_EDGE('',*,*,#19120,.F.); #26545=ORIENTED_EDGE('',*,*,#19121,.T.); #26546=ORIENTED_EDGE('',*,*,#19122,.F.); #26547=ORIENTED_EDGE('',*,*,#19121,.F.); #26548=ORIENTED_EDGE('',*,*,#19123,.F.); #26549=ORIENTED_EDGE('',*,*,#19124,.T.); #26550=ORIENTED_EDGE('',*,*,#19125,.F.); #26551=ORIENTED_EDGE('',*,*,#19124,.F.); #26552=ORIENTED_EDGE('',*,*,#19126,.F.); #26553=ORIENTED_EDGE('',*,*,#19127,.T.); #26554=ORIENTED_EDGE('',*,*,#19128,.F.); #26555=ORIENTED_EDGE('',*,*,#19127,.F.); #26556=ORIENTED_EDGE('',*,*,#19129,.F.); #26557=ORIENTED_EDGE('',*,*,#19130,.T.); #26558=ORIENTED_EDGE('',*,*,#19131,.F.); #26559=ORIENTED_EDGE('',*,*,#19130,.F.); #26560=ORIENTED_EDGE('',*,*,#19132,.F.); #26561=ORIENTED_EDGE('',*,*,#19133,.T.); #26562=ORIENTED_EDGE('',*,*,#19134,.F.); #26563=ORIENTED_EDGE('',*,*,#19133,.F.); #26564=ORIENTED_EDGE('',*,*,#19135,.F.); #26565=ORIENTED_EDGE('',*,*,#19136,.T.); #26566=ORIENTED_EDGE('',*,*,#19137,.F.); #26567=ORIENTED_EDGE('',*,*,#19136,.F.); #26568=ORIENTED_EDGE('',*,*,#19138,.F.); #26569=ORIENTED_EDGE('',*,*,#18936,.T.); #26570=ORIENTED_EDGE('',*,*,#19043,.T.); #26571=ORIENTED_EDGE('',*,*,#18994,.T.); #26572=ORIENTED_EDGE('',*,*,#18991,.T.); #26573=ORIENTED_EDGE('',*,*,#18988,.T.); #26574=ORIENTED_EDGE('',*,*,#18985,.T.); #26575=ORIENTED_EDGE('',*,*,#18982,.T.); #26576=ORIENTED_EDGE('',*,*,#18979,.T.); #26577=ORIENTED_EDGE('',*,*,#18976,.T.); #26578=ORIENTED_EDGE('',*,*,#18973,.T.); #26579=ORIENTED_EDGE('',*,*,#18970,.T.); #26580=ORIENTED_EDGE('',*,*,#18967,.T.); #26581=ORIENTED_EDGE('',*,*,#18964,.T.); #26582=ORIENTED_EDGE('',*,*,#18961,.T.); #26583=ORIENTED_EDGE('',*,*,#18958,.T.); #26584=ORIENTED_EDGE('',*,*,#18955,.T.); #26585=ORIENTED_EDGE('',*,*,#18952,.T.); #26586=ORIENTED_EDGE('',*,*,#18949,.T.); #26587=ORIENTED_EDGE('',*,*,#18946,.T.); #26588=ORIENTED_EDGE('',*,*,#18943,.T.); #26589=ORIENTED_EDGE('',*,*,#18940,.T.); #26590=ORIENTED_EDGE('',*,*,#18937,.T.); #26591=ORIENTED_EDGE('',*,*,#19138,.T.); #26592=ORIENTED_EDGE('',*,*,#19135,.T.); #26593=ORIENTED_EDGE('',*,*,#19132,.T.); #26594=ORIENTED_EDGE('',*,*,#19129,.T.); #26595=ORIENTED_EDGE('',*,*,#19126,.T.); #26596=ORIENTED_EDGE('',*,*,#19123,.T.); #26597=ORIENTED_EDGE('',*,*,#19120,.T.); #26598=ORIENTED_EDGE('',*,*,#19117,.T.); #26599=ORIENTED_EDGE('',*,*,#19114,.T.); #26600=ORIENTED_EDGE('',*,*,#19111,.T.); #26601=ORIENTED_EDGE('',*,*,#19070,.T.); #26602=ORIENTED_EDGE('',*,*,#19067,.T.); #26603=ORIENTED_EDGE('',*,*,#19064,.T.); #26604=ORIENTED_EDGE('',*,*,#19061,.T.); #26605=ORIENTED_EDGE('',*,*,#19058,.T.); #26606=ORIENTED_EDGE('',*,*,#19055,.T.); #26607=ORIENTED_EDGE('',*,*,#19052,.T.); #26608=ORIENTED_EDGE('',*,*,#19049,.T.); #26609=ORIENTED_EDGE('',*,*,#19046,.T.); #26610=ORIENTED_EDGE('',*,*,#19034,.T.); #26611=ORIENTED_EDGE('',*,*,#19031,.T.); #26612=ORIENTED_EDGE('',*,*,#19028,.T.); #26613=ORIENTED_EDGE('',*,*,#19025,.T.); #26614=ORIENTED_EDGE('',*,*,#19022,.T.); #26615=ORIENTED_EDGE('',*,*,#19019,.T.); #26616=ORIENTED_EDGE('',*,*,#19016,.T.); #26617=ORIENTED_EDGE('',*,*,#19013,.T.); #26618=ORIENTED_EDGE('',*,*,#19010,.T.); #26619=ORIENTED_EDGE('',*,*,#19007,.T.); #26620=ORIENTED_EDGE('',*,*,#19004,.T.); #26621=ORIENTED_EDGE('',*,*,#19001,.T.); #26622=ORIENTED_EDGE('',*,*,#18998,.T.); #26623=ORIENTED_EDGE('',*,*,#19041,.T.); #26624=ORIENTED_EDGE('',*,*,#19074,.T.); #26625=ORIENTED_EDGE('',*,*,#19109,.T.); #26626=ORIENTED_EDGE('',*,*,#19106,.T.); #26627=ORIENTED_EDGE('',*,*,#19103,.T.); #26628=ORIENTED_EDGE('',*,*,#19100,.T.); #26629=ORIENTED_EDGE('',*,*,#19097,.T.); #26630=ORIENTED_EDGE('',*,*,#19094,.T.); #26631=ORIENTED_EDGE('',*,*,#19091,.T.); #26632=ORIENTED_EDGE('',*,*,#19088,.T.); #26633=ORIENTED_EDGE('',*,*,#19085,.T.); #26634=ORIENTED_EDGE('',*,*,#19082,.T.); #26635=ORIENTED_EDGE('',*,*,#19079,.T.); #26636=ORIENTED_EDGE('',*,*,#19076,.T.); #26637=ORIENTED_EDGE('',*,*,#19037,.T.); #26638=ORIENTED_EDGE('',*,*,#19075,.T.); #26639=ORIENTED_EDGE('',*,*,#19078,.T.); #26640=ORIENTED_EDGE('',*,*,#19081,.T.); #26641=ORIENTED_EDGE('',*,*,#19084,.T.); #26642=ORIENTED_EDGE('',*,*,#19087,.T.); #26643=ORIENTED_EDGE('',*,*,#19090,.T.); #26644=ORIENTED_EDGE('',*,*,#19093,.T.); #26645=ORIENTED_EDGE('',*,*,#19096,.T.); #26646=ORIENTED_EDGE('',*,*,#19099,.T.); #26647=ORIENTED_EDGE('',*,*,#19102,.T.); #26648=ORIENTED_EDGE('',*,*,#19105,.T.); #26649=ORIENTED_EDGE('',*,*,#19108,.T.); #26650=ORIENTED_EDGE('',*,*,#19072,.T.); #26651=ORIENTED_EDGE('',*,*,#19039,.T.); #26652=ORIENTED_EDGE('',*,*,#18996,.T.); #26653=ORIENTED_EDGE('',*,*,#19000,.T.); #26654=ORIENTED_EDGE('',*,*,#19003,.T.); #26655=ORIENTED_EDGE('',*,*,#19006,.T.); #26656=ORIENTED_EDGE('',*,*,#19009,.T.); #26657=ORIENTED_EDGE('',*,*,#19012,.T.); #26658=ORIENTED_EDGE('',*,*,#19015,.T.); #26659=ORIENTED_EDGE('',*,*,#19018,.T.); #26660=ORIENTED_EDGE('',*,*,#19021,.T.); #26661=ORIENTED_EDGE('',*,*,#19024,.T.); #26662=ORIENTED_EDGE('',*,*,#19027,.T.); #26663=ORIENTED_EDGE('',*,*,#19030,.T.); #26664=ORIENTED_EDGE('',*,*,#19033,.T.); #26665=ORIENTED_EDGE('',*,*,#19036,.T.); #26666=ORIENTED_EDGE('',*,*,#19139,.T.); #26667=ORIENTED_EDGE('',*,*,#19140,.F.); #26668=ORIENTED_EDGE('',*,*,#19141,.F.); #26669=ORIENTED_EDGE('',*,*,#19142,.T.); #26670=ORIENTED_EDGE('',*,*,#19143,.T.); #26671=ORIENTED_EDGE('',*,*,#19144,.T.); #26672=ORIENTED_EDGE('',*,*,#19145,.T.); #26673=ORIENTED_EDGE('',*,*,#19146,.F.); #26674=ORIENTED_EDGE('',*,*,#19147,.F.); #26675=ORIENTED_EDGE('',*,*,#19148,.F.); #26676=ORIENTED_EDGE('',*,*,#19149,.F.); #26677=ORIENTED_EDGE('',*,*,#19150,.F.); #26678=ORIENTED_EDGE('',*,*,#19042,.T.); #26679=ORIENTED_EDGE('',*,*,#19045,.T.); #26680=ORIENTED_EDGE('',*,*,#19048,.T.); #26681=ORIENTED_EDGE('',*,*,#19051,.T.); #26682=ORIENTED_EDGE('',*,*,#19054,.T.); #26683=ORIENTED_EDGE('',*,*,#19057,.T.); #26684=ORIENTED_EDGE('',*,*,#19060,.T.); #26685=ORIENTED_EDGE('',*,*,#19063,.T.); #26686=ORIENTED_EDGE('',*,*,#19066,.T.); #26687=ORIENTED_EDGE('',*,*,#19069,.T.); #26688=ORIENTED_EDGE('',*,*,#19110,.T.); #26689=ORIENTED_EDGE('',*,*,#19113,.T.); #26690=ORIENTED_EDGE('',*,*,#19116,.T.); #26691=ORIENTED_EDGE('',*,*,#19119,.T.); #26692=ORIENTED_EDGE('',*,*,#19122,.T.); #26693=ORIENTED_EDGE('',*,*,#19125,.T.); #26694=ORIENTED_EDGE('',*,*,#19128,.T.); #26695=ORIENTED_EDGE('',*,*,#19131,.T.); #26696=ORIENTED_EDGE('',*,*,#19134,.T.); #26697=ORIENTED_EDGE('',*,*,#19137,.T.); #26698=ORIENTED_EDGE('',*,*,#18935,.T.); #26699=ORIENTED_EDGE('',*,*,#18939,.T.); #26700=ORIENTED_EDGE('',*,*,#18942,.T.); #26701=ORIENTED_EDGE('',*,*,#18945,.T.); #26702=ORIENTED_EDGE('',*,*,#18948,.T.); #26703=ORIENTED_EDGE('',*,*,#18951,.T.); #26704=ORIENTED_EDGE('',*,*,#18954,.T.); #26705=ORIENTED_EDGE('',*,*,#18957,.T.); #26706=ORIENTED_EDGE('',*,*,#18960,.T.); #26707=ORIENTED_EDGE('',*,*,#18963,.T.); #26708=ORIENTED_EDGE('',*,*,#18966,.T.); #26709=ORIENTED_EDGE('',*,*,#18969,.T.); #26710=ORIENTED_EDGE('',*,*,#18972,.T.); #26711=ORIENTED_EDGE('',*,*,#18975,.T.); #26712=ORIENTED_EDGE('',*,*,#18978,.T.); #26713=ORIENTED_EDGE('',*,*,#18981,.T.); #26714=ORIENTED_EDGE('',*,*,#18984,.T.); #26715=ORIENTED_EDGE('',*,*,#18987,.T.); #26716=ORIENTED_EDGE('',*,*,#18990,.T.); #26717=ORIENTED_EDGE('',*,*,#18993,.T.); #26718=ORIENTED_EDGE('',*,*,#18919,.T.); #26719=ORIENTED_EDGE('',*,*,#18922,.T.); #26720=ORIENTED_EDGE('',*,*,#18925,.T.); #26721=ORIENTED_EDGE('',*,*,#18857,.T.); #26722=ORIENTED_EDGE('',*,*,#18818,.T.); #26723=ORIENTED_EDGE('',*,*,#18822,.T.); #26724=ORIENTED_EDGE('',*,*,#18825,.T.); #26725=ORIENTED_EDGE('',*,*,#18828,.T.); #26726=ORIENTED_EDGE('',*,*,#18831,.T.); #26727=ORIENTED_EDGE('',*,*,#18927,.T.); #26728=ORIENTED_EDGE('',*,*,#18930,.T.); #26729=ORIENTED_EDGE('',*,*,#18933,.T.); #26730=ORIENTED_EDGE('',*,*,#18907,.T.); #26731=ORIENTED_EDGE('',*,*,#18911,.T.); #26732=ORIENTED_EDGE('',*,*,#18914,.T.); #26733=ORIENTED_EDGE('',*,*,#18917,.T.); #26734=ORIENTED_EDGE('',*,*,#18860,.T.); #26735=ORIENTED_EDGE('',*,*,#18864,.T.); #26736=ORIENTED_EDGE('',*,*,#18867,.T.); #26737=ORIENTED_EDGE('',*,*,#18870,.T.); #26738=ORIENTED_EDGE('',*,*,#18873,.T.); #26739=ORIENTED_EDGE('',*,*,#18876,.T.); #26740=ORIENTED_EDGE('',*,*,#18879,.T.); #26741=ORIENTED_EDGE('',*,*,#18882,.T.); #26742=ORIENTED_EDGE('',*,*,#18745,.T.); #26743=ORIENTED_EDGE('',*,*,#18749,.T.); #26744=ORIENTED_EDGE('',*,*,#18752,.T.); #26745=ORIENTED_EDGE('',*,*,#18755,.T.); #26746=ORIENTED_EDGE('',*,*,#18758,.T.); #26747=ORIENTED_EDGE('',*,*,#18761,.T.); #26748=ORIENTED_EDGE('',*,*,#18764,.T.); #26749=ORIENTED_EDGE('',*,*,#18767,.T.); #26750=ORIENTED_EDGE('',*,*,#18770,.T.); #26751=ORIENTED_EDGE('',*,*,#18773,.T.); #26752=ORIENTED_EDGE('',*,*,#18776,.T.); #26753=ORIENTED_EDGE('',*,*,#18779,.T.); #26754=ORIENTED_EDGE('',*,*,#18782,.T.); #26755=ORIENTED_EDGE('',*,*,#18785,.T.); #26756=ORIENTED_EDGE('',*,*,#18788,.T.); #26757=ORIENTED_EDGE('',*,*,#18791,.T.); #26758=ORIENTED_EDGE('',*,*,#18794,.T.); #26759=ORIENTED_EDGE('',*,*,#18797,.T.); #26760=ORIENTED_EDGE('',*,*,#18800,.T.); #26761=ORIENTED_EDGE('',*,*,#18803,.T.); #26762=ORIENTED_EDGE('',*,*,#18806,.T.); #26763=ORIENTED_EDGE('',*,*,#18809,.T.); #26764=ORIENTED_EDGE('',*,*,#18812,.T.); #26765=ORIENTED_EDGE('',*,*,#18815,.T.); #26766=ORIENTED_EDGE('',*,*,#18621,.T.); #26767=ORIENTED_EDGE('',*,*,#18624,.T.); #26768=ORIENTED_EDGE('',*,*,#18627,.T.); #26769=ORIENTED_EDGE('',*,*,#18630,.T.); #26770=ORIENTED_EDGE('',*,*,#18633,.T.); #26771=ORIENTED_EDGE('',*,*,#18636,.T.); #26772=ORIENTED_EDGE('',*,*,#18639,.T.); #26773=ORIENTED_EDGE('',*,*,#18642,.T.); #26774=ORIENTED_EDGE('',*,*,#18645,.T.); #26775=ORIENTED_EDGE('',*,*,#18648,.T.); #26776=ORIENTED_EDGE('',*,*,#18651,.T.); #26777=ORIENTED_EDGE('',*,*,#18654,.T.); #26778=ORIENTED_EDGE('',*,*,#18657,.T.); #26779=ORIENTED_EDGE('',*,*,#18660,.T.); #26780=ORIENTED_EDGE('',*,*,#18663,.T.); #26781=ORIENTED_EDGE('',*,*,#18666,.T.); #26782=ORIENTED_EDGE('',*,*,#18669,.T.); #26783=ORIENTED_EDGE('',*,*,#18672,.T.); #26784=ORIENTED_EDGE('',*,*,#18675,.T.); #26785=ORIENTED_EDGE('',*,*,#18678,.T.); #26786=ORIENTED_EDGE('',*,*,#18681,.T.); #26787=ORIENTED_EDGE('',*,*,#18684,.T.); #26788=ORIENTED_EDGE('',*,*,#18687,.T.); #26789=ORIENTED_EDGE('',*,*,#18690,.T.); #26790=ORIENTED_EDGE('',*,*,#18693,.T.); #26791=ORIENTED_EDGE('',*,*,#18545,.T.); #26792=ORIENTED_EDGE('',*,*,#18549,.T.); #26793=ORIENTED_EDGE('',*,*,#18552,.T.); #26794=ORIENTED_EDGE('',*,*,#18555,.T.); #26795=ORIENTED_EDGE('',*,*,#18558,.T.); #26796=ORIENTED_EDGE('',*,*,#18561,.T.); #26797=ORIENTED_EDGE('',*,*,#18564,.T.); #26798=ORIENTED_EDGE('',*,*,#18567,.T.); #26799=ORIENTED_EDGE('',*,*,#18570,.T.); #26800=ORIENTED_EDGE('',*,*,#18573,.T.); #26801=ORIENTED_EDGE('',*,*,#18576,.T.); #26802=ORIENTED_EDGE('',*,*,#18579,.T.); #26803=ORIENTED_EDGE('',*,*,#18582,.T.); #26804=ORIENTED_EDGE('',*,*,#18585,.T.); #26805=ORIENTED_EDGE('',*,*,#18588,.T.); #26806=ORIENTED_EDGE('',*,*,#18591,.T.); #26807=ORIENTED_EDGE('',*,*,#18594,.T.); #26808=ORIENTED_EDGE('',*,*,#18597,.T.); #26809=ORIENTED_EDGE('',*,*,#18600,.T.); #26810=ORIENTED_EDGE('',*,*,#18603,.T.); #26811=ORIENTED_EDGE('',*,*,#18606,.T.); #26812=ORIENTED_EDGE('',*,*,#18609,.T.); #26813=ORIENTED_EDGE('',*,*,#18612,.T.); #26814=ORIENTED_EDGE('',*,*,#18615,.T.); #26815=ORIENTED_EDGE('',*,*,#18618,.T.); #26816=ORIENTED_EDGE('',*,*,#18445,.T.); #26817=ORIENTED_EDGE('',*,*,#18448,.T.); #26818=ORIENTED_EDGE('',*,*,#18451,.T.); #26819=ORIENTED_EDGE('',*,*,#18454,.T.); #26820=ORIENTED_EDGE('',*,*,#18457,.T.); #26821=ORIENTED_EDGE('',*,*,#18460,.T.); #26822=ORIENTED_EDGE('',*,*,#18463,.T.); #26823=ORIENTED_EDGE('',*,*,#18466,.T.); #26824=ORIENTED_EDGE('',*,*,#18469,.T.); #26825=ORIENTED_EDGE('',*,*,#18472,.T.); #26826=ORIENTED_EDGE('',*,*,#18475,.T.); #26827=ORIENTED_EDGE('',*,*,#18478,.T.); #26828=ORIENTED_EDGE('',*,*,#18481,.T.); #26829=ORIENTED_EDGE('',*,*,#18484,.T.); #26830=ORIENTED_EDGE('',*,*,#18487,.T.); #26831=ORIENTED_EDGE('',*,*,#18513,.T.); #26832=ORIENTED_EDGE('',*,*,#18516,.T.); #26833=ORIENTED_EDGE('',*,*,#18519,.T.); #26834=ORIENTED_EDGE('',*,*,#18522,.T.); #26835=ORIENTED_EDGE('',*,*,#18525,.T.); #26836=ORIENTED_EDGE('',*,*,#18528,.T.); #26837=ORIENTED_EDGE('',*,*,#18531,.T.); #26838=ORIENTED_EDGE('',*,*,#18534,.T.); #26839=ORIENTED_EDGE('',*,*,#18537,.T.); #26840=ORIENTED_EDGE('',*,*,#18540,.T.); #26841=ORIENTED_EDGE('',*,*,#18543,.T.); #26842=ORIENTED_EDGE('',*,*,#18442,.T.); #26843=ORIENTED_EDGE('',*,*,#18335,.T.); #26844=ORIENTED_EDGE('',*,*,#18339,.T.); #26845=ORIENTED_EDGE('',*,*,#18342,.T.); #26846=ORIENTED_EDGE('',*,*,#18345,.T.); #26847=ORIENTED_EDGE('',*,*,#18348,.T.); #26848=ORIENTED_EDGE('',*,*,#18351,.T.); #26849=ORIENTED_EDGE('',*,*,#18354,.T.); #26850=ORIENTED_EDGE('',*,*,#18357,.T.); #26851=ORIENTED_EDGE('',*,*,#18360,.T.); #26852=ORIENTED_EDGE('',*,*,#18363,.T.); #26853=ORIENTED_EDGE('',*,*,#18366,.T.); #26854=ORIENTED_EDGE('',*,*,#18369,.T.); #26855=ORIENTED_EDGE('',*,*,#18372,.T.); #26856=ORIENTED_EDGE('',*,*,#18375,.T.); #26857=ORIENTED_EDGE('',*,*,#18378,.T.); #26858=ORIENTED_EDGE('',*,*,#18381,.T.); #26859=ORIENTED_EDGE('',*,*,#18384,.T.); #26860=ORIENTED_EDGE('',*,*,#18387,.T.); #26861=ORIENTED_EDGE('',*,*,#18390,.T.); #26862=ORIENTED_EDGE('',*,*,#18393,.T.); #26863=ORIENTED_EDGE('',*,*,#18396,.T.); #26864=ORIENTED_EDGE('',*,*,#18399,.T.); #26865=ORIENTED_EDGE('',*,*,#18402,.T.); #26866=ORIENTED_EDGE('',*,*,#18405,.T.); #26867=ORIENTED_EDGE('',*,*,#18408,.T.); #26868=ORIENTED_EDGE('',*,*,#18411,.T.); #26869=ORIENTED_EDGE('',*,*,#18414,.T.); #26870=ORIENTED_EDGE('',*,*,#18312,.T.); #26871=ORIENTED_EDGE('',*,*,#18315,.T.); #26872=ORIENTED_EDGE('',*,*,#18318,.T.); #26873=ORIENTED_EDGE('',*,*,#18321,.T.); #26874=ORIENTED_EDGE('',*,*,#18324,.T.); #26875=ORIENTED_EDGE('',*,*,#18327,.T.); #26876=ORIENTED_EDGE('',*,*,#18330,.T.); #26877=ORIENTED_EDGE('',*,*,#18333,.T.); #26878=ORIENTED_EDGE('',*,*,#18290,.T.); #26879=ORIENTED_EDGE('',*,*,#18294,.T.); #26880=ORIENTED_EDGE('',*,*,#18297,.T.); #26881=ORIENTED_EDGE('',*,*,#18300,.T.); #26882=ORIENTED_EDGE('',*,*,#18303,.T.); #26883=ORIENTED_EDGE('',*,*,#18306,.T.); #26884=ORIENTED_EDGE('',*,*,#18309,.T.); #26885=ORIENTED_EDGE('',*,*,#18273,.T.); #26886=ORIENTED_EDGE('',*,*,#18276,.T.); #26887=ORIENTED_EDGE('',*,*,#18279,.T.); #26888=ORIENTED_EDGE('',*,*,#18282,.T.); #26889=ORIENTED_EDGE('',*,*,#18285,.T.); #26890=ORIENTED_EDGE('',*,*,#18288,.T.); #26891=ORIENTED_EDGE('',*,*,#18252,.T.); #26892=ORIENTED_EDGE('',*,*,#18256,.T.); #26893=ORIENTED_EDGE('',*,*,#18259,.T.); #26894=ORIENTED_EDGE('',*,*,#18262,.T.); #26895=ORIENTED_EDGE('',*,*,#18265,.T.); #26896=ORIENTED_EDGE('',*,*,#18181,.T.); #26897=ORIENTED_EDGE('',*,*,#18183,.T.); #26898=ORIENTED_EDGE('',*,*,#18185,.T.); #26899=ORIENTED_EDGE('',*,*,#18187,.T.); #26900=ORIENTED_EDGE('',*,*,#18189,.T.); #26901=ORIENTED_EDGE('',*,*,#18191,.T.); #26902=ORIENTED_EDGE('',*,*,#18193,.T.); #26903=ORIENTED_EDGE('',*,*,#18195,.T.); #26904=ORIENTED_EDGE('',*,*,#18197,.T.); #26905=ORIENTED_EDGE('',*,*,#18199,.T.); #26906=ORIENTED_EDGE('',*,*,#18201,.T.); #26907=ORIENTED_EDGE('',*,*,#18203,.T.); #26908=ORIENTED_EDGE('',*,*,#18205,.T.); #26909=ORIENTED_EDGE('',*,*,#18207,.T.); #26910=ORIENTED_EDGE('',*,*,#18209,.T.); #26911=ORIENTED_EDGE('',*,*,#18211,.T.); #26912=ORIENTED_EDGE('',*,*,#18213,.T.); #26913=ORIENTED_EDGE('',*,*,#18215,.T.); #26914=ORIENTED_EDGE('',*,*,#18217,.T.); #26915=ORIENTED_EDGE('',*,*,#18219,.T.); #26916=ORIENTED_EDGE('',*,*,#18221,.T.); #26917=ORIENTED_EDGE('',*,*,#18223,.T.); #26918=ORIENTED_EDGE('',*,*,#18225,.T.); #26919=ORIENTED_EDGE('',*,*,#18227,.T.); #26920=ORIENTED_EDGE('',*,*,#18229,.T.); #26921=ORIENTED_EDGE('',*,*,#18231,.T.); #26922=ORIENTED_EDGE('',*,*,#18233,.T.); #26923=ORIENTED_EDGE('',*,*,#18235,.T.); #26924=ORIENTED_EDGE('',*,*,#18237,.T.); #26925=ORIENTED_EDGE('',*,*,#18239,.T.); #26926=ORIENTED_EDGE('',*,*,#18241,.T.); #26927=ORIENTED_EDGE('',*,*,#18243,.T.); #26928=ORIENTED_EDGE('',*,*,#18245,.T.); #26929=ORIENTED_EDGE('',*,*,#18247,.T.); #26930=ORIENTED_EDGE('',*,*,#18047,.T.); #26931=ORIENTED_EDGE('',*,*,#18051,.T.); #26932=ORIENTED_EDGE('',*,*,#18054,.T.); #26933=ORIENTED_EDGE('',*,*,#18057,.T.); #26934=ORIENTED_EDGE('',*,*,#18060,.T.); #26935=ORIENTED_EDGE('',*,*,#18063,.T.); #26936=ORIENTED_EDGE('',*,*,#18066,.T.); #26937=ORIENTED_EDGE('',*,*,#18069,.T.); #26938=ORIENTED_EDGE('',*,*,#18072,.T.); #26939=ORIENTED_EDGE('',*,*,#18075,.T.); #26940=ORIENTED_EDGE('',*,*,#18078,.T.); #26941=ORIENTED_EDGE('',*,*,#18081,.T.); #26942=ORIENTED_EDGE('',*,*,#18084,.T.); #26943=ORIENTED_EDGE('',*,*,#18087,.T.); #26944=ORIENTED_EDGE('',*,*,#18090,.T.); #26945=ORIENTED_EDGE('',*,*,#18093,.T.); #26946=ORIENTED_EDGE('',*,*,#18096,.T.); #26947=ORIENTED_EDGE('',*,*,#18099,.T.); #26948=ORIENTED_EDGE('',*,*,#18102,.T.); #26949=ORIENTED_EDGE('',*,*,#18105,.T.); #26950=ORIENTED_EDGE('',*,*,#18108,.T.); #26951=ORIENTED_EDGE('',*,*,#18111,.T.); #26952=ORIENTED_EDGE('',*,*,#18114,.T.); #26953=ORIENTED_EDGE('',*,*,#18117,.T.); #26954=ORIENTED_EDGE('',*,*,#18120,.T.); #26955=ORIENTED_EDGE('',*,*,#18123,.T.); #26956=ORIENTED_EDGE('',*,*,#18126,.T.); #26957=ORIENTED_EDGE('',*,*,#18129,.T.); #26958=ORIENTED_EDGE('',*,*,#18132,.T.); #26959=ORIENTED_EDGE('',*,*,#18135,.T.); #26960=ORIENTED_EDGE('',*,*,#18138,.T.); #26961=ORIENTED_EDGE('',*,*,#18141,.T.); #26962=ORIENTED_EDGE('',*,*,#18144,.T.); #26963=ORIENTED_EDGE('',*,*,#18042,.T.); #26964=ORIENTED_EDGE('',*,*,#18045,.T.); #26965=ORIENTED_EDGE('',*,*,#18035,.T.); #26966=ORIENTED_EDGE('',*,*,#18039,.T.); #26967=ORIENTED_EDGE('',*,*,#18884,.T.); #26968=ORIENTED_EDGE('',*,*,#18887,.T.); #26969=ORIENTED_EDGE('',*,*,#18890,.T.); #26970=ORIENTED_EDGE('',*,*,#18893,.T.); #26971=ORIENTED_EDGE('',*,*,#18896,.T.); #26972=ORIENTED_EDGE('',*,*,#18899,.T.); #26973=ORIENTED_EDGE('',*,*,#18902,.T.); #26974=ORIENTED_EDGE('',*,*,#18905,.T.); #26975=ORIENTED_EDGE('',*,*,#18720,.T.); #26976=ORIENTED_EDGE('',*,*,#18724,.T.); #26977=ORIENTED_EDGE('',*,*,#18727,.T.); #26978=ORIENTED_EDGE('',*,*,#18730,.T.); #26979=ORIENTED_EDGE('',*,*,#18733,.T.); #26980=ORIENTED_EDGE('',*,*,#18736,.T.); #26981=ORIENTED_EDGE('',*,*,#18739,.T.); #26982=ORIENTED_EDGE('',*,*,#18742,.T.); #26983=ORIENTED_EDGE('',*,*,#18493,.T.); #26984=ORIENTED_EDGE('',*,*,#18496,.T.); #26985=ORIENTED_EDGE('',*,*,#18499,.T.); #26986=ORIENTED_EDGE('',*,*,#18502,.T.); #26987=ORIENTED_EDGE('',*,*,#18505,.T.); #26988=ORIENTED_EDGE('',*,*,#18508,.T.); #26989=ORIENTED_EDGE('',*,*,#18511,.T.); #26990=ORIENTED_EDGE('',*,*,#18490,.T.); #26991=ORIENTED_EDGE('',*,*,#18417,.T.); #26992=ORIENTED_EDGE('',*,*,#18421,.T.); #26993=ORIENTED_EDGE('',*,*,#18424,.T.); #26994=ORIENTED_EDGE('',*,*,#18427,.T.); #26995=ORIENTED_EDGE('',*,*,#18430,.T.); #26996=ORIENTED_EDGE('',*,*,#18433,.T.); #26997=ORIENTED_EDGE('',*,*,#18436,.T.); #26998=ORIENTED_EDGE('',*,*,#18439,.T.); #26999=ORIENTED_EDGE('',*,*,#18834,.T.); #27000=ORIENTED_EDGE('',*,*,#18837,.T.); #27001=ORIENTED_EDGE('',*,*,#18840,.T.); #27002=ORIENTED_EDGE('',*,*,#18843,.T.); #27003=ORIENTED_EDGE('',*,*,#18846,.T.); #27004=ORIENTED_EDGE('',*,*,#18849,.T.); #27005=ORIENTED_EDGE('',*,*,#18852,.T.); #27006=ORIENTED_EDGE('',*,*,#18855,.T.); #27007=ORIENTED_EDGE('',*,*,#18695,.T.); #27008=ORIENTED_EDGE('',*,*,#18699,.T.); #27009=ORIENTED_EDGE('',*,*,#18702,.T.); #27010=ORIENTED_EDGE('',*,*,#18705,.T.); #27011=ORIENTED_EDGE('',*,*,#18708,.T.); #27012=ORIENTED_EDGE('',*,*,#18711,.T.); #27013=ORIENTED_EDGE('',*,*,#18714,.T.); #27014=ORIENTED_EDGE('',*,*,#18717,.T.); #27015=ORIENTED_EDGE('',*,*,#19151,.T.); #27016=ORIENTED_EDGE('',*,*,#19152,.T.); #27017=ORIENTED_EDGE('',*,*,#19153,.T.); #27018=ORIENTED_EDGE('',*,*,#19154,.T.); #27019=ORIENTED_EDGE('',*,*,#19155,.F.); #27020=ORIENTED_EDGE('',*,*,#19151,.F.); #27021=ORIENTED_EDGE('',*,*,#19156,.T.); #27022=ORIENTED_EDGE('',*,*,#19153,.F.); #27023=ORIENTED_EDGE('',*,*,#19157,.F.); #27024=ORIENTED_EDGE('',*,*,#19158,.T.); #27025=ORIENTED_EDGE('',*,*,#19156,.F.); #27026=ORIENTED_EDGE('',*,*,#19159,.T.); #27027=ORIENTED_EDGE('',*,*,#19160,.T.); #27028=ORIENTED_EDGE('',*,*,#19161,.T.); #27029=ORIENTED_EDGE('',*,*,#19154,.F.); #27030=ORIENTED_EDGE('',*,*,#19162,.F.); #27031=ORIENTED_EDGE('',*,*,#19163,.T.); #27032=ORIENTED_EDGE('',*,*,#19159,.F.); #27033=ORIENTED_EDGE('',*,*,#19158,.F.); #27034=ORIENTED_EDGE('',*,*,#19164,.T.); #27035=ORIENTED_EDGE('',*,*,#19165,.T.); #27036=ORIENTED_EDGE('',*,*,#19162,.T.); #27037=ORIENTED_EDGE('',*,*,#19166,.T.); #27038=ORIENTED_EDGE('',*,*,#19167,.T.); #27039=ORIENTED_EDGE('',*,*,#19168,.T.); #27040=ORIENTED_EDGE('',*,*,#19169,.T.); #27041=ORIENTED_EDGE('',*,*,#19170,.T.); #27042=ORIENTED_EDGE('',*,*,#19171,.T.); #27043=ORIENTED_EDGE('',*,*,#19172,.T.); #27044=ORIENTED_EDGE('',*,*,#19173,.T.); #27045=ORIENTED_EDGE('',*,*,#19174,.T.); #27046=ORIENTED_EDGE('',*,*,#19175,.T.); #27047=ORIENTED_EDGE('',*,*,#19176,.T.); #27048=ORIENTED_EDGE('',*,*,#19177,.T.); #27049=ORIENTED_EDGE('',*,*,#19176,.F.); #27050=ORIENTED_EDGE('',*,*,#19170,.F.); #27051=ORIENTED_EDGE('',*,*,#19178,.T.); #27052=ORIENTED_EDGE('',*,*,#19172,.F.); #27053=ORIENTED_EDGE('',*,*,#19166,.F.); #27054=ORIENTED_EDGE('',*,*,#19179,.T.); #27055=ORIENTED_EDGE('',*,*,#19180,.T.); #27056=ORIENTED_EDGE('',*,*,#19181,.T.); #27057=ORIENTED_EDGE('',*,*,#19182,.T.); #27058=ORIENTED_EDGE('',*,*,#19183,.T.); #27059=ORIENTED_EDGE('',*,*,#19182,.F.); #27060=ORIENTED_EDGE('',*,*,#19174,.F.); #27061=ORIENTED_EDGE('',*,*,#19184,.T.); #27062=ORIENTED_EDGE('',*,*,#19167,.F.); #27063=ORIENTED_EDGE('',*,*,#19171,.F.); #27064=ORIENTED_EDGE('',*,*,#19175,.F.); #27065=ORIENTED_EDGE('',*,*,#19181,.F.); #27066=ORIENTED_EDGE('',*,*,#19185,.T.); #27067=ORIENTED_EDGE('',*,*,#19179,.F.); #27068=ORIENTED_EDGE('',*,*,#19186,.T.); #27069=ORIENTED_EDGE('',*,*,#19187,.T.); #27070=ORIENTED_EDGE('',*,*,#19188,.T.); #27071=ORIENTED_EDGE('',*,*,#19183,.F.); #27072=ORIENTED_EDGE('',*,*,#19189,.F.); #27073=ORIENTED_EDGE('',*,*,#19190,.T.); #27074=ORIENTED_EDGE('',*,*,#19191,.F.); #27075=ORIENTED_EDGE('',*,*,#19184,.F.); #27076=ORIENTED_EDGE('',*,*,#19192,.T.); #27077=ORIENTED_EDGE('',*,*,#19193,.T.); #27078=ORIENTED_EDGE('',*,*,#19189,.T.); #27079=ORIENTED_EDGE('',*,*,#19177,.F.); #27080=ORIENTED_EDGE('',*,*,#19194,.F.); #27081=ORIENTED_EDGE('',*,*,#19195,.T.); #27082=ORIENTED_EDGE('',*,*,#19192,.F.); #27083=ORIENTED_EDGE('',*,*,#19178,.F.); #27084=ORIENTED_EDGE('',*,*,#19196,.T.); #27085=ORIENTED_EDGE('',*,*,#19197,.T.); #27086=ORIENTED_EDGE('',*,*,#19194,.T.); #27087=ORIENTED_EDGE('',*,*,#19173,.F.); #27088=ORIENTED_EDGE('',*,*,#19188,.F.); #27089=ORIENTED_EDGE('',*,*,#19198,.T.); #27090=ORIENTED_EDGE('',*,*,#19196,.F.); #27091=ORIENTED_EDGE('',*,*,#19199,.F.); #27092=ORIENTED_EDGE('',*,*,#19200,.F.); #27093=ORIENTED_EDGE('',*,*,#19201,.F.); #27094=ORIENTED_EDGE('',*,*,#19202,.T.); #27095=ORIENTED_EDGE('',*,*,#19199,.T.); #27096=ORIENTED_EDGE('',*,*,#19202,.F.); #27097=ORIENTED_EDGE('',*,*,#19200,.T.); #27098=ORIENTED_EDGE('',*,*,#19203,.T.); #27099=ORIENTED_EDGE('',*,*,#19204,.F.); #27100=ORIENTED_EDGE('',*,*,#19203,.F.); #27101=ORIENTED_EDGE('',*,*,#19205,.F.); #27102=ORIENTED_EDGE('',*,*,#19206,.F.); #27103=ORIENTED_EDGE('',*,*,#19207,.F.); #27104=ORIENTED_EDGE('',*,*,#19208,.F.); #27105=ORIENTED_EDGE('',*,*,#19185,.F.); #27106=ORIENTED_EDGE('',*,*,#19180,.F.); #27107=ORIENTED_EDGE('',*,*,#19209,.T.); #27108=ORIENTED_EDGE('',*,*,#19210,.T.); #27109=ORIENTED_EDGE('',*,*,#19211,.T.); #27110=ORIENTED_EDGE('',*,*,#19205,.T.); #27111=ORIENTED_EDGE('',*,*,#19191,.T.); #27112=ORIENTED_EDGE('',*,*,#19212,.T.); #27113=ORIENTED_EDGE('',*,*,#19145,.F.); #27114=ORIENTED_EDGE('',*,*,#19213,.T.); #27115=ORIENTED_EDGE('',*,*,#19214,.F.); #27116=ORIENTED_EDGE('',*,*,#19215,.F.); #27117=ORIENTED_EDGE('',*,*,#19142,.F.); #27118=ORIENTED_EDGE('',*,*,#19216,.F.); #27119=ORIENTED_EDGE('',*,*,#19217,.F.); #27120=ORIENTED_EDGE('',*,*,#19218,.F.); #27121=ORIENTED_EDGE('',*,*,#19219,.F.); #27122=ORIENTED_EDGE('',*,*,#19219,.T.); #27123=ORIENTED_EDGE('',*,*,#19220,.F.); #27124=ORIENTED_EDGE('',*,*,#19221,.F.); #27125=ORIENTED_EDGE('',*,*,#19222,.F.); #27126=ORIENTED_EDGE('',*,*,#19143,.F.); #27127=ORIENTED_EDGE('',*,*,#19223,.T.); #27128=ORIENTED_EDGE('',*,*,#19217,.T.); #27129=ORIENTED_EDGE('',*,*,#19224,.F.); #27130=ORIENTED_EDGE('',*,*,#19225,.T.); #27131=ORIENTED_EDGE('',*,*,#19226,.T.); #27132=ORIENTED_EDGE('',*,*,#19227,.T.); #27133=ORIENTED_EDGE('',*,*,#19228,.T.); #27134=ORIENTED_EDGE('',*,*,#19229,.T.); #27135=ORIENTED_EDGE('',*,*,#19230,.T.); #27136=ORIENTED_EDGE('',*,*,#19231,.T.); #27137=ORIENTED_EDGE('',*,*,#19228,.F.); #27138=ORIENTED_EDGE('',*,*,#19232,.T.); #27139=ORIENTED_EDGE('',*,*,#19233,.T.); #27140=ORIENTED_EDGE('',*,*,#19234,.T.); #27141=ORIENTED_EDGE('',*,*,#19230,.F.); #27142=ORIENTED_EDGE('',*,*,#19235,.T.); #27143=ORIENTED_EDGE('',*,*,#19236,.T.); #27144=ORIENTED_EDGE('',*,*,#19237,.T.); #27145=ORIENTED_EDGE('',*,*,#19233,.F.); #27146=ORIENTED_EDGE('',*,*,#19238,.T.); #27147=ORIENTED_EDGE('',*,*,#19239,.F.); #27148=ORIENTED_EDGE('',*,*,#19236,.F.); #27149=ORIENTED_EDGE('',*,*,#19240,.T.); #27150=ORIENTED_EDGE('',*,*,#19241,.F.); #27151=ORIENTED_EDGE('',*,*,#19242,.T.); #27152=ORIENTED_EDGE('',*,*,#19243,.T.); #27153=ORIENTED_EDGE('',*,*,#19240,.F.); #27154=ORIENTED_EDGE('',*,*,#19244,.T.); #27155=ORIENTED_EDGE('',*,*,#19245,.F.); #27156=ORIENTED_EDGE('',*,*,#19238,.F.); #27157=ORIENTED_EDGE('',*,*,#19246,.F.); #27158=ORIENTED_EDGE('',*,*,#19247,.F.); #27159=ORIENTED_EDGE('',*,*,#19244,.F.); #27160=ORIENTED_EDGE('',*,*,#19235,.F.); #27161=ORIENTED_EDGE('',*,*,#19248,.F.); #27162=ORIENTED_EDGE('',*,*,#19225,.F.); #27163=ORIENTED_EDGE('',*,*,#19246,.T.); #27164=ORIENTED_EDGE('',*,*,#19218,.T.); #27165=ORIENTED_EDGE('',*,*,#19223,.F.); #27166=ORIENTED_EDGE('',*,*,#19248,.T.); #27167=ORIENTED_EDGE('',*,*,#19232,.F.); #27168=ORIENTED_EDGE('',*,*,#19249,.T.); #27169=ORIENTED_EDGE('',*,*,#19250,.F.); #27170=ORIENTED_EDGE('',*,*,#19220,.T.); #27171=ORIENTED_EDGE('',*,*,#19227,.F.); #27172=ORIENTED_EDGE('',*,*,#19251,.F.); #27173=ORIENTED_EDGE('',*,*,#19252,.F.); #27174=ORIENTED_EDGE('',*,*,#19249,.F.); #27175=ORIENTED_EDGE('',*,*,#19253,.T.); #27176=ORIENTED_EDGE('',*,*,#19254,.T.); #27177=ORIENTED_EDGE('',*,*,#19255,.T.); #27178=ORIENTED_EDGE('',*,*,#19256,.F.); #27179=ORIENTED_EDGE('',*,*,#19209,.F.); #27180=ORIENTED_EDGE('',*,*,#19257,.T.); #27181=ORIENTED_EDGE('',*,*,#19186,.F.); #27182=ORIENTED_EDGE('',*,*,#19169,.F.); #27183=ORIENTED_EDGE('',*,*,#19211,.F.); #27184=ORIENTED_EDGE('',*,*,#19258,.F.); #27185=ORIENTED_EDGE('',*,*,#19259,.F.); #27186=ORIENTED_EDGE('',*,*,#19260,.F.); #27187=ORIENTED_EDGE('',*,*,#19254,.F.); #27188=ORIENTED_EDGE('',*,*,#19261,.T.); #27189=ORIENTED_EDGE('',*,*,#19207,.T.); #27190=ORIENTED_EDGE('',*,*,#19262,.F.); #27191=ORIENTED_EDGE('',*,*,#19263,.T.); #27192=ORIENTED_EDGE('',*,*,#19264,.T.); #27193=ORIENTED_EDGE('',*,*,#19262,.T.); #27194=ORIENTED_EDGE('',*,*,#19206,.T.); #27195=ORIENTED_EDGE('',*,*,#19260,.T.); #27196=ORIENTED_EDGE('',*,*,#19265,.T.); #27197=ORIENTED_EDGE('',*,*,#19266,.F.); #27198=ORIENTED_EDGE('',*,*,#19264,.F.); #27199=ORIENTED_EDGE('',*,*,#19267,.F.); #27200=ORIENTED_EDGE('',*,*,#19268,.F.); #27201=ORIENTED_EDGE('',*,*,#19269,.F.); #27202=ORIENTED_EDGE('',*,*,#19255,.F.); #27203=ORIENTED_EDGE('',*,*,#19265,.F.); #27204=ORIENTED_EDGE('',*,*,#19259,.T.); #27205=ORIENTED_EDGE('',*,*,#19270,.F.); #27206=ORIENTED_EDGE('',*,*,#19271,.T.); #27207=ORIENTED_EDGE('',*,*,#19268,.T.); #27208=ORIENTED_EDGE('',*,*,#19272,.F.); #27209=ORIENTED_EDGE('',*,*,#19271,.F.); #27210=ORIENTED_EDGE('',*,*,#19273,.F.); #27211=ORIENTED_EDGE('',*,*,#19263,.F.); #27212=ORIENTED_EDGE('',*,*,#19266,.T.); #27213=ORIENTED_EDGE('',*,*,#19272,.T.); #27214=ORIENTED_EDGE('',*,*,#19267,.T.); #27215=ORIENTED_EDGE('',*,*,#19208,.T.); #27216=ORIENTED_EDGE('',*,*,#19261,.F.); #27217=ORIENTED_EDGE('',*,*,#19253,.F.); #27218=ORIENTED_EDGE('',*,*,#19168,.F.); #27219=ORIENTED_EDGE('',*,*,#19250,.T.); #27220=ORIENTED_EDGE('',*,*,#19252,.T.); #27221=ORIENTED_EDGE('',*,*,#19274,.T.); #27222=ORIENTED_EDGE('',*,*,#19221,.T.); #27223=ORIENTED_EDGE('',*,*,#19141,.T.); #27224=ORIENTED_EDGE('',*,*,#19275,.T.); #27225=ORIENTED_EDGE('',*,*,#19245,.T.); #27226=ORIENTED_EDGE('',*,*,#19247,.T.); #27227=ORIENTED_EDGE('',*,*,#19224,.T.); #27228=ORIENTED_EDGE('',*,*,#19216,.T.); #27229=ORIENTED_EDGE('',*,*,#19226,.F.); #27230=ORIENTED_EDGE('',*,*,#19276,.F.); #27231=ORIENTED_EDGE('',*,*,#19213,.F.); #27232=ORIENTED_EDGE('',*,*,#19144,.F.); #27233=ORIENTED_EDGE('',*,*,#19222,.T.); #27234=ORIENTED_EDGE('',*,*,#19274,.F.); #27235=ORIENTED_EDGE('',*,*,#19251,.T.); #27236=ORIENTED_EDGE('',*,*,#19256,.T.); #27237=ORIENTED_EDGE('',*,*,#19269,.T.); #27238=ORIENTED_EDGE('',*,*,#19273,.T.); #27239=ORIENTED_EDGE('',*,*,#19270,.T.); #27240=ORIENTED_EDGE('',*,*,#19258,.T.); #27241=ORIENTED_EDGE('',*,*,#19210,.F.); #27242=ORIENTED_EDGE('',*,*,#19277,.T.); #27243=ORIENTED_EDGE('',*,*,#19278,.F.); #27244=ORIENTED_EDGE('',*,*,#19279,.T.); #27245=ORIENTED_EDGE('',*,*,#19157,.T.); #27246=ORIENTED_EDGE('',*,*,#19279,.F.); #27247=ORIENTED_EDGE('',*,*,#19280,.T.); #27248=ORIENTED_EDGE('',*,*,#19281,.T.); #27249=ORIENTED_EDGE('',*,*,#19164,.F.); #27250=ORIENTED_EDGE('',*,*,#19282,.T.); #27251=ORIENTED_EDGE('',*,*,#19283,.F.); #27252=ORIENTED_EDGE('',*,*,#19146,.T.); #27253=ORIENTED_EDGE('',*,*,#19215,.T.); #27254=ORIENTED_EDGE('',*,*,#19204,.T.); #27255=ORIENTED_EDGE('',*,*,#19193,.F.); #27256=ORIENTED_EDGE('',*,*,#19195,.F.); #27257=ORIENTED_EDGE('',*,*,#19197,.F.); #27258=ORIENTED_EDGE('',*,*,#19198,.F.); #27259=ORIENTED_EDGE('',*,*,#19187,.F.); #27260=ORIENTED_EDGE('',*,*,#19257,.F.); #27261=ORIENTED_EDGE('',*,*,#19212,.F.); #27262=ORIENTED_EDGE('',*,*,#19190,.F.); #27263=ORIENTED_EDGE('',*,*,#19284,.F.); #27264=ORIENTED_EDGE('',*,*,#19160,.F.); #27265=ORIENTED_EDGE('',*,*,#19163,.F.); #27266=ORIENTED_EDGE('',*,*,#19165,.F.); #27267=ORIENTED_EDGE('',*,*,#19281,.F.); #27268=ORIENTED_EDGE('',*,*,#19285,.T.); #27269=ORIENTED_EDGE('',*,*,#19286,.T.); #27270=ORIENTED_EDGE('',*,*,#19287,.T.); #27271=ORIENTED_EDGE('',*,*,#19288,.T.); #27272=ORIENTED_EDGE('',*,*,#19289,.T.); #27273=ORIENTED_EDGE('',*,*,#19290,.T.); #27274=ORIENTED_EDGE('',*,*,#19291,.T.); #27275=ORIENTED_EDGE('',*,*,#19292,.T.); #27276=ORIENTED_EDGE('',*,*,#19293,.T.); #27277=ORIENTED_EDGE('',*,*,#19294,.T.); #27278=ORIENTED_EDGE('',*,*,#19295,.T.); #27279=ORIENTED_EDGE('',*,*,#19296,.F.); #27280=ORIENTED_EDGE('',*,*,#19297,.T.); #27281=ORIENTED_EDGE('',*,*,#19298,.T.); #27282=ORIENTED_EDGE('',*,*,#19299,.T.); #27283=ORIENTED_EDGE('',*,*,#19242,.F.); #27284=ORIENTED_EDGE('',*,*,#19275,.F.); #27285=ORIENTED_EDGE('',*,*,#19140,.T.); #27286=ORIENTED_EDGE('',*,*,#19201,.T.); #27287=ORIENTED_EDGE('',*,*,#19300,.F.); #27288=ORIENTED_EDGE('',*,*,#19299,.F.); #27289=ORIENTED_EDGE('',*,*,#19301,.T.); #27290=ORIENTED_EDGE('',*,*,#19302,.T.); #27291=ORIENTED_EDGE('',*,*,#19243,.F.); #27292=ORIENTED_EDGE('',*,*,#19303,.F.); #27293=ORIENTED_EDGE('',*,*,#19304,.F.); #27294=ORIENTED_EDGE('',*,*,#19305,.F.); #27295=ORIENTED_EDGE('',*,*,#19306,.F.); #27296=ORIENTED_EDGE('',*,*,#19307,.T.); #27297=ORIENTED_EDGE('',*,*,#19308,.F.); #27298=ORIENTED_EDGE('',*,*,#19303,.T.); #27299=ORIENTED_EDGE('',*,*,#19305,.T.); #27300=ORIENTED_EDGE('',*,*,#19309,.F.); #27301=ORIENTED_EDGE('',*,*,#19278,.T.); #27302=ORIENTED_EDGE('',*,*,#19308,.T.); #27303=ORIENTED_EDGE('',*,*,#19310,.F.); #27304=ORIENTED_EDGE('',*,*,#19287,.F.); #27305=ORIENTED_EDGE('',*,*,#19311,.F.); #27306=ORIENTED_EDGE('',*,*,#19304,.T.); #27307=ORIENTED_EDGE('',*,*,#19311,.T.); #27308=ORIENTED_EDGE('',*,*,#19286,.F.); #27309=ORIENTED_EDGE('',*,*,#19312,.T.); #27310=ORIENTED_EDGE('',*,*,#19309,.T.); #27311=ORIENTED_EDGE('',*,*,#19312,.F.); #27312=ORIENTED_EDGE('',*,*,#19285,.F.); #27313=ORIENTED_EDGE('',*,*,#19280,.F.); #27314=ORIENTED_EDGE('',*,*,#19313,.F.); #27315=ORIENTED_EDGE('',*,*,#19314,.F.); #27316=ORIENTED_EDGE('',*,*,#19315,.F.); #27317=ORIENTED_EDGE('',*,*,#19316,.F.); #27318=ORIENTED_EDGE('',*,*,#19317,.F.); #27319=ORIENTED_EDGE('',*,*,#19318,.F.); #27320=ORIENTED_EDGE('',*,*,#19319,.F.); #27321=ORIENTED_EDGE('',*,*,#19320,.F.); #27322=ORIENTED_EDGE('',*,*,#19321,.F.); #27323=ORIENTED_EDGE('',*,*,#19322,.F.); #27324=ORIENTED_EDGE('',*,*,#19323,.F.); #27325=ORIENTED_EDGE('',*,*,#19324,.F.); #27326=ORIENTED_EDGE('',*,*,#19323,.T.); #27327=ORIENTED_EDGE('',*,*,#19325,.F.); #27328=ORIENTED_EDGE('',*,*,#19317,.T.); #27329=ORIENTED_EDGE('',*,*,#19319,.T.); #27330=ORIENTED_EDGE('',*,*,#19326,.F.); #27331=ORIENTED_EDGE('',*,*,#19313,.T.); #27332=ORIENTED_EDGE('',*,*,#19327,.F.); #27333=ORIENTED_EDGE('',*,*,#19328,.F.); #27334=ORIENTED_EDGE('',*,*,#19329,.F.); #27335=ORIENTED_EDGE('',*,*,#19330,.F.); #27336=ORIENTED_EDGE('',*,*,#19329,.T.); #27337=ORIENTED_EDGE('',*,*,#19331,.F.); #27338=ORIENTED_EDGE('',*,*,#19321,.T.); #27339=ORIENTED_EDGE('',*,*,#19316,.T.); #27340=ORIENTED_EDGE('',*,*,#19332,.F.); #27341=ORIENTED_EDGE('',*,*,#19330,.T.); #27342=ORIENTED_EDGE('',*,*,#19324,.T.); #27343=ORIENTED_EDGE('',*,*,#19320,.T.); #27344=ORIENTED_EDGE('',*,*,#19326,.T.); #27345=ORIENTED_EDGE('',*,*,#19333,.F.); #27346=ORIENTED_EDGE('',*,*,#19292,.F.); #27347=ORIENTED_EDGE('',*,*,#19334,.F.); #27348=ORIENTED_EDGE('',*,*,#19328,.T.); #27349=ORIENTED_EDGE('',*,*,#19335,.T.); #27350=ORIENTED_EDGE('',*,*,#19289,.F.); #27351=ORIENTED_EDGE('',*,*,#19336,.T.); #27352=ORIENTED_EDGE('',*,*,#19331,.T.); #27353=ORIENTED_EDGE('',*,*,#19336,.F.); #27354=ORIENTED_EDGE('',*,*,#19288,.F.); #27355=ORIENTED_EDGE('',*,*,#19337,.F.); #27356=ORIENTED_EDGE('',*,*,#19322,.T.); #27357=ORIENTED_EDGE('',*,*,#19337,.T.); #27358=ORIENTED_EDGE('',*,*,#19295,.F.); #27359=ORIENTED_EDGE('',*,*,#19338,.T.); #27360=ORIENTED_EDGE('',*,*,#19325,.T.); #27361=ORIENTED_EDGE('',*,*,#19338,.F.); #27362=ORIENTED_EDGE('',*,*,#19294,.F.); #27363=ORIENTED_EDGE('',*,*,#19339,.F.); #27364=ORIENTED_EDGE('',*,*,#19318,.T.); #27365=ORIENTED_EDGE('',*,*,#19339,.T.); #27366=ORIENTED_EDGE('',*,*,#19293,.F.); #27367=ORIENTED_EDGE('',*,*,#19333,.T.); #27368=ORIENTED_EDGE('',*,*,#19340,.T.); #27369=ORIENTED_EDGE('',*,*,#19341,.T.); #27370=ORIENTED_EDGE('',*,*,#19300,.T.); #27371=ORIENTED_EDGE('',*,*,#19342,.T.); #27372=ORIENTED_EDGE('',*,*,#19340,.F.); #27373=ORIENTED_EDGE('',*,*,#19342,.F.); #27374=ORIENTED_EDGE('',*,*,#19341,.F.); #27375=ORIENTED_EDGE('',*,*,#19343,.T.); #27376=ORIENTED_EDGE('',*,*,#19296,.T.); #27377=ORIENTED_EDGE('',*,*,#19343,.F.); #27378=ORIENTED_EDGE('',*,*,#19302,.F.); #27379=ORIENTED_EDGE('',*,*,#19344,.F.); #27380=ORIENTED_EDGE('',*,*,#19345,.F.); #27381=ORIENTED_EDGE('',*,*,#19241,.T.); #27382=ORIENTED_EDGE('',*,*,#19284,.T.); #27383=ORIENTED_EDGE('',*,*,#19310,.T.); #27384=ORIENTED_EDGE('',*,*,#19346,.F.); #27385=ORIENTED_EDGE('',*,*,#19161,.F.); #27386=ORIENTED_EDGE('',*,*,#19346,.T.); #27387=ORIENTED_EDGE('',*,*,#19307,.F.); #27388=ORIENTED_EDGE('',*,*,#19347,.F.); #27389=ORIENTED_EDGE('',*,*,#19155,.T.); #27390=ORIENTED_EDGE('',*,*,#19347,.T.); #27391=ORIENTED_EDGE('',*,*,#19306,.T.); #27392=ORIENTED_EDGE('',*,*,#19277,.F.); #27393=ORIENTED_EDGE('',*,*,#19152,.F.); #27394=ORIENTED_EDGE('',*,*,#19348,.T.); #27395=ORIENTED_EDGE('',*,*,#19327,.T.); #27396=ORIENTED_EDGE('',*,*,#19332,.T.); #27397=ORIENTED_EDGE('',*,*,#19349,.T.); #27398=ORIENTED_EDGE('',*,*,#19350,.T.); #27399=ORIENTED_EDGE('',*,*,#19351,.T.); #27400=ORIENTED_EDGE('',*,*,#19352,.F.); #27401=ORIENTED_EDGE('',*,*,#19290,.F.); #27402=ORIENTED_EDGE('',*,*,#19335,.F.); #27403=ORIENTED_EDGE('',*,*,#19348,.F.); #27404=ORIENTED_EDGE('',*,*,#19353,.F.); #27405=ORIENTED_EDGE('',*,*,#19354,.F.); #27406=ORIENTED_EDGE('',*,*,#19147,.T.); #27407=ORIENTED_EDGE('',*,*,#19283,.T.); #27408=ORIENTED_EDGE('',*,*,#19355,.T.); #27409=ORIENTED_EDGE('',*,*,#19356,.F.); #27410=ORIENTED_EDGE('',*,*,#18268,.T.); #27411=ORIENTED_EDGE('',*,*,#18271,.T.); #27412=ORIENTED_EDGE('',*,*,#18248,.T.); #27413=ORIENTED_EDGE('',*,*,#19150,.T.); #27414=ORIENTED_EDGE('',*,*,#19357,.T.); #27415=ORIENTED_EDGE('',*,*,#19358,.T.); #27416=ORIENTED_EDGE('',*,*,#19359,.T.); #27417=ORIENTED_EDGE('',*,*,#19360,.T.); #27418=ORIENTED_EDGE('',*,*,#19357,.F.); #27419=ORIENTED_EDGE('',*,*,#19149,.T.); #27420=ORIENTED_EDGE('',*,*,#19361,.T.); #27421=ORIENTED_EDGE('',*,*,#19362,.T.); #27422=ORIENTED_EDGE('',*,*,#19363,.T.); #27423=ORIENTED_EDGE('',*,*,#19364,.F.); #27424=ORIENTED_EDGE('',*,*,#19365,.F.); #27425=ORIENTED_EDGE('',*,*,#19366,.T.); #27426=ORIENTED_EDGE('',*,*,#19359,.F.); #27427=ORIENTED_EDGE('',*,*,#19367,.F.); #27428=ORIENTED_EDGE('',*,*,#19368,.F.); #27429=ORIENTED_EDGE('',*,*,#19369,.F.); #27430=ORIENTED_EDGE('',*,*,#19370,.F.); #27431=ORIENTED_EDGE('',*,*,#19371,.F.); #27432=ORIENTED_EDGE('',*,*,#19372,.F.); #27433=ORIENTED_EDGE('',*,*,#19369,.T.); #27434=ORIENTED_EDGE('',*,*,#19373,.F.); #27435=ORIENTED_EDGE('',*,*,#19374,.F.); #27436=ORIENTED_EDGE('',*,*,#19375,.F.); #27437=ORIENTED_EDGE('',*,*,#19371,.T.); #27438=ORIENTED_EDGE('',*,*,#19376,.F.); #27439=ORIENTED_EDGE('',*,*,#19377,.F.); #27440=ORIENTED_EDGE('',*,*,#19378,.F.); #27441=ORIENTED_EDGE('',*,*,#19374,.T.); #27442=ORIENTED_EDGE('',*,*,#19379,.F.); #27443=ORIENTED_EDGE('',*,*,#19380,.T.); #27444=ORIENTED_EDGE('',*,*,#19344,.T.); #27445=ORIENTED_EDGE('',*,*,#19381,.F.); #27446=ORIENTED_EDGE('',*,*,#19377,.T.); #27447=ORIENTED_EDGE('',*,*,#19298,.F.); #27448=ORIENTED_EDGE('',*,*,#19382,.T.); #27449=ORIENTED_EDGE('',*,*,#19383,.F.); #27450=ORIENTED_EDGE('',*,*,#19381,.T.); #27451=ORIENTED_EDGE('',*,*,#19301,.F.); #27452=ORIENTED_EDGE('',*,*,#19378,.T.); #27453=ORIENTED_EDGE('',*,*,#19383,.T.); #27454=ORIENTED_EDGE('',*,*,#19384,.T.); #27455=ORIENTED_EDGE('',*,*,#19385,.T.); #27456=ORIENTED_EDGE('',*,*,#19375,.T.); #27457=ORIENTED_EDGE('',*,*,#19385,.F.); #27458=ORIENTED_EDGE('',*,*,#19365,.T.); #27459=ORIENTED_EDGE('',*,*,#19386,.T.); #27460=ORIENTED_EDGE('',*,*,#19358,.F.); #27461=ORIENTED_EDGE('',*,*,#19363,.F.); #27462=ORIENTED_EDGE('',*,*,#19387,.T.); #27463=ORIENTED_EDGE('',*,*,#19388,.F.); #27464=ORIENTED_EDGE('',*,*,#19372,.T.); #27465=ORIENTED_EDGE('',*,*,#19386,.F.); #27466=ORIENTED_EDGE('',*,*,#19364,.T.); #27467=ORIENTED_EDGE('',*,*,#19370,.T.); #27468=ORIENTED_EDGE('',*,*,#19388,.T.); #27469=ORIENTED_EDGE('',*,*,#19389,.T.); #27470=ORIENTED_EDGE('',*,*,#19390,.T.); #27471=ORIENTED_EDGE('',*,*,#19391,.F.); #27472=ORIENTED_EDGE('',*,*,#19314,.T.); #27473=ORIENTED_EDGE('',*,*,#19334,.T.); #27474=ORIENTED_EDGE('',*,*,#19291,.F.); #27475=ORIENTED_EDGE('',*,*,#19352,.T.); #27476=ORIENTED_EDGE('',*,*,#19392,.T.); #27477=ORIENTED_EDGE('',*,*,#19393,.F.); #27478=ORIENTED_EDGE('',*,*,#19394,.F.); #27479=ORIENTED_EDGE('',*,*,#19353,.T.); #27480=ORIENTED_EDGE('',*,*,#19395,.T.); #27481=ORIENTED_EDGE('',*,*,#19396,.T.); #27482=ORIENTED_EDGE('',*,*,#19397,.T.); #27483=ORIENTED_EDGE('',*,*,#19394,.T.); #27484=ORIENTED_EDGE('',*,*,#19398,.F.); #27485=ORIENTED_EDGE('',*,*,#19399,.F.); #27486=ORIENTED_EDGE('',*,*,#19400,.T.); #27487=ORIENTED_EDGE('',*,*,#19350,.F.); #27488=ORIENTED_EDGE('',*,*,#19401,.F.); #27489=ORIENTED_EDGE('',*,*,#19400,.F.); #27490=ORIENTED_EDGE('',*,*,#19402,.T.); #27491=ORIENTED_EDGE('',*,*,#19403,.F.); #27492=ORIENTED_EDGE('',*,*,#19395,.F.); #27493=ORIENTED_EDGE('',*,*,#19351,.F.); #27494=ORIENTED_EDGE('',*,*,#19398,.T.); #27495=ORIENTED_EDGE('',*,*,#19393,.T.); #27496=ORIENTED_EDGE('',*,*,#19404,.T.); #27497=ORIENTED_EDGE('',*,*,#19405,.T.); #27498=ORIENTED_EDGE('',*,*,#19406,.T.); #27499=ORIENTED_EDGE('',*,*,#19403,.T.); #27500=ORIENTED_EDGE('',*,*,#19407,.F.); #27501=ORIENTED_EDGE('',*,*,#19408,.T.); #27502=ORIENTED_EDGE('',*,*,#19396,.F.); #27503=ORIENTED_EDGE('',*,*,#19405,.F.); #27504=ORIENTED_EDGE('',*,*,#19409,.T.); #27505=ORIENTED_EDGE('',*,*,#19407,.T.); #27506=ORIENTED_EDGE('',*,*,#19410,.T.); #27507=ORIENTED_EDGE('',*,*,#19399,.T.); #27508=ORIENTED_EDGE('',*,*,#19406,.F.); #27509=ORIENTED_EDGE('',*,*,#19410,.F.); #27510=ORIENTED_EDGE('',*,*,#19402,.F.); #27511=ORIENTED_EDGE('',*,*,#19349,.F.); #27512=ORIENTED_EDGE('',*,*,#19315,.T.); #27513=ORIENTED_EDGE('',*,*,#19391,.T.); #27514=ORIENTED_EDGE('',*,*,#19401,.T.); #27515=ORIENTED_EDGE('',*,*,#19387,.F.); #27516=ORIENTED_EDGE('',*,*,#19362,.F.); #27517=ORIENTED_EDGE('',*,*,#19411,.F.); #27518=ORIENTED_EDGE('',*,*,#19389,.F.); #27519=ORIENTED_EDGE('',*,*,#19139,.F.); #27520=ORIENTED_EDGE('',*,*,#19360,.F.); #27521=ORIENTED_EDGE('',*,*,#19366,.F.); #27522=ORIENTED_EDGE('',*,*,#19384,.F.); #27523=ORIENTED_EDGE('',*,*,#19382,.F.); #27524=ORIENTED_EDGE('',*,*,#19297,.F.); #27525=ORIENTED_EDGE('',*,*,#19367,.T.); #27526=ORIENTED_EDGE('',*,*,#19390,.F.); #27527=ORIENTED_EDGE('',*,*,#19411,.T.); #27528=ORIENTED_EDGE('',*,*,#19361,.F.); #27529=ORIENTED_EDGE('',*,*,#19148,.T.); #27530=ORIENTED_EDGE('',*,*,#19356,.T.); #27531=ORIENTED_EDGE('',*,*,#19412,.T.); #27532=ORIENTED_EDGE('',*,*,#19392,.F.); #27533=ORIENTED_EDGE('',*,*,#19354,.T.); #27534=ORIENTED_EDGE('',*,*,#19397,.F.); #27535=ORIENTED_EDGE('',*,*,#19408,.F.); #27536=ORIENTED_EDGE('',*,*,#19409,.F.); #27537=ORIENTED_EDGE('',*,*,#19404,.F.); #27538=ORIENTED_EDGE('',*,*,#19355,.F.); #27539=ORIENTED_EDGE('',*,*,#19282,.F.); #27540=ORIENTED_EDGE('',*,*,#19214,.T.); #27541=ORIENTED_EDGE('',*,*,#19276,.T.); #27542=ORIENTED_EDGE('',*,*,#19229,.F.); #27543=ORIENTED_EDGE('',*,*,#19231,.F.); #27544=ORIENTED_EDGE('',*,*,#19234,.F.); #27545=ORIENTED_EDGE('',*,*,#19237,.F.); #27546=ORIENTED_EDGE('',*,*,#19239,.T.); #27547=ORIENTED_EDGE('',*,*,#19345,.T.); #27548=ORIENTED_EDGE('',*,*,#19380,.F.); #27549=ORIENTED_EDGE('',*,*,#19379,.T.); #27550=ORIENTED_EDGE('',*,*,#19376,.T.); #27551=ORIENTED_EDGE('',*,*,#19373,.T.); #27552=ORIENTED_EDGE('',*,*,#19368,.T.); #27553=ORIENTED_EDGE('',*,*,#19412,.F.); #27554=ORIENTED_EDGE('',*,*,#19413,.T.); #27555=ORIENTED_EDGE('',*,*,#19414,.T.); #27556=ORIENTED_EDGE('',*,*,#19415,.T.); #27557=ORIENTED_EDGE('',*,*,#19416,.T.); #27558=ORIENTED_EDGE('',*,*,#19414,.F.); #27559=ORIENTED_EDGE('',*,*,#19417,.F.); #27560=ORIENTED_EDGE('',*,*,#19418,.F.); #27561=ORIENTED_EDGE('',*,*,#19419,.T.); #27562=ORIENTED_EDGE('',*,*,#19415,.F.); #27563=ORIENTED_EDGE('',*,*,#19416,.F.); #27564=ORIENTED_EDGE('',*,*,#19419,.F.); #27565=ORIENTED_EDGE('',*,*,#19420,.T.); #27566=ORIENTED_EDGE('',*,*,#19413,.F.); #27567=ORIENTED_EDGE('',*,*,#19421,.T.); #27568=ORIENTED_EDGE('',*,*,#19422,.T.); #27569=ORIENTED_EDGE('',*,*,#19417,.T.); #27570=ORIENTED_EDGE('',*,*,#19418,.T.); #27571=ORIENTED_EDGE('',*,*,#19422,.F.); #27572=ORIENTED_EDGE('',*,*,#19421,.F.); #27573=ORIENTED_EDGE('',*,*,#19423,.T.); #27574=ORIENTED_EDGE('',*,*,#19424,.T.); #27575=ORIENTED_EDGE('',*,*,#19425,.T.); #27576=ORIENTED_EDGE('',*,*,#19426,.T.); #27577=ORIENTED_EDGE('',*,*,#19425,.F.); #27578=ORIENTED_EDGE('',*,*,#19426,.F.); #27579=ORIENTED_EDGE('',*,*,#19427,.T.); #27580=ORIENTED_EDGE('',*,*,#19428,.T.); #27581=ORIENTED_EDGE('',*,*,#19429,.T.); #27582=ORIENTED_EDGE('',*,*,#19430,.T.); #27583=ORIENTED_EDGE('',*,*,#19431,.T.); #27584=ORIENTED_EDGE('',*,*,#19432,.T.); #27585=ORIENTED_EDGE('',*,*,#19420,.F.); #27586=ORIENTED_EDGE('',*,*,#19433,.T.); #27587=ORIENTED_EDGE('',*,*,#19423,.F.); #27588=ORIENTED_EDGE('',*,*,#19424,.F.); #27589=ORIENTED_EDGE('',*,*,#19433,.F.); #27590=ORIENTED_EDGE('',*,*,#19434,.F.); #27591=ORIENTED_EDGE('',*,*,#19435,.T.); #27592=ORIENTED_EDGE('',*,*,#19431,.F.); #27593=ORIENTED_EDGE('',*,*,#19436,.F.); #27594=ORIENTED_EDGE('',*,*,#19437,.F.); #27595=ORIENTED_EDGE('',*,*,#19438,.T.); #27596=ORIENTED_EDGE('',*,*,#19432,.F.); #27597=ORIENTED_EDGE('',*,*,#19435,.F.); #27598=ORIENTED_EDGE('',*,*,#19439,.F.); #27599=ORIENTED_EDGE('',*,*,#19440,.T.); #27600=ORIENTED_EDGE('',*,*,#19427,.F.); #27601=ORIENTED_EDGE('',*,*,#19438,.F.); #27602=ORIENTED_EDGE('',*,*,#19441,.F.); #27603=ORIENTED_EDGE('',*,*,#19442,.F.); #27604=ORIENTED_EDGE('',*,*,#19428,.F.); #27605=ORIENTED_EDGE('',*,*,#19440,.F.); #27606=ORIENTED_EDGE('',*,*,#19443,.F.); #27607=ORIENTED_EDGE('',*,*,#19436,.T.); #27608=ORIENTED_EDGE('',*,*,#19430,.F.); #27609=ORIENTED_EDGE('',*,*,#19444,.F.); #27610=ORIENTED_EDGE('',*,*,#19445,.F.); #27611=ORIENTED_EDGE('',*,*,#19446,.F.); #27612=ORIENTED_EDGE('',*,*,#19444,.T.); #27613=ORIENTED_EDGE('',*,*,#19429,.F.); #27614=ORIENTED_EDGE('',*,*,#19442,.T.); #27615=ORIENTED_EDGE('',*,*,#19447,.T.); #27616=ORIENTED_EDGE('',*,*,#19445,.T.); #27617=ORIENTED_EDGE('',*,*,#19446,.T.); #27618=ORIENTED_EDGE('',*,*,#19441,.T.); #27619=ORIENTED_EDGE('',*,*,#19439,.T.); #27620=ORIENTED_EDGE('',*,*,#19437,.T.); #27621=ORIENTED_EDGE('',*,*,#19434,.T.); #27622=ORIENTED_EDGE('',*,*,#19443,.T.); #27623=ORIENTED_EDGE('',*,*,#19447,.F.); #27624=CYLINDRICAL_SURFACE('',#30112,5.); #27625=CYLINDRICAL_SURFACE('',#30115,5.); #27626=CYLINDRICAL_SURFACE('',#30118,2.067); #27627=CYLINDRICAL_SURFACE('',#30121,2.067); #27628=CYLINDRICAL_SURFACE('',#30124,2.067); #27629=CYLINDRICAL_SURFACE('',#30127,2.067); #27630=CYLINDRICAL_SURFACE('',#30130,2.75); #27631=CYLINDRICAL_SURFACE('',#30133,2.75); #27632=CYLINDRICAL_SURFACE('',#30136,2.75); #27633=CYLINDRICAL_SURFACE('',#30139,2.75); #27634=CYLINDRICAL_SURFACE('',#30142,2.75); #27635=CYLINDRICAL_SURFACE('',#30145,2.75); #27636=CYLINDRICAL_SURFACE('',#30148,2.75); #27637=CYLINDRICAL_SURFACE('',#30151,2.75); #27638=CYLINDRICAL_SURFACE('',#30155,30.); #27639=CYLINDRICAL_SURFACE('',#30159,30.); #27640=CYLINDRICAL_SURFACE('',#30162,3.3235); #27641=CYLINDRICAL_SURFACE('',#30165,3.3235); #27642=CYLINDRICAL_SURFACE('',#30168,4.1); #27643=CYLINDRICAL_SURFACE('',#30171,4.1); #27644=CYLINDRICAL_SURFACE('',#30174,4.1); #27645=CYLINDRICAL_SURFACE('',#30177,4.1); #27646=CYLINDRICAL_SURFACE('',#30180,2.75); #27647=CYLINDRICAL_SURFACE('',#30184,2.75); #27648=CYLINDRICAL_SURFACE('',#30188,2.75000000000001); #27649=CYLINDRICAL_SURFACE('',#30192,2.75); #27650=CYLINDRICAL_SURFACE('',#30196,2.75); #27651=CYLINDRICAL_SURFACE('',#30200,2.75); #27652=CYLINDRICAL_SURFACE('',#30204,1.); #27653=CYLINDRICAL_SURFACE('',#30215,1.); #27654=CYLINDRICAL_SURFACE('',#30218,4.); #27655=CYLINDRICAL_SURFACE('',#30226,74.); #27656=CYLINDRICAL_SURFACE('',#30228,30.); #27657=CYLINDRICAL_SURFACE('',#30230,2.5); #27658=CYLINDRICAL_SURFACE('',#30232,1.99999999999999); #27659=CYLINDRICAL_SURFACE('',#30236,13.); #27660=CYLINDRICAL_SURFACE('',#30239,2.067); #27661=CYLINDRICAL_SURFACE('',#30242,2.067); #27662=CYLINDRICAL_SURFACE('',#30245,2.067); #27663=CYLINDRICAL_SURFACE('',#30248,2.067); #27664=CYLINDRICAL_SURFACE('',#30253,30.); #27665=CYLINDRICAL_SURFACE('',#30257,43.); #27666=CYLINDRICAL_SURFACE('',#30263,32.); #27667=CYLINDRICAL_SURFACE('',#30271,26.); #27668=CYLINDRICAL_SURFACE('',#30275,23.); #27669=CYLINDRICAL_SURFACE('',#30289,12.5); #27670=CYLINDRICAL_SURFACE('',#30294,16.450001); #27671=CYLINDRICAL_SURFACE('',#30306,26.); #27672=CYLINDRICAL_SURFACE('',#30311,22.575001); #27673=CYLINDRICAL_SURFACE('',#30313,22.575001); #27674=CYLINDRICAL_SURFACE('',#30322,16.750001); #27675=CYLINDRICAL_SURFACE('',#30335,16.450001); #27676=CYLINDRICAL_SURFACE('',#30344,16.750001); #27677=CYLINDRICAL_SURFACE('',#30512,16.450001); #27678=CYLINDRICAL_SURFACE('',#30513,16.450001); #27679=CYLINDRICAL_SURFACE('',#30514,16.450001); #27680=CYLINDRICAL_SURFACE('',#30516,3.); #27681=CYLINDRICAL_SURFACE('',#30526,3.); #27682=CYLINDRICAL_SURFACE('',#30529,1.); #27683=CYLINDRICAL_SURFACE('',#30531,1.); #27684=CYLINDRICAL_SURFACE('',#30535,14.3); #27685=CYLINDRICAL_SURFACE('',#30539,16.8833333333333); #27686=CYLINDRICAL_SURFACE('',#30570,74.5); #27687=CYLINDRICAL_SURFACE('',#30572,74.5); #27688=CYLINDRICAL_SURFACE('',#30574,74.5); #27689=CYLINDRICAL_SURFACE('',#30576,74.5); #27690=CYLINDRICAL_SURFACE('',#30578,74.5); #27691=CYLINDRICAL_SURFACE('',#30580,74.5); #27692=CYLINDRICAL_SURFACE('',#30582,74.5); #27693=CYLINDRICAL_SURFACE('',#30584,74.5); #27694=CYLINDRICAL_SURFACE('',#30586,74.5); #27695=CYLINDRICAL_SURFACE('',#30588,74.5); #27696=CYLINDRICAL_SURFACE('',#30590,74.5); #27697=CYLINDRICAL_SURFACE('',#30592,74.5); #27698=CYLINDRICAL_SURFACE('',#30624,71.5); #27699=CYLINDRICAL_SURFACE('',#30627,71.5); #27700=CYLINDRICAL_SURFACE('',#30630,71.5); #27701=CYLINDRICAL_SURFACE('',#30633,71.5); #27702=CYLINDRICAL_SURFACE('',#30636,71.5); #27703=CYLINDRICAL_SURFACE('',#30639,71.5); #27704=CYLINDRICAL_SURFACE('',#30642,71.5); #27705=CYLINDRICAL_SURFACE('',#30645,71.5); #27706=CYLINDRICAL_SURFACE('',#30648,71.5); #27707=CYLINDRICAL_SURFACE('',#30651,71.5); #27708=CYLINDRICAL_SURFACE('',#30654,71.5); #27709=CYLINDRICAL_SURFACE('',#30657,71.5); #27710=CYLINDRICAL_SURFACE('',#30658,0.63); #27711=CYLINDRICAL_SURFACE('',#30661,0.63); #27712=CYLINDRICAL_SURFACE('',#30664,0.63); #27713=CYLINDRICAL_SURFACE('',#30667,0.63); #27714=CYLINDRICAL_SURFACE('',#30930,45.); #27715=CYLINDRICAL_SURFACE('',#30935,45.); #27716=CYLINDRICAL_SURFACE('',#30951,15.); #27717=CYLINDRICAL_SURFACE('',#30958,4.); #27718=CYLINDRICAL_SURFACE('',#30962,4.); #27719=CYLINDRICAL_SURFACE('',#30970,2.4585); #27720=CYLINDRICAL_SURFACE('',#30975,10.); #27721=CYLINDRICAL_SURFACE('',#30981,12.5); #27722=CYLINDRICAL_SURFACE('',#30987,12.5); #27723=CYLINDRICAL_SURFACE('',#30994,3.); #27724=CYLINDRICAL_SURFACE('',#30996,3.); #27725=CYLINDRICAL_SURFACE('',#30997,4.); #27726=CYLINDRICAL_SURFACE('',#31002,4.); #27727=CYLINDRICAL_SURFACE('',#31004,14.3); #27728=CYLINDRICAL_SURFACE('',#31011,15.); #27729=CYLINDRICAL_SURFACE('',#31013,14.3); #27730=CYLINDRICAL_SURFACE('',#31020,15.); #27731=CYLINDRICAL_SURFACE('',#31024,15.); #27732=CYLINDRICAL_SURFACE('',#31031,2.5); #27733=CYLINDRICAL_SURFACE('',#31045,4.25); #27734=CYLINDRICAL_SURFACE('',#31059,4.); #27735=CYLINDRICAL_SURFACE('',#31073,6.5); #27736=CYLINDRICAL_SURFACE('',#31082,3.3235); #27737=CYLINDRICAL_SURFACE('',#31085,3.3235); #27738=CYLINDRICAL_SURFACE('',#31108,5.); #27739=CYLINDRICAL_SURFACE('',#31110,2.75); #27740=CYLINDRICAL_SURFACE('',#31115,5.); #27741=CYLINDRICAL_SURFACE('',#31117,2.75); #27742=CYLINDRICAL_SURFACE('',#31122,5.); #27743=CYLINDRICAL_SURFACE('',#31124,2.75); #27744=CYLINDRICAL_SURFACE('',#31129,5.); #27745=CYLINDRICAL_SURFACE('',#31131,2.75); #27746=CYLINDRICAL_SURFACE('',#31134,67.5); #27747=CYLINDRICAL_SURFACE('',#31143,10.); #27748=CYLINDRICAL_SURFACE('',#31146,54.); #27749=CYLINDRICAL_SURFACE('',#31148,10.); #27750=CYLINDRICAL_SURFACE('',#31154,0.999999999999995); #27751=CYLINDRICAL_SURFACE('',#31158,50.0000000000002); #27752=CYLINDRICAL_SURFACE('',#31161,74.); #27753=CYLINDRICAL_SURFACE('',#31170,5.); #27754=CYLINDRICAL_SURFACE('',#31172,2.75); #27755=CYLINDRICAL_SURFACE('',#31177,5.); #27756=CYLINDRICAL_SURFACE('',#31179,2.75); #27757=CYLINDRICAL_SURFACE('',#31184,5.); #27758=CYLINDRICAL_SURFACE('',#31186,2.75); #27759=CYLINDRICAL_SURFACE('',#31191,5.); #27760=CYLINDRICAL_SURFACE('',#31193,2.75); #27761=CYLINDRICAL_SURFACE('',#31196,67.5); #27762=CYLINDRICAL_SURFACE('',#31205,10.); #27763=CYLINDRICAL_SURFACE('',#31208,54.); #27764=CYLINDRICAL_SURFACE('',#31210,10.); #27765=CYLINDRICAL_SURFACE('',#31216,0.999999999999995); #27766=CYLINDRICAL_SURFACE('',#31220,50.0000000000002); #27767=CYLINDRICAL_SURFACE('',#31223,74.); #27768=CYLINDRICAL_SURFACE('',#31231,2.4585); #27769=CYLINDRICAL_SURFACE('',#31235,2.4585); #27770=CYLINDRICAL_SURFACE('',#31255,7.5); #27771=CYLINDRICAL_SURFACE('',#31257,4.5); #27772=CYLINDRICAL_SURFACE('',#31261,7.5); #27773=CYLINDRICAL_SURFACE('',#31263,4.5); #27774=CYLINDRICAL_SURFACE('',#31267,7.5); #27775=CYLINDRICAL_SURFACE('',#31269,4.5); #27776=CYLINDRICAL_SURFACE('',#31273,7.5); #27777=CYLINDRICAL_SURFACE('',#31275,4.5); #27778=CYLINDRICAL_SURFACE('',#31302,5.); #27779=CYLINDRICAL_SURFACE('',#31307,5.); #27780=CYLINDRICAL_SURFACE('',#31312,5.); #27781=CYLINDRICAL_SURFACE('',#31317,5.); #27782=CYLINDRICAL_SURFACE('',#31323,4.188); #27783=CYLINDRICAL_SURFACE('',#31328,4.188); #27784=CYLINDRICAL_SURFACE('',#31333,4.188); #27785=CYLINDRICAL_SURFACE('',#31338,4.188); #27786=CYLINDRICAL_SURFACE('',#31342,5.5); #27787=CYLINDRICAL_SURFACE('',#31343,3.3); #27788=CYLINDRICAL_SURFACE('',#31347,5.5); #27789=CYLINDRICAL_SURFACE('',#31348,3.3); #27790=CYLINDRICAL_SURFACE('',#31352,5.5); #27791=CYLINDRICAL_SURFACE('',#31353,3.3); #27792=CYLINDRICAL_SURFACE('',#31357,5.5); #27793=CYLINDRICAL_SURFACE('',#31358,3.3); #27794=CYLINDRICAL_SURFACE('',#31365,4.); #27795=CYLINDRICAL_SURFACE('',#31379,6.5); #27796=CYLINDRICAL_SURFACE('',#31388,2.75); #27797=CYLINDRICAL_SURFACE('',#31392,2.75); #27798=CYLINDRICAL_SURFACE('',#31396,2.75); #27799=CYLINDRICAL_SURFACE('',#31400,2.75); #27800=CYLINDRICAL_SURFACE('',#31404,2.75); #27801=CYLINDRICAL_SURFACE('',#31408,2.75); #27802=CYLINDRICAL_SURFACE('',#31412,3.00000000000002); #27803=CYLINDRICAL_SURFACE('',#31416,3.00000000000002); #27804=CYLINDRICAL_SURFACE('',#31420,3.00000000000002); #27805=CYLINDRICAL_SURFACE('',#31424,3.00000000000002); #27806=CYLINDRICAL_SURFACE('',#31429,3.); #27807=CYLINDRICAL_SURFACE('',#31433,3.); #27808=CYLINDRICAL_SURFACE('',#31437,2.99999999999998); #27809=CYLINDRICAL_SURFACE('',#31441,2.99999999999998); #27810=CYLINDRICAL_SURFACE('',#31446,3.00000000000002); #27811=CYLINDRICAL_SURFACE('',#31450,3.00000000000002); #27812=CYLINDRICAL_SURFACE('',#31454,3.00000000000004); #27813=CYLINDRICAL_SURFACE('',#31458,3.00000000000003); #27814=CYLINDRICAL_SURFACE('',#31463,3.00000000000002); #27815=CYLINDRICAL_SURFACE('',#31467,3.00000000000002); #27816=CYLINDRICAL_SURFACE('',#31471,3.00000000000002); #27817=CYLINDRICAL_SURFACE('',#31475,3.00000000000002); #27818=CYLINDRICAL_SURFACE('',#31480,3.); #27819=CYLINDRICAL_SURFACE('',#31484,3.); #27820=CYLINDRICAL_SURFACE('',#31488,2.99999999999998); #27821=CYLINDRICAL_SURFACE('',#31492,2.99999999999998); #27822=CYLINDRICAL_SURFACE('',#31497,3.00000000000002); #27823=CYLINDRICAL_SURFACE('',#31501,3.00000000000002); #27824=CYLINDRICAL_SURFACE('',#31505,3.00000000000004); #27825=CYLINDRICAL_SURFACE('',#31509,3.00000000000003); #27826=CYLINDRICAL_SURFACE('',#31521,16.0000000000002); #27827=CYLINDRICAL_SURFACE('',#31524,1.99999999999996); #27828=CYLINDRICAL_SURFACE('',#31527,2.); #27829=CYLINDRICAL_SURFACE('',#31530,5.00000000000001); #27830=CYLINDRICAL_SURFACE('',#31533,200.); #27831=CYLINDRICAL_SURFACE('',#31536,120.); #27832=CYLINDRICAL_SURFACE('',#31549,2.067); #27833=CYLINDRICAL_SURFACE('',#31557,2.65); #27834=CYLINDRICAL_SURFACE('',#31560,5.); #27835=CYLINDRICAL_SURFACE('',#31571,2.5); #27836=CYLINDRICAL_SURFACE('',#31585,4.25); #27837=CYLINDRICAL_SURFACE('',#31606,3.); #27838=CYLINDRICAL_SURFACE('',#31615,4.); #27839=CYLINDRICAL_SURFACE('',#31625,8.); #27840=CYLINDRICAL_SURFACE('',#31633,4.8); #27841=CYLINDRICAL_SURFACE('',#31642,5.); #27842=CYLINDRICAL_SURFACE('',#31648,3.); #27843=CYLINDRICAL_SURFACE('',#31652,3.); #27844=CYLINDRICAL_SURFACE('',#31661,5.); #27845=CYLINDRICAL_SURFACE('',#31681,2.067); #27846=CYLINDRICAL_SURFACE('',#31685,2.5); #27847=CYLINDRICAL_SURFACE('',#31688,5.2); #27848=CYLINDRICAL_SURFACE('',#31691,5.2); #27849=CYLINDRICAL_SURFACE('',#31694,5.2); #27850=CYLINDRICAL_SURFACE('',#31697,5.2); #27851=CYLINDRICAL_SURFACE('',#31708,10.); #27852=CYLINDRICAL_SURFACE('',#31714,74.); #27853=CYLINDRICAL_SURFACE('',#31716,49.9999999999999); #27854=CYLINDRICAL_SURFACE('',#31719,0.999999999999998); #27855=CYLINDRICAL_SURFACE('',#31722,10.); #27856=CYLINDRICAL_SURFACE('',#31724,54.); #27857=CYLINDRICAL_SURFACE('',#31728,5.2); #27858=CYLINDRICAL_SURFACE('',#31731,5.2); #27859=CYLINDRICAL_SURFACE('',#31734,5.2); #27860=CYLINDRICAL_SURFACE('',#31737,5.2); #27861=CYLINDRICAL_SURFACE('',#31748,10.); #27862=CYLINDRICAL_SURFACE('',#31754,74.); #27863=CYLINDRICAL_SURFACE('',#31756,49.9999999999999); #27864=CYLINDRICAL_SURFACE('',#31759,0.999999999999998); #27865=CYLINDRICAL_SURFACE('',#31762,10.); #27866=CYLINDRICAL_SURFACE('',#31764,54.); #27867=CYLINDRICAL_SURFACE('',#31773,4.); #27868=CYLINDRICAL_SURFACE('',#31787,6.5); #27869=CYLINDRICAL_SURFACE('',#31796,1.); #27870=CYLINDRICAL_SURFACE('',#31800,1.9); #27871=CYLINDRICAL_SURFACE('',#31802,1.); #27872=CYLINDRICAL_SURFACE('',#31804,1.9); #27873=CYLINDRICAL_SURFACE('',#31807,1.); #27874=CYLINDRICAL_SURFACE('',#31812,1.); #27875=CYLINDRICAL_SURFACE('',#31817,1.); #27876=CYLINDRICAL_SURFACE('',#31824,1.); #27877=CYLINDRICAL_SURFACE('',#31826,4.1); #27878=CYLINDRICAL_SURFACE('',#31835,3.10000000000001); #27879=CYLINDRICAL_SURFACE('',#31838,1.8); #27880=CYLINDRICAL_SURFACE('',#31840,3.10000000000001); #27881=CYLINDRICAL_SURFACE('',#31842,1.8); #27882=CYLINDRICAL_SURFACE('',#31846,3.00000000000001); #27883=CYLINDRICAL_SURFACE('',#31849,3.3235); #27884=CYLINDRICAL_SURFACE('',#31859,3.); #27885=CYLINDRICAL_SURFACE('',#31908,0.3); #27886=CYLINDRICAL_SURFACE('',#31910,0.3); #27887=CYLINDRICAL_SURFACE('',#31913,0.300000000000001); #27888=CYLINDRICAL_SURFACE('',#31916,0.300000000000001); #27889=CYLINDRICAL_SURFACE('',#31919,0.3); #27890=CYLINDRICAL_SURFACE('',#31921,0.300000000000001); #27891=CYLINDRICAL_SURFACE('',#31925,5.2); #27892=CYLINDRICAL_SURFACE('',#31928,5.2); #27893=CYLINDRICAL_SURFACE('',#31931,5.2); #27894=CYLINDRICAL_SURFACE('',#31934,5.2); #27895=CYLINDRICAL_SURFACE('',#31945,10.); #27896=CYLINDRICAL_SURFACE('',#31951,74.); #27897=CYLINDRICAL_SURFACE('',#31953,49.9999999999999); #27898=CYLINDRICAL_SURFACE('',#31956,0.999999999999998); #27899=CYLINDRICAL_SURFACE('',#31959,10.); #27900=CYLINDRICAL_SURFACE('',#31961,54.); #27901=CYLINDRICAL_SURFACE('',#32357,2.); #27902=CYLINDRICAL_SURFACE('',#32359,8.5); #27903=CYLINDRICAL_SURFACE('',#32361,2.); #27904=CYLINDRICAL_SURFACE('',#32363,2.); #27905=CYLINDRICAL_SURFACE('',#32366,2.); #27906=CYLINDRICAL_SURFACE('',#32378,2.); #27907=CYLINDRICAL_SURFACE('',#32384,2.); #27908=CYLINDRICAL_SURFACE('',#32387,2.); #27909=CYLINDRICAL_SURFACE('',#32389,8.5); #27910=CYLINDRICAL_SURFACE('',#32391,2.); #27911=CYLINDRICAL_SURFACE('',#32397,5.5); #27912=CYLINDRICAL_SURFACE('',#32399,3.30000000000001); #27913=CYLINDRICAL_SURFACE('',#32402,2.); #27914=CYLINDRICAL_SURFACE('',#32410,2.); #27915=CYLINDRICAL_SURFACE('',#32413,1.); #27916=CYLINDRICAL_SURFACE('',#32419,1.); #27917=CYLINDRICAL_SURFACE('',#32425,1.); #27918=CYLINDRICAL_SURFACE('',#32430,2.); #27919=CYLINDRICAL_SURFACE('',#32443,2.); #27920=CYLINDRICAL_SURFACE('',#32447,2.); #27921=CYLINDRICAL_SURFACE('',#32473,2.); #27922=CYLINDRICAL_SURFACE('',#32474,8.5); #27923=CYLINDRICAL_SURFACE('',#32475,2.); #27924=CYLINDRICAL_SURFACE('',#32476,2.); #27925=CYLINDRICAL_SURFACE('',#32479,2.); #27926=CYLINDRICAL_SURFACE('',#32491,2.); #27927=CYLINDRICAL_SURFACE('',#32497,2.); #27928=CYLINDRICAL_SURFACE('',#32499,2.); #27929=CYLINDRICAL_SURFACE('',#32500,8.5); #27930=CYLINDRICAL_SURFACE('',#32501,2.); #27931=CYLINDRICAL_SURFACE('',#32506,5.5); #27932=CYLINDRICAL_SURFACE('',#32507,3.30000000000001); #27933=CYLINDRICAL_SURFACE('',#32508,1.); #27934=CYLINDRICAL_SURFACE('',#32511,2.); #27935=CYLINDRICAL_SURFACE('',#32514,2.); #27936=CYLINDRICAL_SURFACE('',#32522,2.); #27937=CYLINDRICAL_SURFACE('',#32525,1.); #27938=CYLINDRICAL_SURFACE('',#32531,1.); #27939=CYLINDRICAL_SURFACE('',#32537,1.); #27940=CYLINDRICAL_SURFACE('',#32541,2.); #27941=CYLINDRICAL_SURFACE('',#32554,2.); #27942=CYLINDRICAL_SURFACE('',#32565,3.); #27943=CYLINDRICAL_SURFACE('',#32579,5.); #27944=ADVANCED_FACE('',(#2198),#27624,.F.); #27945=ADVANCED_FACE('',(#2199),#27625,.F.); #27946=ADVANCED_FACE('',(#2200),#27626,.F.); #27947=ADVANCED_FACE('',(#2201),#27627,.F.); #27948=ADVANCED_FACE('',(#2202),#27628,.F.); #27949=ADVANCED_FACE('',(#2203),#27629,.F.); #27950=ADVANCED_FACE('',(#2204),#27630,.F.); #27951=ADVANCED_FACE('',(#2205),#27631,.F.); #27952=ADVANCED_FACE('',(#2206),#27632,.F.); #27953=ADVANCED_FACE('',(#2207),#27633,.F.); #27954=ADVANCED_FACE('',(#2208),#27634,.F.); #27955=ADVANCED_FACE('',(#2209),#27635,.F.); #27956=ADVANCED_FACE('',(#2210),#27636,.F.); #27957=ADVANCED_FACE('',(#2211),#27637,.F.); #27958=ADVANCED_FACE('',(#2212),#1122,.F.); #27959=ADVANCED_FACE('',(#2213),#27638,.F.); #27960=ADVANCED_FACE('',(#2214),#1123,.F.); #27961=ADVANCED_FACE('',(#2215),#27639,.F.); #27962=ADVANCED_FACE('',(#2216),#27640,.F.); #27963=ADVANCED_FACE('',(#2217),#27641,.F.); #27964=ADVANCED_FACE('',(#2218),#27642,.F.); #27965=ADVANCED_FACE('',(#2219),#27643,.F.); #27966=ADVANCED_FACE('',(#2220),#27644,.F.); #27967=ADVANCED_FACE('',(#2221),#27645,.F.); #27968=ADVANCED_FACE('',(#2222),#27646,.F.); #27969=ADVANCED_FACE('',(#2223),#1124,.F.); #27970=ADVANCED_FACE('',(#2224),#27647,.F.); #27971=ADVANCED_FACE('',(#2225),#1125,.F.); #27972=ADVANCED_FACE('',(#2226),#27648,.F.); #27973=ADVANCED_FACE('',(#2227),#1126,.F.); #27974=ADVANCED_FACE('',(#2228),#27649,.F.); #27975=ADVANCED_FACE('',(#2229),#1127,.F.); #27976=ADVANCED_FACE('',(#2230),#27650,.F.); #27977=ADVANCED_FACE('',(#2231),#1128,.F.); #27978=ADVANCED_FACE('',(#2232),#27651,.F.); #27979=ADVANCED_FACE('',(#2233),#1129,.F.); #27980=ADVANCED_FACE('',(#2234),#27652,.T.); #27981=ADVANCED_FACE('',(#2235),#1130,.F.); #27982=ADVANCED_FACE('',(#2236,#813,#814,#815,#816,#817,#818,#819,#820, #821,#822,#823,#824,#825,#826,#827,#828,#829,#830,#831,#832,#833,#834,#835, #836),#1131,.F.); #27983=ADVANCED_FACE('',(#2237),#27653,.T.); #27984=ADVANCED_FACE('',(#2238),#1132,.F.); #27985=ADVANCED_FACE('',(#2239),#27654,.F.); #27986=ADVANCED_FACE('',(#2240,#837,#838,#839,#840,#841,#842,#843,#844, #845,#846,#847,#848,#849,#850,#851,#852,#853,#854,#855,#856,#857,#858,#859, #860),#1133,.T.); #27987=ADVANCED_FACE('',(#2241),#1134,.T.); #27988=ADVANCED_FACE('',(#2242),#27655,.T.); #27989=ADVANCED_FACE('',(#2243),#1135,.T.); #27990=ADVANCED_FACE('',(#2244),#27656,.T.); #27991=ADVANCED_FACE('',(#2245),#1136,.T.); #27992=ADVANCED_FACE('',(#2246),#27657,.T.); #27993=ADVANCED_FACE('',(#2247),#1137,.T.); #27994=ADVANCED_FACE('',(#2248),#27658,.T.); #27995=ADVANCED_FACE('',(#2249),#1138,.T.); #27996=ADVANCED_FACE('',(#2250),#1139,.T.); #27997=ADVANCED_FACE('',(#2251),#27659,.F.); #27998=ADVANCED_FACE('',(#2252),#27660,.F.); #27999=ADVANCED_FACE('',(#2253),#27661,.F.); #28000=ADVANCED_FACE('',(#2254),#27662,.F.); #28001=ADVANCED_FACE('',(#2255),#27663,.F.); #28002=ADVANCED_FACE('',(#2256,#861),#1140,.T.); #28003=ADVANCED_FACE('',(#2257),#27664,.T.); #28004=ADVANCED_FACE('',(#2258,#862,#863,#864,#865,#866),#1141,.T.); #28005=ADVANCED_FACE('',(#2259),#27665,.T.); #28006=ADVANCED_FACE('',(#2260),#599,.T.); #28007=ADVANCED_FACE('',(#2261,#867,#868,#869,#870,#871),#1142,.T.); #28008=ADVANCED_FACE('',(#2262),#27666,.T.); #28009=ADVANCED_FACE('',(#2263),#600,.T.); #28010=ADVANCED_FACE('',(#2264,#872),#1143,.T.); #28011=ADVANCED_FACE('',(#2265),#601,.F.); #28012=ADVANCED_FACE('',(#2266),#27667,.F.); #28013=ADVANCED_FACE('',(#2267,#873),#1144,.T.); #28014=ADVANCED_FACE('',(#2268),#27668,.F.); #28015=ADVANCED_FACE('',(#2269,#874),#1145,.T.); #28016=ADVANCED_FACE('',(#2270,#875),#1146,.T.); #28017=ADVANCED_FACE('',(#2271,#876),#1147,.T.); #28018=ADVANCED_FACE('',(#2272),#561,.T.); #28019=ADVANCED_FACE('',(#2273),#27669,.F.); #28020=ADVANCED_FACE('',(#2274),#562,.T.); #28021=ADVANCED_FACE('',(#2275),#27670,.T.); #28022=ADVANCED_FACE('',(#2276,#877),#1148,.T.); #28023=ADVANCED_FACE('',(#2277,#878),#1149,.T.); #28024=ADVANCED_FACE('',(#2278),#563,.T.); #28025=ADVANCED_FACE('',(#2279),#27671,.T.); #28026=ADVANCED_FACE('',(#2280),#564,.T.); #28027=ADVANCED_FACE('',(#2281),#27672,.F.); #28028=ADVANCED_FACE('',(#2282),#27673,.F.); #28029=ADVANCED_FACE('',(#2283,#879),#1150,.T.); #28030=ADVANCED_FACE('',(#2284,#880),#1151,.T.); #28031=ADVANCED_FACE('',(#2285,#881),#1152,.T.); #28032=ADVANCED_FACE('',(#2286),#27674,.T.); #28033=ADVANCED_FACE('',(#2287),#565,.F.); #28034=ADVANCED_FACE('',(#2288),#602,.F.); #28035=ADVANCED_FACE('',(#2289),#603,.T.); #28036=ADVANCED_FACE('',(#2290),#566,.F.); #28037=ADVANCED_FACE('',(#2291),#27675,.T.); #28038=ADVANCED_FACE('',(#2292,#882),#1153,.T.); #28039=ADVANCED_FACE('',(#2293,#883),#1154,.T.); #28040=ADVANCED_FACE('',(#2294,#884),#1155,.T.); #28041=ADVANCED_FACE('',(#2295),#27676,.T.); #28042=ADVANCED_FACE('',(#2296),#567,.F.); #28043=ADVANCED_FACE('',(#2297),#604,.F.); #28044=ADVANCED_FACE('',(#2298),#605,.T.); #28045=ADVANCED_FACE('',(#2299),#568,.F.); #28046=ADVANCED_FACE('',(#2300,#885),#1156,.T.); #28047=ADVANCED_FACE('',(#2301),#606,.F.); #28048=ADVANCED_FACE('',(#2302),#607,.T.); #28049=ADVANCED_FACE('',(#2303),#608,.F.); #28050=ADVANCED_FACE('',(#2304,#886),#1157,.T.); #28051=ADVANCED_FACE('',(#2305,#887),#1158,.T.); #28052=ADVANCED_FACE('',(#2306,#888),#1159,.T.); #28053=ADVANCED_FACE('',(#2307),#609,.F.); #28054=ADVANCED_FACE('',(#2308),#610,.T.); #28055=ADVANCED_FACE('',(#2309),#611,.F.); #28056=ADVANCED_FACE('',(#2310,#889),#1160,.T.); #28057=ADVANCED_FACE('',(#2311,#890),#1161,.T.); #28058=ADVANCED_FACE('',(#2312,#891),#526,.T.); #28059=ADVANCED_FACE('',(#2313,#892),#527,.T.); #28060=ADVANCED_FACE('',(#2314,#893),#528,.T.); #28061=ADVANCED_FACE('',(#2315,#894),#529,.T.); #28062=ADVANCED_FACE('',(#2316,#895),#530,.T.); #28063=ADVANCED_FACE('',(#2317),#531,.T.); #28064=ADVANCED_FACE('',(#2318,#896),#532,.T.); #28065=ADVANCED_FACE('',(#2319,#897),#533,.T.); #28066=ADVANCED_FACE('',(#2320,#898),#534,.T.); #28067=ADVANCED_FACE('',(#2321,#899),#535,.T.); #28068=ADVANCED_FACE('',(#2322,#900),#536,.T.); #28069=ADVANCED_FACE('',(#2323),#537,.T.); #28070=ADVANCED_FACE('',(#2324,#901,#902),#538,.T.); #28071=ADVANCED_FACE('',(#2325,#903,#904),#539,.T.); #28072=ADVANCED_FACE('',(#2326,#905,#906),#540,.T.); #28073=ADVANCED_FACE('',(#2327,#907,#908),#541,.T.); #28074=ADVANCED_FACE('',(#2328,#909,#910),#542,.T.); #28075=ADVANCED_FACE('',(#2329,#911),#543,.T.); #28076=ADVANCED_FACE('',(#2330,#912,#913),#544,.T.); #28077=ADVANCED_FACE('',(#2331,#914,#915),#545,.T.); #28078=ADVANCED_FACE('',(#2332,#916,#917),#546,.T.); #28079=ADVANCED_FACE('',(#2333,#918,#919),#547,.T.); #28080=ADVANCED_FACE('',(#2334,#920,#921),#548,.T.); #28081=ADVANCED_FACE('',(#2335,#922),#549,.T.); #28082=ADVANCED_FACE('',(#2336,#923,#924,#925,#926,#927,#928,#929,#930, #931,#932,#933,#934,#935,#936,#937,#938,#939,#940,#941,#942,#943,#944,#945), #550,.F.); #28083=ADVANCED_FACE('',(#2337),#569,.F.); #28084=ADVANCED_FACE('',(#2338),#570,.F.); #28085=ADVANCED_FACE('',(#2339),#27677,.T.); #28086=ADVANCED_FACE('',(#2340),#27678,.T.); #28087=ADVANCED_FACE('',(#2341),#27679,.T.); #28088=ADVANCED_FACE('',(#2342),#27680,.T.); #28089=ADVANCED_FACE('',(#2343),#1162,.T.); #28090=ADVANCED_FACE('',(#2344,#946,#947),#1163,.F.); #28091=ADVANCED_FACE('',(#2345),#27681,.T.); #28092=ADVANCED_FACE('',(#2346),#1164,.T.); #28093=ADVANCED_FACE('',(#2347),#27682,.F.); #28094=ADVANCED_FACE('',(#2348),#27683,.F.); #28095=ADVANCED_FACE('',(#2349),#1165,.T.); #28096=ADVANCED_FACE('',(#2350),#1166,.T.); #28097=ADVANCED_FACE('',(#2351),#27684,.F.); #28098=ADVANCED_FACE('',(#2352),#1167,.T.); #28099=ADVANCED_FACE('',(#2353),#1168,.T.); #28100=ADVANCED_FACE('',(#2354),#27685,.T.); #28101=ADVANCED_FACE('',(#2355,#948,#949),#1169,.T.); #28102=ADVANCED_FACE('',(#2356,#950),#1170,.F.); #28103=ADVANCED_FACE('',(#2357),#1171,.F.); #28104=ADVANCED_FACE('',(#2358),#27686,.T.); #28105=ADVANCED_FACE('',(#2359),#27687,.T.); #28106=ADVANCED_FACE('',(#2360),#27688,.T.); #28107=ADVANCED_FACE('',(#2361),#27689,.T.); #28108=ADVANCED_FACE('',(#2362),#27690,.T.); #28109=ADVANCED_FACE('',(#2363),#27691,.T.); #28110=ADVANCED_FACE('',(#2364),#27692,.T.); #28111=ADVANCED_FACE('',(#2365),#27693,.T.); #28112=ADVANCED_FACE('',(#2366),#27694,.T.); #28113=ADVANCED_FACE('',(#2367),#27695,.T.); #28114=ADVANCED_FACE('',(#2368),#27696,.T.); #28115=ADVANCED_FACE('',(#2369),#27697,.T.); #28116=ADVANCED_FACE('',(#2370,#951,#952),#1172,.T.); #28117=ADVANCED_FACE('',(#2371),#1173,.T.); #28118=ADVANCED_FACE('',(#2372),#1174,.T.); #28119=ADVANCED_FACE('',(#2373),#1175,.T.); #28120=ADVANCED_FACE('',(#2374),#1176,.T.); #28121=ADVANCED_FACE('',(#2375),#1177,.T.); #28122=ADVANCED_FACE('',(#2376),#1178,.T.); #28123=ADVANCED_FACE('',(#2377,#953),#1179,.T.); #28124=ADVANCED_FACE('',(#2378),#1180,.T.); #28125=ADVANCED_FACE('',(#2379,#954),#1181,.T.); #28126=ADVANCED_FACE('',(#2380),#1182,.T.); #28127=ADVANCED_FACE('',(#2381),#1183,.T.); #28128=ADVANCED_FACE('',(#2382),#1184,.F.); #28129=ADVANCED_FACE('',(#2383),#27698,.T.); #28130=ADVANCED_FACE('',(#2384),#1185,.F.); #28131=ADVANCED_FACE('',(#2385),#1186,.F.); #28132=ADVANCED_FACE('',(#2386),#27699,.T.); #28133=ADVANCED_FACE('',(#2387),#1187,.F.); #28134=ADVANCED_FACE('',(#2388),#1188,.F.); #28135=ADVANCED_FACE('',(#2389),#27700,.T.); #28136=ADVANCED_FACE('',(#2390),#1189,.F.); #28137=ADVANCED_FACE('',(#2391),#1190,.F.); #28138=ADVANCED_FACE('',(#2392),#27701,.T.); #28139=ADVANCED_FACE('',(#2393),#1191,.F.); #28140=ADVANCED_FACE('',(#2394),#1192,.F.); #28141=ADVANCED_FACE('',(#2395),#27702,.T.); #28142=ADVANCED_FACE('',(#2396),#1193,.F.); #28143=ADVANCED_FACE('',(#2397),#1194,.F.); #28144=ADVANCED_FACE('',(#2398),#27703,.T.); #28145=ADVANCED_FACE('',(#2399),#1195,.F.); #28146=ADVANCED_FACE('',(#2400),#1196,.F.); #28147=ADVANCED_FACE('',(#2401),#27704,.T.); #28148=ADVANCED_FACE('',(#2402),#1197,.F.); #28149=ADVANCED_FACE('',(#2403),#1198,.F.); #28150=ADVANCED_FACE('',(#2404),#27705,.T.); #28151=ADVANCED_FACE('',(#2405),#1199,.F.); #28152=ADVANCED_FACE('',(#2406),#1200,.F.); #28153=ADVANCED_FACE('',(#2407),#27706,.T.); #28154=ADVANCED_FACE('',(#2408),#1201,.F.); #28155=ADVANCED_FACE('',(#2409),#1202,.F.); #28156=ADVANCED_FACE('',(#2410),#27707,.T.); #28157=ADVANCED_FACE('',(#2411),#1203,.F.); #28158=ADVANCED_FACE('',(#2412),#1204,.F.); #28159=ADVANCED_FACE('',(#2413),#27708,.T.); #28160=ADVANCED_FACE('',(#2414),#1205,.F.); #28161=ADVANCED_FACE('',(#2415),#1206,.F.); #28162=ADVANCED_FACE('',(#2416),#27709,.T.); #28163=ADVANCED_FACE('',(#2417),#27710,.F.); #28164=ADVANCED_FACE('',(#2418),#1207,.F.); #28165=ADVANCED_FACE('',(#2419),#27711,.F.); #28166=ADVANCED_FACE('',(#2420),#1208,.F.); #28167=ADVANCED_FACE('',(#2421),#27712,.F.); #28168=ADVANCED_FACE('',(#2422),#1209,.F.); #28169=ADVANCED_FACE('',(#2423),#27713,.F.); #28170=ADVANCED_FACE('',(#2424),#1210,.F.); #28171=ADVANCED_FACE('',(#2425,#955,#956,#957,#958,#959,#960,#961,#962, #963,#964,#965),#1211,.T.); #28172=ADVANCED_FACE('',(#2426),#1212,.T.); #28173=ADVANCED_FACE('',(#2427),#1213,.T.); #28174=ADVANCED_FACE('',(#2428),#1214,.F.); #28175=ADVANCED_FACE('',(#2429),#1215,.F.); #28176=ADVANCED_FACE('',(#2430),#1216,.F.); #28177=ADVANCED_FACE('',(#2431),#1217,.F.); #28178=ADVANCED_FACE('',(#2432),#1218,.F.); #28179=ADVANCED_FACE('',(#2433),#1219,.F.); #28180=ADVANCED_FACE('',(#2434),#1220,.F.); #28181=ADVANCED_FACE('',(#2435),#1221,.F.); #28182=ADVANCED_FACE('',(#2436),#1222,.F.); #28183=ADVANCED_FACE('',(#2437),#1223,.F.); #28184=ADVANCED_FACE('',(#2438),#1224,.F.); #28185=ADVANCED_FACE('',(#2439),#1225,.F.); #28186=ADVANCED_FACE('',(#2440),#1226,.F.); #28187=ADVANCED_FACE('',(#2441),#1227,.F.); #28188=ADVANCED_FACE('',(#2442),#1228,.F.); #28189=ADVANCED_FACE('',(#2443),#1229,.F.); #28190=ADVANCED_FACE('',(#2444),#1230,.F.); #28191=ADVANCED_FACE('',(#2445),#1231,.F.); #28192=ADVANCED_FACE('',(#2446),#1232,.F.); #28193=ADVANCED_FACE('',(#2447),#1233,.F.); #28194=ADVANCED_FACE('',(#2448),#1234,.F.); #28195=ADVANCED_FACE('',(#2449),#1235,.F.); #28196=ADVANCED_FACE('',(#2450),#1236,.F.); #28197=ADVANCED_FACE('',(#2451),#1237,.F.); #28198=ADVANCED_FACE('',(#2452),#1238,.F.); #28199=ADVANCED_FACE('',(#2453),#1239,.F.); #28200=ADVANCED_FACE('',(#2454),#1240,.F.); #28201=ADVANCED_FACE('',(#2455),#1241,.F.); #28202=ADVANCED_FACE('',(#2456),#1242,.F.); #28203=ADVANCED_FACE('',(#2457),#1243,.F.); #28204=ADVANCED_FACE('',(#2458),#1244,.F.); #28205=ADVANCED_FACE('',(#2459),#1245,.F.); #28206=ADVANCED_FACE('',(#2460),#1246,.F.); #28207=ADVANCED_FACE('',(#2461),#1247,.F.); #28208=ADVANCED_FACE('',(#2462),#1248,.F.); #28209=ADVANCED_FACE('',(#2463),#1249,.F.); #28210=ADVANCED_FACE('',(#2464),#1250,.F.); #28211=ADVANCED_FACE('',(#2465),#1251,.F.); #28212=ADVANCED_FACE('',(#2466),#1252,.F.); #28213=ADVANCED_FACE('',(#2467),#1253,.F.); #28214=ADVANCED_FACE('',(#2468),#1254,.F.); #28215=ADVANCED_FACE('',(#2469),#1255,.F.); #28216=ADVANCED_FACE('',(#2470),#1256,.F.); #28217=ADVANCED_FACE('',(#2471),#1257,.F.); #28218=ADVANCED_FACE('',(#2472),#1258,.F.); #28219=ADVANCED_FACE('',(#2473),#1259,.F.); #28220=ADVANCED_FACE('',(#2474),#1260,.F.); #28221=ADVANCED_FACE('',(#2475),#1261,.F.); #28222=ADVANCED_FACE('',(#2476),#1262,.F.); #28223=ADVANCED_FACE('',(#2477),#1263,.F.); #28224=ADVANCED_FACE('',(#2478),#1264,.F.); #28225=ADVANCED_FACE('',(#2479),#1265,.F.); #28226=ADVANCED_FACE('',(#2480),#1266,.F.); #28227=ADVANCED_FACE('',(#2481),#1267,.F.); #28228=ADVANCED_FACE('',(#2482),#1268,.F.); #28229=ADVANCED_FACE('',(#2483),#1269,.F.); #28230=ADVANCED_FACE('',(#2484),#1270,.F.); #28231=ADVANCED_FACE('',(#2485),#1271,.F.); #28232=ADVANCED_FACE('',(#2486),#1272,.F.); #28233=ADVANCED_FACE('',(#2487),#1273,.F.); #28234=ADVANCED_FACE('',(#2488),#1274,.F.); #28235=ADVANCED_FACE('',(#2489),#1275,.F.); #28236=ADVANCED_FACE('',(#2490),#1276,.F.); #28237=ADVANCED_FACE('',(#2491),#1277,.F.); #28238=ADVANCED_FACE('',(#2492),#1278,.F.); #28239=ADVANCED_FACE('',(#2493),#1279,.F.); #28240=ADVANCED_FACE('',(#2494),#1280,.F.); #28241=ADVANCED_FACE('',(#2495),#1281,.F.); #28242=ADVANCED_FACE('',(#2496),#1282,.F.); #28243=ADVANCED_FACE('',(#2497),#1283,.F.); #28244=ADVANCED_FACE('',(#2498),#1284,.F.); #28245=ADVANCED_FACE('',(#2499),#1285,.F.); #28246=ADVANCED_FACE('',(#2500),#1286,.F.); #28247=ADVANCED_FACE('',(#2501),#1287,.F.); #28248=ADVANCED_FACE('',(#2502),#1288,.F.); #28249=ADVANCED_FACE('',(#2503),#1289,.F.); #28250=ADVANCED_FACE('',(#2504),#1290,.F.); #28251=ADVANCED_FACE('',(#2505),#1291,.F.); #28252=ADVANCED_FACE('',(#2506),#1292,.F.); #28253=ADVANCED_FACE('',(#2507),#1293,.F.); #28254=ADVANCED_FACE('',(#2508),#1294,.F.); #28255=ADVANCED_FACE('',(#2509),#1295,.F.); #28256=ADVANCED_FACE('',(#2510),#1296,.F.); #28257=ADVANCED_FACE('',(#2511),#1297,.F.); #28258=ADVANCED_FACE('',(#2512),#1298,.F.); #28259=ADVANCED_FACE('',(#2513),#1299,.F.); #28260=ADVANCED_FACE('',(#2514),#1300,.F.); #28261=ADVANCED_FACE('',(#2515),#1301,.F.); #28262=ADVANCED_FACE('',(#2516),#1302,.F.); #28263=ADVANCED_FACE('',(#2517),#1303,.F.); #28264=ADVANCED_FACE('',(#2518),#1304,.F.); #28265=ADVANCED_FACE('',(#2519),#1305,.F.); #28266=ADVANCED_FACE('',(#2520),#1306,.F.); #28267=ADVANCED_FACE('',(#2521),#1307,.F.); #28268=ADVANCED_FACE('',(#2522),#1308,.F.); #28269=ADVANCED_FACE('',(#2523),#1309,.F.); #28270=ADVANCED_FACE('',(#2524),#1310,.F.); #28271=ADVANCED_FACE('',(#2525),#1311,.F.); #28272=ADVANCED_FACE('',(#2526),#1312,.F.); #28273=ADVANCED_FACE('',(#2527),#1313,.F.); #28274=ADVANCED_FACE('',(#2528),#1314,.F.); #28275=ADVANCED_FACE('',(#2529),#1315,.F.); #28276=ADVANCED_FACE('',(#2530),#1316,.F.); #28277=ADVANCED_FACE('',(#2531),#1317,.F.); #28278=ADVANCED_FACE('',(#2532),#1318,.F.); #28279=ADVANCED_FACE('',(#2533),#1319,.F.); #28280=ADVANCED_FACE('',(#2534),#1320,.F.); #28281=ADVANCED_FACE('',(#2535),#1321,.F.); #28282=ADVANCED_FACE('',(#2536),#1322,.F.); #28283=ADVANCED_FACE('',(#2537),#1323,.F.); #28284=ADVANCED_FACE('',(#2538),#1324,.F.); #28285=ADVANCED_FACE('',(#2539),#1325,.F.); #28286=ADVANCED_FACE('',(#2540),#1326,.F.); #28287=ADVANCED_FACE('',(#2541),#1327,.F.); #28288=ADVANCED_FACE('',(#2542),#1328,.F.); #28289=ADVANCED_FACE('',(#2543),#1329,.F.); #28290=ADVANCED_FACE('',(#2544),#1330,.F.); #28291=ADVANCED_FACE('',(#2545),#1331,.F.); #28292=ADVANCED_FACE('',(#2546),#1332,.F.); #28293=ADVANCED_FACE('',(#2547),#1333,.F.); #28294=ADVANCED_FACE('',(#2548),#1334,.F.); #28295=ADVANCED_FACE('',(#2549),#1335,.F.); #28296=ADVANCED_FACE('',(#2550),#1336,.F.); #28297=ADVANCED_FACE('',(#2551),#1337,.F.); #28298=ADVANCED_FACE('',(#2552),#1338,.F.); #28299=ADVANCED_FACE('',(#2553),#1339,.F.); #28300=ADVANCED_FACE('',(#2554),#1340,.F.); #28301=ADVANCED_FACE('',(#2555),#1341,.F.); #28302=ADVANCED_FACE('',(#2556),#1342,.F.); #28303=ADVANCED_FACE('',(#2557),#1343,.F.); #28304=ADVANCED_FACE('',(#2558),#1344,.F.); #28305=ADVANCED_FACE('',(#2559),#1345,.F.); #28306=ADVANCED_FACE('',(#2560),#1346,.F.); #28307=ADVANCED_FACE('',(#2561),#1347,.F.); #28308=ADVANCED_FACE('',(#2562),#1348,.F.); #28309=ADVANCED_FACE('',(#2563),#1349,.F.); #28310=ADVANCED_FACE('',(#2564),#1350,.F.); #28311=ADVANCED_FACE('',(#2565),#1351,.F.); #28312=ADVANCED_FACE('',(#2566),#1352,.F.); #28313=ADVANCED_FACE('',(#2567),#1353,.F.); #28314=ADVANCED_FACE('',(#2568),#1354,.F.); #28315=ADVANCED_FACE('',(#2569),#1355,.F.); #28316=ADVANCED_FACE('',(#2570),#1356,.F.); #28317=ADVANCED_FACE('',(#2571),#1357,.F.); #28318=ADVANCED_FACE('',(#2572),#1358,.F.); #28319=ADVANCED_FACE('',(#2573),#1359,.F.); #28320=ADVANCED_FACE('',(#2574),#1360,.F.); #28321=ADVANCED_FACE('',(#2575),#1361,.F.); #28322=ADVANCED_FACE('',(#2576),#1362,.F.); #28323=ADVANCED_FACE('',(#2577),#1363,.F.); #28324=ADVANCED_FACE('',(#2578),#1364,.F.); #28325=ADVANCED_FACE('',(#2579),#1365,.F.); #28326=ADVANCED_FACE('',(#2580),#1366,.F.); #28327=ADVANCED_FACE('',(#2581),#1367,.F.); #28328=ADVANCED_FACE('',(#2582),#1368,.F.); #28329=ADVANCED_FACE('',(#2583),#1369,.F.); #28330=ADVANCED_FACE('',(#2584),#1370,.F.); #28331=ADVANCED_FACE('',(#2585),#1371,.F.); #28332=ADVANCED_FACE('',(#2586),#1372,.F.); #28333=ADVANCED_FACE('',(#2587),#1373,.F.); #28334=ADVANCED_FACE('',(#2588),#1374,.F.); #28335=ADVANCED_FACE('',(#2589),#1375,.F.); #28336=ADVANCED_FACE('',(#2590),#1376,.F.); #28337=ADVANCED_FACE('',(#2591),#1377,.F.); #28338=ADVANCED_FACE('',(#2592),#1378,.F.); #28339=ADVANCED_FACE('',(#2593),#1379,.F.); #28340=ADVANCED_FACE('',(#2594),#1380,.F.); #28341=ADVANCED_FACE('',(#2595),#1381,.F.); #28342=ADVANCED_FACE('',(#2596),#1382,.F.); #28343=ADVANCED_FACE('',(#2597),#1383,.F.); #28344=ADVANCED_FACE('',(#2598),#1384,.F.); #28345=ADVANCED_FACE('',(#2599),#1385,.F.); #28346=ADVANCED_FACE('',(#2600),#1386,.F.); #28347=ADVANCED_FACE('',(#2601),#1387,.F.); #28348=ADVANCED_FACE('',(#2602),#1388,.F.); #28349=ADVANCED_FACE('',(#2603),#1389,.F.); #28350=ADVANCED_FACE('',(#2604),#1390,.F.); #28351=ADVANCED_FACE('',(#2605),#1391,.F.); #28352=ADVANCED_FACE('',(#2606),#1392,.F.); #28353=ADVANCED_FACE('',(#2607),#1393,.F.); #28354=ADVANCED_FACE('',(#2608),#1394,.F.); #28355=ADVANCED_FACE('',(#2609),#1395,.F.); #28356=ADVANCED_FACE('',(#2610),#1396,.F.); #28357=ADVANCED_FACE('',(#2611),#1397,.F.); #28358=ADVANCED_FACE('',(#2612),#1398,.F.); #28359=ADVANCED_FACE('',(#2613),#1399,.F.); #28360=ADVANCED_FACE('',(#2614),#1400,.F.); #28361=ADVANCED_FACE('',(#2615),#1401,.F.); #28362=ADVANCED_FACE('',(#2616),#1402,.F.); #28363=ADVANCED_FACE('',(#2617),#1403,.F.); #28364=ADVANCED_FACE('',(#2618),#1404,.F.); #28365=ADVANCED_FACE('',(#2619),#1405,.F.); #28366=ADVANCED_FACE('',(#2620),#1406,.F.); #28367=ADVANCED_FACE('',(#2621),#1407,.F.); #28368=ADVANCED_FACE('',(#2622),#1408,.F.); #28369=ADVANCED_FACE('',(#2623),#1409,.F.); #28370=ADVANCED_FACE('',(#2624),#1410,.F.); #28371=ADVANCED_FACE('',(#2625),#1411,.F.); #28372=ADVANCED_FACE('',(#2626),#1412,.F.); #28373=ADVANCED_FACE('',(#2627),#1413,.F.); #28374=ADVANCED_FACE('',(#2628),#1414,.F.); #28375=ADVANCED_FACE('',(#2629),#1415,.F.); #28376=ADVANCED_FACE('',(#2630),#1416,.F.); #28377=ADVANCED_FACE('',(#2631),#1417,.F.); #28378=ADVANCED_FACE('',(#2632),#1418,.F.); #28379=ADVANCED_FACE('',(#2633),#1419,.F.); #28380=ADVANCED_FACE('',(#2634),#1420,.F.); #28381=ADVANCED_FACE('',(#2635),#1421,.F.); #28382=ADVANCED_FACE('',(#2636),#1422,.F.); #28383=ADVANCED_FACE('',(#2637),#1423,.F.); #28384=ADVANCED_FACE('',(#2638),#1424,.F.); #28385=ADVANCED_FACE('',(#2639),#1425,.F.); #28386=ADVANCED_FACE('',(#2640),#1426,.F.); #28387=ADVANCED_FACE('',(#2641),#1427,.F.); #28388=ADVANCED_FACE('',(#2642),#1428,.F.); #28389=ADVANCED_FACE('',(#2643),#1429,.F.); #28390=ADVANCED_FACE('',(#2644),#1430,.F.); #28391=ADVANCED_FACE('',(#2645),#1431,.F.); #28392=ADVANCED_FACE('',(#2646),#1432,.F.); #28393=ADVANCED_FACE('',(#2647),#1433,.F.); #28394=ADVANCED_FACE('',(#2648),#1434,.F.); #28395=ADVANCED_FACE('',(#2649),#1435,.F.); #28396=ADVANCED_FACE('',(#2650),#1436,.F.); #28397=ADVANCED_FACE('',(#2651),#1437,.F.); #28398=ADVANCED_FACE('',(#2652),#1438,.F.); #28399=ADVANCED_FACE('',(#2653),#1439,.F.); #28400=ADVANCED_FACE('',(#2654),#1440,.F.); #28401=ADVANCED_FACE('',(#2655),#1441,.F.); #28402=ADVANCED_FACE('',(#2656),#1442,.F.); #28403=ADVANCED_FACE('',(#2657),#1443,.F.); #28404=ADVANCED_FACE('',(#2658),#1444,.F.); #28405=ADVANCED_FACE('',(#2659),#1445,.F.); #28406=ADVANCED_FACE('',(#2660),#1446,.F.); #28407=ADVANCED_FACE('',(#2661),#1447,.F.); #28408=ADVANCED_FACE('',(#2662),#1448,.F.); #28409=ADVANCED_FACE('',(#2663),#1449,.F.); #28410=ADVANCED_FACE('',(#2664),#1450,.F.); #28411=ADVANCED_FACE('',(#2665),#1451,.F.); #28412=ADVANCED_FACE('',(#2666),#1452,.F.); #28413=ADVANCED_FACE('',(#2667),#1453,.F.); #28414=ADVANCED_FACE('',(#2668),#1454,.F.); #28415=ADVANCED_FACE('',(#2669),#1455,.F.); #28416=ADVANCED_FACE('',(#2670),#1456,.F.); #28417=ADVANCED_FACE('',(#2671),#1457,.F.); #28418=ADVANCED_FACE('',(#2672),#1458,.F.); #28419=ADVANCED_FACE('',(#2673),#1459,.F.); #28420=ADVANCED_FACE('',(#2674),#1460,.F.); #28421=ADVANCED_FACE('',(#2675),#1461,.F.); #28422=ADVANCED_FACE('',(#2676),#1462,.F.); #28423=ADVANCED_FACE('',(#2677),#1463,.F.); #28424=ADVANCED_FACE('',(#2678),#1464,.F.); #28425=ADVANCED_FACE('',(#2679),#1465,.F.); #28426=ADVANCED_FACE('',(#2680),#1466,.F.); #28427=ADVANCED_FACE('',(#2681),#571,.F.); #28428=ADVANCED_FACE('',(#2682),#27714,.T.); #28429=ADVANCED_FACE('',(#2683),#27715,.T.); #28430=ADVANCED_FACE('',(#2684),#572,.T.); #28431=ADVANCED_FACE('',(#2685,#966),#1467,.F.); #28432=ADVANCED_FACE('',(#2686),#612,.F.); #28433=ADVANCED_FACE('',(#2687),#1468,.F.); #28434=ADVANCED_FACE('',(#2688),#613,.F.); #28435=ADVANCED_FACE('',(#2689,#967),#1469,.T.); #28436=ADVANCED_FACE('',(#2690),#1470,.F.); #28437=ADVANCED_FACE('',(#2691),#1471,.F.); #28438=ADVANCED_FACE('',(#2692),#27716,.F.); #28439=ADVANCED_FACE('',(#2693),#573,.T.); #28440=ADVANCED_FACE('',(#2694),#574,.F.); #28441=ADVANCED_FACE('',(#2695),#1472,.T.); #28442=ADVANCED_FACE('',(#2696),#27717,.T.); #28443=ADVANCED_FACE('',(#2697),#1473,.T.); #28444=ADVANCED_FACE('',(#2698),#27718,.T.); #28445=ADVANCED_FACE('',(#2699),#1474,.T.); #28446=ADVANCED_FACE('',(#2700),#1475,.F.); #28447=ADVANCED_FACE('',(#2701),#614,.F.); #28448=ADVANCED_FACE('',(#2702),#27719,.F.); #28449=ADVANCED_FACE('',(#2703),#615,.T.); #28450=ADVANCED_FACE('',(#2704,#968),#27720,.T.); #28451=ADVANCED_FACE('',(#2705,#969),#1476,.F.); #28452=ADVANCED_FACE('',(#2706),#616,.T.); #28453=ADVANCED_FACE('',(#2707),#27721,.T.); #28454=ADVANCED_FACE('',(#2708,#970),#1477,.F.); #28455=ADVANCED_FACE('',(#2709),#617,.T.); #28456=ADVANCED_FACE('',(#2710),#27722,.T.); #28457=ADVANCED_FACE('',(#2711),#1478,.T.); #28458=ADVANCED_FACE('',(#2712),#1479,.F.); #28459=ADVANCED_FACE('',(#2713),#1480,.F.); #28460=ADVANCED_FACE('',(#2714),#27723,.F.); #28461=ADVANCED_FACE('',(#2715),#1481,.F.); #28462=ADVANCED_FACE('',(#2716),#27724,.F.); #28463=ADVANCED_FACE('',(#2717),#27725,.F.); #28464=ADVANCED_FACE('',(#2718),#1482,.F.); #28465=ADVANCED_FACE('',(#2719),#1483,.F.); #28466=ADVANCED_FACE('',(#2720),#27726,.F.); #28467=ADVANCED_FACE('',(#2721),#1484,.F.); #28468=ADVANCED_FACE('',(#2722),#27727,.T.); #28469=ADVANCED_FACE('',(#2723,#971),#1485,.T.); #28470=ADVANCED_FACE('',(#2724,#972),#1486,.F.); #28471=ADVANCED_FACE('',(#2725),#27728,.T.); #28472=ADVANCED_FACE('',(#2726),#27729,.T.); #28473=ADVANCED_FACE('',(#2727,#973),#1487,.T.); #28474=ADVANCED_FACE('',(#2728,#974),#1488,.F.); #28475=ADVANCED_FACE('',(#2729,#975),#27730,.T.); #28476=ADVANCED_FACE('',(#2730,#976),#1489,.F.); #28477=ADVANCED_FACE('',(#2731,#977),#1490,.F.); #28478=ADVANCED_FACE('',(#2732),#27731,.T.); #28479=ADVANCED_FACE('',(#2733),#575,.F.); #28480=ADVANCED_FACE('',(#2734),#27732,.T.); #28481=ADVANCED_FACE('',(#2735,#978),#1491,.T.); #28482=ADVANCED_FACE('',(#2736),#618,.T.); #28483=ADVANCED_FACE('',(#2737),#1492,.T.); #28484=ADVANCED_FACE('',(#2738),#576,.T.); #28485=ADVANCED_FACE('',(#2739,#979),#1493,.T.); #28486=ADVANCED_FACE('',(#2740),#27733,.T.); #28487=ADVANCED_FACE('',(#2741),#1494,.F.); #28488=ADVANCED_FACE('',(#2742),#1495,.F.); #28489=ADVANCED_FACE('',(#2743),#1496,.F.); #28490=ADVANCED_FACE('',(#2744),#1497,.F.); #28491=ADVANCED_FACE('',(#2745),#1498,.F.); #28492=ADVANCED_FACE('',(#2746),#1499,.F.); #28493=ADVANCED_FACE('',(#2747),#619,.F.); #28494=ADVANCED_FACE('',(#2748),#577,.F.); #28495=ADVANCED_FACE('',(#2749),#27734,.T.); #28496=ADVANCED_FACE('',(#2750,#980),#1500,.T.); #28497=ADVANCED_FACE('',(#2751),#620,.T.); #28498=ADVANCED_FACE('',(#2752),#1501,.T.); #28499=ADVANCED_FACE('',(#2753),#578,.T.); #28500=ADVANCED_FACE('',(#2754,#981),#1502,.T.); #28501=ADVANCED_FACE('',(#2755),#27735,.T.); #28502=ADVANCED_FACE('',(#2756),#1503,.F.); #28503=ADVANCED_FACE('',(#2757),#1504,.F.); #28504=ADVANCED_FACE('',(#2758),#1505,.F.); #28505=ADVANCED_FACE('',(#2759),#1506,.F.); #28506=ADVANCED_FACE('',(#2760),#1507,.F.); #28507=ADVANCED_FACE('',(#2761),#1508,.F.); #28508=ADVANCED_FACE('',(#2762),#621,.F.); #28509=ADVANCED_FACE('',(#2763),#27736,.F.); #28510=ADVANCED_FACE('',(#2764),#27737,.F.); #28511=ADVANCED_FACE('',(#2765),#1509,.F.); #28512=ADVANCED_FACE('',(#2766),#1510,.T.); #28513=ADVANCED_FACE('',(#2767),#1511,.T.); #28514=ADVANCED_FACE('',(#2768),#1512,.T.); #28515=ADVANCED_FACE('',(#2769),#1513,.T.); #28516=ADVANCED_FACE('',(#2770),#1514,.T.); #28517=ADVANCED_FACE('',(#2771),#1515,.T.); #28518=ADVANCED_FACE('',(#2772,#982,#983),#1516,.T.); #28519=ADVANCED_FACE('',(#2773),#1517,.T.); #28520=ADVANCED_FACE('',(#2774),#1518,.T.); #28521=ADVANCED_FACE('',(#2775),#1519,.T.); #28522=ADVANCED_FACE('',(#2776),#1520,.T.); #28523=ADVANCED_FACE('',(#2777),#1521,.T.); #28524=ADVANCED_FACE('',(#2778),#1522,.T.); #28525=ADVANCED_FACE('',(#2779,#984,#985),#1523,.T.); #28526=ADVANCED_FACE('',(#2780),#1524,.T.); #28527=ADVANCED_FACE('',(#2781,#986),#1525,.F.); #28528=ADVANCED_FACE('',(#2782),#27738,.F.); #28529=ADVANCED_FACE('',(#2783),#27739,.F.); #28530=ADVANCED_FACE('',(#2784,#987),#1526,.F.); #28531=ADVANCED_FACE('',(#2785),#27740,.F.); #28532=ADVANCED_FACE('',(#2786),#27741,.F.); #28533=ADVANCED_FACE('',(#2787,#988),#1527,.F.); #28534=ADVANCED_FACE('',(#2788),#27742,.F.); #28535=ADVANCED_FACE('',(#2789),#27743,.F.); #28536=ADVANCED_FACE('',(#2790,#989),#1528,.F.); #28537=ADVANCED_FACE('',(#2791),#27744,.F.); #28538=ADVANCED_FACE('',(#2792),#27745,.F.); #28539=ADVANCED_FACE('',(#2793),#1529,.T.); #28540=ADVANCED_FACE('',(#2794),#27746,.F.); #28541=ADVANCED_FACE('',(#2795),#1530,.T.); #28542=ADVANCED_FACE('',(#2796,#990,#991,#992,#993),#1531,.F.); #28543=ADVANCED_FACE('',(#2797),#1532,.F.); #28544=ADVANCED_FACE('',(#2798),#27747,.F.); #28545=ADVANCED_FACE('',(#2799),#1533,.F.); #28546=ADVANCED_FACE('',(#2800),#27748,.F.); #28547=ADVANCED_FACE('',(#2801),#27749,.T.); #28548=ADVANCED_FACE('',(#2802),#1534,.F.); #28549=ADVANCED_FACE('',(#2803),#1535,.F.); #28550=ADVANCED_FACE('',(#2804),#1536,.F.); #28551=ADVANCED_FACE('',(#2805),#1537,.F.); #28552=ADVANCED_FACE('',(#2806),#27750,.T.); #28553=ADVANCED_FACE('',(#2807),#1538,.F.); #28554=ADVANCED_FACE('',(#2808),#27751,.T.); #28555=ADVANCED_FACE('',(#2809),#27752,.T.); #28556=ADVANCED_FACE('',(#2810),#1539,.F.); #28557=ADVANCED_FACE('',(#2811,#994,#995,#996,#997),#1540,.T.); #28558=ADVANCED_FACE('',(#2812,#998),#1541,.T.); #28559=ADVANCED_FACE('',(#2813),#27753,.F.); #28560=ADVANCED_FACE('',(#2814),#27754,.F.); #28561=ADVANCED_FACE('',(#2815,#999),#1542,.T.); #28562=ADVANCED_FACE('',(#2816),#27755,.F.); #28563=ADVANCED_FACE('',(#2817),#27756,.F.); #28564=ADVANCED_FACE('',(#2818,#1000),#1543,.T.); #28565=ADVANCED_FACE('',(#2819),#27757,.F.); #28566=ADVANCED_FACE('',(#2820),#27758,.F.); #28567=ADVANCED_FACE('',(#2821,#1001),#1544,.T.); #28568=ADVANCED_FACE('',(#2822),#27759,.F.); #28569=ADVANCED_FACE('',(#2823),#27760,.F.); #28570=ADVANCED_FACE('',(#2824),#1545,.F.); #28571=ADVANCED_FACE('',(#2825),#27761,.F.); #28572=ADVANCED_FACE('',(#2826),#1546,.F.); #28573=ADVANCED_FACE('',(#2827,#1002,#1003,#1004,#1005),#1547,.T.); #28574=ADVANCED_FACE('',(#2828),#1548,.T.); #28575=ADVANCED_FACE('',(#2829),#27762,.F.); #28576=ADVANCED_FACE('',(#2830),#1549,.T.); #28577=ADVANCED_FACE('',(#2831),#27763,.F.); #28578=ADVANCED_FACE('',(#2832),#27764,.T.); #28579=ADVANCED_FACE('',(#2833),#1550,.T.); #28580=ADVANCED_FACE('',(#2834),#1551,.T.); #28581=ADVANCED_FACE('',(#2835),#1552,.T.); #28582=ADVANCED_FACE('',(#2836),#1553,.T.); #28583=ADVANCED_FACE('',(#2837),#27765,.T.); #28584=ADVANCED_FACE('',(#2838),#1554,.T.); #28585=ADVANCED_FACE('',(#2839),#27766,.T.); #28586=ADVANCED_FACE('',(#2840),#27767,.T.); #28587=ADVANCED_FACE('',(#2841),#1555,.T.); #28588=ADVANCED_FACE('',(#2842,#1006,#1007,#1008,#1009),#1556,.F.); #28589=ADVANCED_FACE('',(#2843),#622,.F.); #28590=ADVANCED_FACE('',(#2844),#27768,.F.); #28591=ADVANCED_FACE('',(#2845),#623,.F.); #28592=ADVANCED_FACE('',(#2846),#27769,.F.); #28593=ADVANCED_FACE('',(#2847),#1557,.F.); #28594=ADVANCED_FACE('',(#2848),#1558,.F.); #28595=ADVANCED_FACE('',(#2849,#1010,#1011),#1559,.F.); #28596=ADVANCED_FACE('',(#2850),#1560,.T.); #28597=ADVANCED_FACE('',(#2851),#1561,.T.); #28598=ADVANCED_FACE('',(#2852,#1012,#1013,#1014,#1015,#1016,#1017,#1018, #1019),#1562,.T.); #28599=ADVANCED_FACE('',(#2853),#1563,.T.); #28600=ADVANCED_FACE('',(#2854,#1020),#1564,.T.); #28601=ADVANCED_FACE('',(#2855),#27770,.F.); #28602=ADVANCED_FACE('',(#2856),#27771,.F.); #28603=ADVANCED_FACE('',(#2857,#1021),#1565,.T.); #28604=ADVANCED_FACE('',(#2858),#27772,.F.); #28605=ADVANCED_FACE('',(#2859),#27773,.F.); #28606=ADVANCED_FACE('',(#2860,#1022),#1566,.T.); #28607=ADVANCED_FACE('',(#2861),#27774,.F.); #28608=ADVANCED_FACE('',(#2862),#27775,.F.); #28609=ADVANCED_FACE('',(#2863,#1023),#1567,.T.); #28610=ADVANCED_FACE('',(#2864),#27776,.F.); #28611=ADVANCED_FACE('',(#2865),#27777,.F.); #28612=ADVANCED_FACE('',(#2866),#1568,.F.); #28613=ADVANCED_FACE('',(#2867),#1569,.F.); #28614=ADVANCED_FACE('',(#2868),#1570,.F.); #28615=ADVANCED_FACE('',(#2869),#1571,.T.); #28616=ADVANCED_FACE('',(#2870),#1572,.T.); #28617=ADVANCED_FACE('',(#2871),#1573,.T.); #28618=ADVANCED_FACE('',(#2872),#1574,.T.); #28619=ADVANCED_FACE('',(#2873),#1575,.T.); #28620=ADVANCED_FACE('',(#2874),#1576,.T.); #28621=ADVANCED_FACE('',(#2875),#1577,.T.); #28622=ADVANCED_FACE('',(#2876),#1578,.T.); #28623=ADVANCED_FACE('',(#2877,#1024,#1025),#1579,.T.); #28624=ADVANCED_FACE('',(#2878,#1026,#1027,#1028,#1029,#1030,#1031,#1032, #1033),#1580,.T.); #28625=ADVANCED_FACE('',(#2879),#1581,.T.); #28626=ADVANCED_FACE('',(#2880,#1034,#1035),#1582,.T.); #28627=ADVANCED_FACE('',(#2881),#624,.F.); #28628=ADVANCED_FACE('',(#2882),#27778,.F.); #28629=ADVANCED_FACE('',(#2883),#625,.F.); #28630=ADVANCED_FACE('',(#2884),#27779,.F.); #28631=ADVANCED_FACE('',(#2885),#626,.F.); #28632=ADVANCED_FACE('',(#2886),#27780,.F.); #28633=ADVANCED_FACE('',(#2887),#627,.F.); #28634=ADVANCED_FACE('',(#2888),#27781,.F.); #28635=ADVANCED_FACE('',(#2889),#628,.F.); #28636=ADVANCED_FACE('',(#2890,#1036),#1583,.F.); #28637=ADVANCED_FACE('',(#2891),#27782,.F.); #28638=ADVANCED_FACE('',(#2892),#629,.F.); #28639=ADVANCED_FACE('',(#2893,#1037),#1584,.F.); #28640=ADVANCED_FACE('',(#2894),#27783,.F.); #28641=ADVANCED_FACE('',(#2895),#630,.F.); #28642=ADVANCED_FACE('',(#2896,#1038),#1585,.T.); #28643=ADVANCED_FACE('',(#2897),#27784,.F.); #28644=ADVANCED_FACE('',(#2898),#631,.F.); #28645=ADVANCED_FACE('',(#2899,#1039),#1586,.T.); #28646=ADVANCED_FACE('',(#2900),#27785,.F.); #28647=ADVANCED_FACE('',(#2901,#1040),#1587,.T.); #28648=ADVANCED_FACE('',(#2902),#27786,.F.); #28649=ADVANCED_FACE('',(#2903),#27787,.F.); #28650=ADVANCED_FACE('',(#2904,#1041),#1588,.T.); #28651=ADVANCED_FACE('',(#2905),#27788,.F.); #28652=ADVANCED_FACE('',(#2906),#27789,.F.); #28653=ADVANCED_FACE('',(#2907,#1042),#1589,.T.); #28654=ADVANCED_FACE('',(#2908),#27790,.F.); #28655=ADVANCED_FACE('',(#2909),#27791,.F.); #28656=ADVANCED_FACE('',(#2910,#1043),#1590,.T.); #28657=ADVANCED_FACE('',(#2911),#27792,.F.); #28658=ADVANCED_FACE('',(#2912),#27793,.F.); #28659=ADVANCED_FACE('',(#2913),#579,.F.); #28660=ADVANCED_FACE('',(#2914),#27794,.T.); #28661=ADVANCED_FACE('',(#2915,#1044),#1591,.T.); #28662=ADVANCED_FACE('',(#2916),#632,.T.); #28663=ADVANCED_FACE('',(#2917),#1592,.T.); #28664=ADVANCED_FACE('',(#2918),#580,.T.); #28665=ADVANCED_FACE('',(#2919,#1045),#1593,.T.); #28666=ADVANCED_FACE('',(#2920),#27795,.T.); #28667=ADVANCED_FACE('',(#2921),#1594,.F.); #28668=ADVANCED_FACE('',(#2922),#1595,.F.); #28669=ADVANCED_FACE('',(#2923),#1596,.F.); #28670=ADVANCED_FACE('',(#2924),#1597,.F.); #28671=ADVANCED_FACE('',(#2925),#1598,.F.); #28672=ADVANCED_FACE('',(#2926),#1599,.F.); #28673=ADVANCED_FACE('',(#2927),#633,.F.); #28674=ADVANCED_FACE('',(#2928),#27796,.F.); #28675=ADVANCED_FACE('',(#2929),#1600,.F.); #28676=ADVANCED_FACE('',(#2930),#27797,.F.); #28677=ADVANCED_FACE('',(#2931),#1601,.F.); #28678=ADVANCED_FACE('',(#2932),#27798,.F.); #28679=ADVANCED_FACE('',(#2933),#1602,.F.); #28680=ADVANCED_FACE('',(#2934),#27799,.F.); #28681=ADVANCED_FACE('',(#2935),#1603,.F.); #28682=ADVANCED_FACE('',(#2936),#27800,.F.); #28683=ADVANCED_FACE('',(#2937),#1604,.F.); #28684=ADVANCED_FACE('',(#2938),#27801,.F.); #28685=ADVANCED_FACE('',(#2939),#1605,.F.); #28686=ADVANCED_FACE('',(#2940),#27802,.F.); #28687=ADVANCED_FACE('',(#2941),#1606,.T.); #28688=ADVANCED_FACE('',(#2942),#27803,.F.); #28689=ADVANCED_FACE('',(#2943),#1607,.T.); #28690=ADVANCED_FACE('',(#2944),#27804,.F.); #28691=ADVANCED_FACE('',(#2945),#1608,.T.); #28692=ADVANCED_FACE('',(#2946),#27805,.F.); #28693=ADVANCED_FACE('',(#2947),#1609,.T.); #28694=ADVANCED_FACE('',(#2948,#1046),#1610,.F.); #28695=ADVANCED_FACE('',(#2949),#27806,.F.); #28696=ADVANCED_FACE('',(#2950),#1611,.T.); #28697=ADVANCED_FACE('',(#2951),#27807,.F.); #28698=ADVANCED_FACE('',(#2952),#1612,.T.); #28699=ADVANCED_FACE('',(#2953),#27808,.F.); #28700=ADVANCED_FACE('',(#2954),#1613,.T.); #28701=ADVANCED_FACE('',(#2955),#27809,.F.); #28702=ADVANCED_FACE('',(#2956),#1614,.T.); #28703=ADVANCED_FACE('',(#2957,#1047),#1615,.F.); #28704=ADVANCED_FACE('',(#2958),#27810,.F.); #28705=ADVANCED_FACE('',(#2959),#1616,.T.); #28706=ADVANCED_FACE('',(#2960),#27811,.F.); #28707=ADVANCED_FACE('',(#2961),#1617,.T.); #28708=ADVANCED_FACE('',(#2962),#27812,.F.); #28709=ADVANCED_FACE('',(#2963),#1618,.T.); #28710=ADVANCED_FACE('',(#2964),#27813,.F.); #28711=ADVANCED_FACE('',(#2965),#1619,.T.); #28712=ADVANCED_FACE('',(#2966,#1048),#1620,.F.); #28713=ADVANCED_FACE('',(#2967),#27814,.F.); #28714=ADVANCED_FACE('',(#2968),#1621,.F.); #28715=ADVANCED_FACE('',(#2969),#27815,.F.); #28716=ADVANCED_FACE('',(#2970),#1622,.F.); #28717=ADVANCED_FACE('',(#2971),#27816,.F.); #28718=ADVANCED_FACE('',(#2972),#1623,.F.); #28719=ADVANCED_FACE('',(#2973),#27817,.F.); #28720=ADVANCED_FACE('',(#2974),#1624,.F.); #28721=ADVANCED_FACE('',(#2975,#1049),#1625,.T.); #28722=ADVANCED_FACE('',(#2976),#27818,.F.); #28723=ADVANCED_FACE('',(#2977),#1626,.F.); #28724=ADVANCED_FACE('',(#2978),#27819,.F.); #28725=ADVANCED_FACE('',(#2979),#1627,.F.); #28726=ADVANCED_FACE('',(#2980),#27820,.F.); #28727=ADVANCED_FACE('',(#2981),#1628,.F.); #28728=ADVANCED_FACE('',(#2982),#27821,.F.); #28729=ADVANCED_FACE('',(#2983),#1629,.F.); #28730=ADVANCED_FACE('',(#2984,#1050),#1630,.T.); #28731=ADVANCED_FACE('',(#2985),#27822,.F.); #28732=ADVANCED_FACE('',(#2986),#1631,.F.); #28733=ADVANCED_FACE('',(#2987),#27823,.F.); #28734=ADVANCED_FACE('',(#2988),#1632,.F.); #28735=ADVANCED_FACE('',(#2989),#27824,.F.); #28736=ADVANCED_FACE('',(#2990),#1633,.F.); #28737=ADVANCED_FACE('',(#2991),#27825,.F.); #28738=ADVANCED_FACE('',(#2992),#1634,.F.); #28739=ADVANCED_FACE('',(#2993,#1051),#1635,.T.); #28740=ADVANCED_FACE('',(#2994,#1052,#1053,#1054),#1636,.F.); #28741=ADVANCED_FACE('',(#2995),#27826,.T.); #28742=ADVANCED_FACE('',(#2996),#1637,.T.); #28743=ADVANCED_FACE('',(#2997),#27827,.T.); #28744=ADVANCED_FACE('',(#2998),#1638,.T.); #28745=ADVANCED_FACE('',(#2999),#27828,.T.); #28746=ADVANCED_FACE('',(#3000),#1639,.T.); #28747=ADVANCED_FACE('',(#3001),#27829,.T.); #28748=ADVANCED_FACE('',(#3002),#1640,.T.); #28749=ADVANCED_FACE('',(#3003),#27830,.T.); #28750=ADVANCED_FACE('',(#3004),#1641,.T.); #28751=ADVANCED_FACE('',(#3005),#27831,.T.); #28752=ADVANCED_FACE('',(#3006),#1642,.T.); #28753=ADVANCED_FACE('',(#3007,#1055,#1056,#1057),#1643,.T.); #28754=ADVANCED_FACE('',(#3008),#1644,.T.); #28755=ADVANCED_FACE('',(#3009),#1645,.T.); #28756=ADVANCED_FACE('',(#3010),#1646,.T.); #28757=ADVANCED_FACE('',(#3011),#1647,.T.); #28758=ADVANCED_FACE('',(#3012),#1648,.T.); #28759=ADVANCED_FACE('',(#3013),#1649,.T.); #28760=ADVANCED_FACE('',(#3014),#1650,.T.); #28761=ADVANCED_FACE('',(#3015),#1651,.T.); #28762=ADVANCED_FACE('',(#3016),#27832,.F.); #28763=ADVANCED_FACE('',(#3017,#1058),#1652,.T.); #28764=ADVANCED_FACE('',(#3018),#634,.T.); #28765=ADVANCED_FACE('',(#3019,#1059),#1653,.T.); #28766=ADVANCED_FACE('',(#3020),#27833,.F.); #28767=ADVANCED_FACE('',(#3021),#27834,.T.); #28768=ADVANCED_FACE('',(#3022,#1060),#1654,.F.); #28769=ADVANCED_FACE('',(#3023,#1061),#1655,.T.); #28770=ADVANCED_FACE('',(#3024),#581,.F.); #28771=ADVANCED_FACE('',(#3025),#27835,.T.); #28772=ADVANCED_FACE('',(#3026,#1062),#1656,.T.); #28773=ADVANCED_FACE('',(#3027),#635,.T.); #28774=ADVANCED_FACE('',(#3028),#1657,.T.); #28775=ADVANCED_FACE('',(#3029),#582,.T.); #28776=ADVANCED_FACE('',(#3030,#1063),#1658,.T.); #28777=ADVANCED_FACE('',(#3031),#27836,.T.); #28778=ADVANCED_FACE('',(#3032),#1659,.F.); #28779=ADVANCED_FACE('',(#3033),#1660,.F.); #28780=ADVANCED_FACE('',(#3034),#1661,.F.); #28781=ADVANCED_FACE('',(#3035),#1662,.F.); #28782=ADVANCED_FACE('',(#3036),#1663,.F.); #28783=ADVANCED_FACE('',(#3037),#1664,.F.); #28784=ADVANCED_FACE('',(#3038),#636,.F.); #28785=ADVANCED_FACE('',(#3039),#1665,.F.); #28786=ADVANCED_FACE('',(#3040),#1666,.F.); #28787=ADVANCED_FACE('',(#3041),#1667,.F.); #28788=ADVANCED_FACE('',(#3042),#1668,.F.); #28789=ADVANCED_FACE('',(#3043),#1669,.F.); #28790=ADVANCED_FACE('',(#3044),#1670,.F.); #28791=ADVANCED_FACE('',(#3045),#1671,.F.); #28792=ADVANCED_FACE('',(#3046),#583,.F.); #28793=ADVANCED_FACE('',(#3047),#27837,.T.); #28794=ADVANCED_FACE('',(#3048),#584,.F.); #28795=ADVANCED_FACE('',(#3049),#637,.T.); #28796=ADVANCED_FACE('',(#3050),#27838,.T.); #28797=ADVANCED_FACE('',(#3051),#638,.T.); #28798=ADVANCED_FACE('',(#3052),#1672,.T.); #28799=ADVANCED_FACE('',(#3053),#585,.T.); #28800=ADVANCED_FACE('',(#3054),#27839,.T.); #28801=ADVANCED_FACE('',(#3055,#1064),#1673,.T.); #28802=ADVANCED_FACE('',(#3056),#586,.F.); #28803=ADVANCED_FACE('',(#3057),#27840,.T.); #28804=ADVANCED_FACE('',(#3058),#587,.F.); #28805=ADVANCED_FACE('',(#3059),#639,.T.); #28806=ADVANCED_FACE('',(#3060),#27841,.T.); #28807=ADVANCED_FACE('',(#3061,#1065),#1674,.T.); #28808=ADVANCED_FACE('',(#3062,#1066),#1675,.T.); #28809=ADVANCED_FACE('',(#3063),#1676,.T.); #28810=ADVANCED_FACE('',(#3064),#27842,.T.); #28811=ADVANCED_FACE('',(#3065),#1677,.T.); #28812=ADVANCED_FACE('',(#3066),#27843,.T.); #28813=ADVANCED_FACE('',(#3067),#1678,.T.); #28814=ADVANCED_FACE('',(#3068),#1679,.F.); #28815=ADVANCED_FACE('',(#3069),#1680,.F.); #28816=ADVANCED_FACE('',(#3070),#1681,.T.); #28817=ADVANCED_FACE('',(#3071),#27844,.T.); #28818=ADVANCED_FACE('',(#3072),#640,.T.); #28819=ADVANCED_FACE('',(#3073),#641,.T.); #28820=ADVANCED_FACE('',(#3074),#1682,.T.); #28821=ADVANCED_FACE('',(#3075),#1683,.F.); #28822=ADVANCED_FACE('',(#3076,#1067),#1684,.T.); #28823=ADVANCED_FACE('',(#3077),#642,.T.); #28824=ADVANCED_FACE('',(#3078,#1068),#1685,.T.); #28825=ADVANCED_FACE('',(#3079),#643,.F.); #28826=ADVANCED_FACE('',(#3080),#27845,.F.); #28827=ADVANCED_FACE('',(#3081),#644,.T.); #28828=ADVANCED_FACE('',(#3082),#27846,.T.); #28829=ADVANCED_FACE('',(#3083),#1686,.F.); #28830=ADVANCED_FACE('',(#3084),#27847,.F.); #28831=ADVANCED_FACE('',(#3085),#27848,.F.); #28832=ADVANCED_FACE('',(#3086),#27849,.F.); #28833=ADVANCED_FACE('',(#3087),#27850,.F.); #28834=ADVANCED_FACE('',(#3088,#1069,#1070,#1071,#1072),#1687,.F.); #28835=ADVANCED_FACE('',(#3089),#1688,.T.); #28836=ADVANCED_FACE('',(#3090),#27851,.F.); #28837=ADVANCED_FACE('',(#3091),#1689,.T.); #28838=ADVANCED_FACE('',(#3092),#1690,.T.); #28839=ADVANCED_FACE('',(#3093),#1691,.T.); #28840=ADVANCED_FACE('',(#3094),#1692,.T.); #28841=ADVANCED_FACE('',(#3095),#27852,.T.); #28842=ADVANCED_FACE('',(#3096),#27853,.T.); #28843=ADVANCED_FACE('',(#3097),#1693,.T.); #28844=ADVANCED_FACE('',(#3098),#27854,.T.); #28845=ADVANCED_FACE('',(#3099),#1694,.T.); #28846=ADVANCED_FACE('',(#3100),#27855,.T.); #28847=ADVANCED_FACE('',(#3101),#27856,.F.); #28848=ADVANCED_FACE('',(#3102,#1073,#1074,#1075,#1076),#1695,.T.); #28849=ADVANCED_FACE('',(#3103),#27857,.F.); #28850=ADVANCED_FACE('',(#3104),#27858,.F.); #28851=ADVANCED_FACE('',(#3105),#27859,.F.); #28852=ADVANCED_FACE('',(#3106),#27860,.F.); #28853=ADVANCED_FACE('',(#3107,#1077,#1078,#1079,#1080),#1696,.F.); #28854=ADVANCED_FACE('',(#3108),#1697,.T.); #28855=ADVANCED_FACE('',(#3109),#27861,.F.); #28856=ADVANCED_FACE('',(#3110),#1698,.T.); #28857=ADVANCED_FACE('',(#3111),#1699,.T.); #28858=ADVANCED_FACE('',(#3112),#1700,.T.); #28859=ADVANCED_FACE('',(#3113),#1701,.T.); #28860=ADVANCED_FACE('',(#3114),#27862,.T.); #28861=ADVANCED_FACE('',(#3115),#27863,.T.); #28862=ADVANCED_FACE('',(#3116),#1702,.T.); #28863=ADVANCED_FACE('',(#3117),#27864,.T.); #28864=ADVANCED_FACE('',(#3118),#1703,.T.); #28865=ADVANCED_FACE('',(#3119),#27865,.T.); #28866=ADVANCED_FACE('',(#3120),#27866,.F.); #28867=ADVANCED_FACE('',(#3121,#1081,#1082,#1083,#1084),#1704,.T.); #28868=ADVANCED_FACE('',(#3122),#588,.F.); #28869=ADVANCED_FACE('',(#3123),#27867,.T.); #28870=ADVANCED_FACE('',(#3124,#1085),#1705,.T.); #28871=ADVANCED_FACE('',(#3125),#645,.T.); #28872=ADVANCED_FACE('',(#3126),#1706,.T.); #28873=ADVANCED_FACE('',(#3127),#589,.T.); #28874=ADVANCED_FACE('',(#3128,#1086),#1707,.T.); #28875=ADVANCED_FACE('',(#3129),#27868,.T.); #28876=ADVANCED_FACE('',(#3130),#1708,.F.); #28877=ADVANCED_FACE('',(#3131),#1709,.F.); #28878=ADVANCED_FACE('',(#3132),#1710,.F.); #28879=ADVANCED_FACE('',(#3133),#1711,.F.); #28880=ADVANCED_FACE('',(#3134),#1712,.F.); #28881=ADVANCED_FACE('',(#3135),#1713,.F.); #28882=ADVANCED_FACE('',(#3136),#646,.F.); #28883=ADVANCED_FACE('',(#3137),#27869,.T.); #28884=ADVANCED_FACE('',(#3138),#1714,.T.); #28885=ADVANCED_FACE('',(#3139),#27870,.T.); #28886=ADVANCED_FACE('',(#3140),#27871,.T.); #28887=ADVANCED_FACE('',(#3141),#1715,.T.); #28888=ADVANCED_FACE('',(#3142),#27872,.T.); #28889=ADVANCED_FACE('',(#3143),#27873,.T.); #28890=ADVANCED_FACE('',(#3144),#1716,.F.); #28891=ADVANCED_FACE('',(#3145),#27874,.T.); #28892=ADVANCED_FACE('',(#3146),#1717,.T.); #28893=ADVANCED_FACE('',(#3147),#27875,.T.); #28894=ADVANCED_FACE('',(#3148),#1718,.T.); #28895=ADVANCED_FACE('',(#3149),#1719,.T.); #28896=ADVANCED_FACE('',(#3150),#27876,.T.); #28897=ADVANCED_FACE('',(#3151),#27877,.F.); #28898=ADVANCED_FACE('',(#3152),#1720,.F.); #28899=ADVANCED_FACE('',(#3153),#1721,.F.); #28900=ADVANCED_FACE('',(#3154),#27878,.T.); #28901=ADVANCED_FACE('',(#3155),#1722,.T.); #28902=ADVANCED_FACE('',(#3156),#1723,.T.); #28903=ADVANCED_FACE('',(#3157),#27879,.F.); #28904=ADVANCED_FACE('',(#3158),#1724,.T.); #28905=ADVANCED_FACE('',(#3159),#27880,.T.); #28906=ADVANCED_FACE('',(#3160),#1725,.T.); #28907=ADVANCED_FACE('',(#3161),#27881,.F.); #28908=ADVANCED_FACE('',(#3162),#1726,.T.); #28909=ADVANCED_FACE('',(#3163),#1727,.T.); #28910=ADVANCED_FACE('',(#3164),#1728,.T.); #28911=ADVANCED_FACE('',(#3165),#27882,.T.); #28912=ADVANCED_FACE('',(#3166),#27883,.F.); #28913=ADVANCED_FACE('',(#3167),#27884,.T.); #28914=ADVANCED_FACE('',(#3168),#1729,.T.); #28915=ADVANCED_FACE('',(#3169),#1730,.T.); #28916=ADVANCED_FACE('',(#3170),#1731,.T.); #28917=ADVANCED_FACE('',(#3171),#1732,.T.); #28918=ADVANCED_FACE('',(#3172),#1733,.T.); #28919=ADVANCED_FACE('',(#3173),#647,.F.); #28920=ADVANCED_FACE('',(#3174),#648,.T.); #28921=ADVANCED_FACE('',(#3175),#1734,.F.); #28922=ADVANCED_FACE('',(#3176),#1735,.T.); #28923=ADVANCED_FACE('',(#3177),#1736,.T.); #28924=ADVANCED_FACE('',(#3178),#649,.F.); #28925=ADVANCED_FACE('',(#3179),#650,.T.); #28926=ADVANCED_FACE('',(#3180),#1737,.F.); #28927=ADVANCED_FACE('',(#3181),#651,.T.); #28928=ADVANCED_FACE('',(#3182),#652,.F.); #28929=ADVANCED_FACE('',(#3183),#1738,.F.); #28930=ADVANCED_FACE('',(#3184),#653,.T.); #28931=ADVANCED_FACE('',(#3185),#1739,.T.); #28932=ADVANCED_FACE('',(#3186),#654,.F.); #28933=ADVANCED_FACE('',(#3187),#1740,.T.); #28934=ADVANCED_FACE('',(#3188),#1741,.T.); #28935=ADVANCED_FACE('',(#3189),#1742,.T.); #28936=ADVANCED_FACE('',(#3190),#1743,.T.); #28937=ADVANCED_FACE('',(#3191),#1744,.T.); #28938=ADVANCED_FACE('',(#3192),#27885,.T.); #28939=ADVANCED_FACE('',(#3193),#27886,.F.); #28940=ADVANCED_FACE('',(#3194),#1745,.T.); #28941=ADVANCED_FACE('',(#3195),#27887,.T.); #28942=ADVANCED_FACE('',(#3196,#1087),#1746,.T.); #28943=ADVANCED_FACE('',(#3197),#27888,.T.); #28944=ADVANCED_FACE('',(#3198),#1747,.T.); #28945=ADVANCED_FACE('',(#3199),#27889,.F.); #28946=ADVANCED_FACE('',(#3200),#27890,.T.); #28947=ADVANCED_FACE('',(#3201),#1748,.T.); #28948=ADVANCED_FACE('',(#3202),#27891,.F.); #28949=ADVANCED_FACE('',(#3203),#27892,.F.); #28950=ADVANCED_FACE('',(#3204),#27893,.F.); #28951=ADVANCED_FACE('',(#3205),#27894,.F.); #28952=ADVANCED_FACE('',(#3206,#1088,#1089,#1090,#1091),#1749,.F.); #28953=ADVANCED_FACE('',(#3207),#1750,.T.); #28954=ADVANCED_FACE('',(#3208),#27895,.F.); #28955=ADVANCED_FACE('',(#3209),#1751,.T.); #28956=ADVANCED_FACE('',(#3210),#1752,.T.); #28957=ADVANCED_FACE('',(#3211),#1753,.T.); #28958=ADVANCED_FACE('',(#3212),#1754,.T.); #28959=ADVANCED_FACE('',(#3213),#27896,.T.); #28960=ADVANCED_FACE('',(#3214),#27897,.T.); #28961=ADVANCED_FACE('',(#3215),#1755,.T.); #28962=ADVANCED_FACE('',(#3216),#27898,.T.); #28963=ADVANCED_FACE('',(#3217),#1756,.T.); #28964=ADVANCED_FACE('',(#3218),#27899,.T.); #28965=ADVANCED_FACE('',(#3219),#27900,.F.); #28966=ADVANCED_FACE('',(#3220,#1092,#1093,#1094,#1095),#1757,.T.); #28967=ADVANCED_FACE('',(#3221),#1758,.F.); #28968=ADVANCED_FACE('',(#3222),#1759,.F.); #28969=ADVANCED_FACE('',(#3223),#1760,.F.); #28970=ADVANCED_FACE('',(#3224),#1761,.F.); #28971=ADVANCED_FACE('',(#3225),#1762,.T.); #28972=ADVANCED_FACE('',(#3226),#1763,.F.); #28973=ADVANCED_FACE('',(#3227),#1764,.F.); #28974=ADVANCED_FACE('',(#3228),#1765,.F.); #28975=ADVANCED_FACE('',(#3229),#1766,.F.); #28976=ADVANCED_FACE('',(#3230),#1767,.F.); #28977=ADVANCED_FACE('',(#3231),#1768,.F.); #28978=ADVANCED_FACE('',(#3232),#1769,.F.); #28979=ADVANCED_FACE('',(#3233),#1770,.F.); #28980=ADVANCED_FACE('',(#3234),#1771,.F.); #28981=ADVANCED_FACE('',(#3235),#1772,.F.); #28982=ADVANCED_FACE('',(#3236),#1773,.F.); #28983=ADVANCED_FACE('',(#3237),#1774,.F.); #28984=ADVANCED_FACE('',(#3238),#1775,.F.); #28985=ADVANCED_FACE('',(#3239),#1776,.F.); #28986=ADVANCED_FACE('',(#3240),#1777,.F.); #28987=ADVANCED_FACE('',(#3241),#1778,.F.); #28988=ADVANCED_FACE('',(#3242),#1779,.F.); #28989=ADVANCED_FACE('',(#3243),#1780,.F.); #28990=ADVANCED_FACE('',(#3244),#1781,.F.); #28991=ADVANCED_FACE('',(#3245),#1782,.F.); #28992=ADVANCED_FACE('',(#3246),#1783,.F.); #28993=ADVANCED_FACE('',(#3247),#1784,.F.); #28994=ADVANCED_FACE('',(#3248),#1785,.F.); #28995=ADVANCED_FACE('',(#3249),#1786,.F.); #28996=ADVANCED_FACE('',(#3250),#1787,.F.); #28997=ADVANCED_FACE('',(#3251),#1788,.F.); #28998=ADVANCED_FACE('',(#3252),#1789,.F.); #28999=ADVANCED_FACE('',(#3253),#1790,.F.); #29000=ADVANCED_FACE('',(#3254),#1791,.F.); #29001=ADVANCED_FACE('',(#3255),#1792,.F.); #29002=ADVANCED_FACE('',(#3256),#1793,.F.); #29003=ADVANCED_FACE('',(#3257),#1794,.F.); #29004=ADVANCED_FACE('',(#3258),#1795,.F.); #29005=ADVANCED_FACE('',(#3259),#1796,.T.); #29006=ADVANCED_FACE('',(#3260),#1797,.F.); #29007=ADVANCED_FACE('',(#3261),#1798,.F.); #29008=ADVANCED_FACE('',(#3262),#1799,.F.); #29009=ADVANCED_FACE('',(#3263),#1800,.F.); #29010=ADVANCED_FACE('',(#3264),#1801,.F.); #29011=ADVANCED_FACE('',(#3265),#1802,.F.); #29012=ADVANCED_FACE('',(#3266),#1803,.F.); #29013=ADVANCED_FACE('',(#3267),#1804,.F.); #29014=ADVANCED_FACE('',(#3268),#1805,.F.); #29015=ADVANCED_FACE('',(#3269),#1806,.F.); #29016=ADVANCED_FACE('',(#3270),#1807,.F.); #29017=ADVANCED_FACE('',(#3271),#1808,.F.); #29018=ADVANCED_FACE('',(#3272),#1809,.F.); #29019=ADVANCED_FACE('',(#3273),#1810,.F.); #29020=ADVANCED_FACE('',(#3274),#1811,.F.); #29021=ADVANCED_FACE('',(#3275),#1812,.F.); #29022=ADVANCED_FACE('',(#3276),#1813,.F.); #29023=ADVANCED_FACE('',(#3277),#1814,.F.); #29024=ADVANCED_FACE('',(#3278),#1815,.F.); #29025=ADVANCED_FACE('',(#3279),#1816,.F.); #29026=ADVANCED_FACE('',(#3280),#1817,.F.); #29027=ADVANCED_FACE('',(#3281),#1818,.F.); #29028=ADVANCED_FACE('',(#3282),#1819,.F.); #29029=ADVANCED_FACE('',(#3283),#1820,.F.); #29030=ADVANCED_FACE('',(#3284),#1821,.F.); #29031=ADVANCED_FACE('',(#3285),#1822,.F.); #29032=ADVANCED_FACE('',(#3286),#1823,.F.); #29033=ADVANCED_FACE('',(#3287),#1824,.F.); #29034=ADVANCED_FACE('',(#3288),#1825,.F.); #29035=ADVANCED_FACE('',(#3289),#1826,.F.); #29036=ADVANCED_FACE('',(#3290),#1827,.F.); #29037=ADVANCED_FACE('',(#3291),#1828,.F.); #29038=ADVANCED_FACE('',(#3292),#1829,.F.); #29039=ADVANCED_FACE('',(#3293),#1830,.F.); #29040=ADVANCED_FACE('',(#3294),#1831,.T.); #29041=ADVANCED_FACE('',(#3295),#1832,.F.); #29042=ADVANCED_FACE('',(#3296),#1833,.F.); #29043=ADVANCED_FACE('',(#3297),#1834,.F.); #29044=ADVANCED_FACE('',(#3298),#1835,.F.); #29045=ADVANCED_FACE('',(#3299),#1836,.F.); #29046=ADVANCED_FACE('',(#3300),#1837,.T.); #29047=ADVANCED_FACE('',(#3301),#1838,.T.); #29048=ADVANCED_FACE('',(#3302),#1839,.F.); #29049=ADVANCED_FACE('',(#3303),#1840,.F.); #29050=ADVANCED_FACE('',(#3304),#1841,.F.); #29051=ADVANCED_FACE('',(#3305),#1842,.F.); #29052=ADVANCED_FACE('',(#3306),#1843,.F.); #29053=ADVANCED_FACE('',(#3307),#1844,.F.); #29054=ADVANCED_FACE('',(#3308,#1096),#1845,.T.); #29055=ADVANCED_FACE('',(#3309),#1846,.F.); #29056=ADVANCED_FACE('',(#3310),#1847,.F.); #29057=ADVANCED_FACE('',(#3311),#1848,.F.); #29058=ADVANCED_FACE('',(#3312),#1849,.F.); #29059=ADVANCED_FACE('',(#3313),#1850,.F.); #29060=ADVANCED_FACE('',(#3314),#1851,.F.); #29061=ADVANCED_FACE('',(#3315),#1852,.F.); #29062=ADVANCED_FACE('',(#3316),#1853,.F.); #29063=ADVANCED_FACE('',(#3317),#1854,.F.); #29064=ADVANCED_FACE('',(#3318),#1855,.F.); #29065=ADVANCED_FACE('',(#3319),#1856,.F.); #29066=ADVANCED_FACE('',(#3320),#1857,.F.); #29067=ADVANCED_FACE('',(#3321),#1858,.F.); #29068=ADVANCED_FACE('',(#3322),#1859,.F.); #29069=ADVANCED_FACE('',(#3323),#1860,.F.); #29070=ADVANCED_FACE('',(#3324),#1861,.T.); #29071=ADVANCED_FACE('',(#3325),#1862,.F.); #29072=ADVANCED_FACE('',(#3326),#1863,.F.); #29073=ADVANCED_FACE('',(#3327),#1864,.F.); #29074=ADVANCED_FACE('',(#3328),#1865,.F.); #29075=ADVANCED_FACE('',(#3329),#1866,.F.); #29076=ADVANCED_FACE('',(#3330),#1867,.F.); #29077=ADVANCED_FACE('',(#3331),#1868,.F.); #29078=ADVANCED_FACE('',(#3332),#1869,.F.); #29079=ADVANCED_FACE('',(#3333),#1870,.F.); #29080=ADVANCED_FACE('',(#3334),#1871,.F.); #29081=ADVANCED_FACE('',(#3335),#1872,.F.); #29082=ADVANCED_FACE('',(#3336),#1873,.F.); #29083=ADVANCED_FACE('',(#3337),#1874,.F.); #29084=ADVANCED_FACE('',(#3338),#1875,.F.); #29085=ADVANCED_FACE('',(#3339),#1876,.F.); #29086=ADVANCED_FACE('',(#3340),#1877,.F.); #29087=ADVANCED_FACE('',(#3341),#1878,.F.); #29088=ADVANCED_FACE('',(#3342),#1879,.F.); #29089=ADVANCED_FACE('',(#3343),#1880,.F.); #29090=ADVANCED_FACE('',(#3344),#1881,.F.); #29091=ADVANCED_FACE('',(#3345),#1882,.F.); #29092=ADVANCED_FACE('',(#3346),#1883,.F.); #29093=ADVANCED_FACE('',(#3347),#1884,.F.); #29094=ADVANCED_FACE('',(#3348),#1885,.F.); #29095=ADVANCED_FACE('',(#3349),#1886,.F.); #29096=ADVANCED_FACE('',(#3350),#1887,.F.); #29097=ADVANCED_FACE('',(#3351),#1888,.F.); #29098=ADVANCED_FACE('',(#3352),#1889,.F.); #29099=ADVANCED_FACE('',(#3353),#1890,.F.); #29100=ADVANCED_FACE('',(#3354),#1891,.F.); #29101=ADVANCED_FACE('',(#3355),#1892,.F.); #29102=ADVANCED_FACE('',(#3356),#1893,.F.); #29103=ADVANCED_FACE('',(#3357),#1894,.F.); #29104=ADVANCED_FACE('',(#3358),#1895,.F.); #29105=ADVANCED_FACE('',(#3359),#1896,.F.); #29106=ADVANCED_FACE('',(#3360),#1897,.F.); #29107=ADVANCED_FACE('',(#3361),#1898,.F.); #29108=ADVANCED_FACE('',(#3362),#1899,.F.); #29109=ADVANCED_FACE('',(#3363),#1900,.F.); #29110=ADVANCED_FACE('',(#3364),#1901,.F.); #29111=ADVANCED_FACE('',(#3365),#1902,.F.); #29112=ADVANCED_FACE('',(#3366),#1903,.F.); #29113=ADVANCED_FACE('',(#3367),#1904,.F.); #29114=ADVANCED_FACE('',(#3368),#1905,.F.); #29115=ADVANCED_FACE('',(#3369),#1906,.F.); #29116=ADVANCED_FACE('',(#3370),#1907,.F.); #29117=ADVANCED_FACE('',(#3371),#1908,.F.); #29118=ADVANCED_FACE('',(#3372),#1909,.F.); #29119=ADVANCED_FACE('',(#3373),#1910,.F.); #29120=ADVANCED_FACE('',(#3374),#1911,.F.); #29121=ADVANCED_FACE('',(#3375),#1912,.F.); #29122=ADVANCED_FACE('',(#3376),#1913,.F.); #29123=ADVANCED_FACE('',(#3377),#1914,.F.); #29124=ADVANCED_FACE('',(#3378),#1915,.F.); #29125=ADVANCED_FACE('',(#3379),#1916,.F.); #29126=ADVANCED_FACE('',(#3380),#1917,.F.); #29127=ADVANCED_FACE('',(#3381),#1918,.F.); #29128=ADVANCED_FACE('',(#3382),#1919,.F.); #29129=ADVANCED_FACE('',(#3383),#1920,.F.); #29130=ADVANCED_FACE('',(#3384),#1921,.F.); #29131=ADVANCED_FACE('',(#3385),#1922,.F.); #29132=ADVANCED_FACE('',(#3386),#1923,.F.); #29133=ADVANCED_FACE('',(#3387),#1924,.F.); #29134=ADVANCED_FACE('',(#3388),#1925,.F.); #29135=ADVANCED_FACE('',(#3389),#1926,.F.); #29136=ADVANCED_FACE('',(#3390),#1927,.F.); #29137=ADVANCED_FACE('',(#3391),#1928,.F.); #29138=ADVANCED_FACE('',(#3392),#1929,.F.); #29139=ADVANCED_FACE('',(#3393),#1930,.F.); #29140=ADVANCED_FACE('',(#3394),#1931,.F.); #29141=ADVANCED_FACE('',(#3395,#1097),#1932,.T.); #29142=ADVANCED_FACE('',(#3396),#1933,.F.); #29143=ADVANCED_FACE('',(#3397),#1934,.F.); #29144=ADVANCED_FACE('',(#3398),#1935,.F.); #29145=ADVANCED_FACE('',(#3399),#1936,.F.); #29146=ADVANCED_FACE('',(#3400),#1937,.F.); #29147=ADVANCED_FACE('',(#3401),#1938,.F.); #29148=ADVANCED_FACE('',(#3402),#1939,.F.); #29149=ADVANCED_FACE('',(#3403),#1940,.F.); #29150=ADVANCED_FACE('',(#3404),#1941,.F.); #29151=ADVANCED_FACE('',(#3405),#1942,.F.); #29152=ADVANCED_FACE('',(#3406),#1943,.F.); #29153=ADVANCED_FACE('',(#3407),#1944,.F.); #29154=ADVANCED_FACE('',(#3408),#1945,.F.); #29155=ADVANCED_FACE('',(#3409),#1946,.F.); #29156=ADVANCED_FACE('',(#3410),#1947,.F.); #29157=ADVANCED_FACE('',(#3411),#1948,.F.); #29158=ADVANCED_FACE('',(#3412),#1949,.F.); #29159=ADVANCED_FACE('',(#3413),#1950,.F.); #29160=ADVANCED_FACE('',(#3414),#1951,.F.); #29161=ADVANCED_FACE('',(#3415),#1952,.F.); #29162=ADVANCED_FACE('',(#3416),#1953,.F.); #29163=ADVANCED_FACE('',(#3417),#1954,.F.); #29164=ADVANCED_FACE('',(#3418),#1955,.F.); #29165=ADVANCED_FACE('',(#3419),#1956,.F.); #29166=ADVANCED_FACE('',(#3420),#1957,.F.); #29167=ADVANCED_FACE('',(#3421),#1958,.F.); #29168=ADVANCED_FACE('',(#3422),#1959,.F.); #29169=ADVANCED_FACE('',(#3423),#1960,.F.); #29170=ADVANCED_FACE('',(#3424),#1961,.F.); #29171=ADVANCED_FACE('',(#3425),#1962,.F.); #29172=ADVANCED_FACE('',(#3426),#1963,.F.); #29173=ADVANCED_FACE('',(#3427),#1964,.F.); #29174=ADVANCED_FACE('',(#3428),#1965,.F.); #29175=ADVANCED_FACE('',(#3429),#1966,.F.); #29176=ADVANCED_FACE('',(#3430),#1967,.F.); #29177=ADVANCED_FACE('',(#3431),#1968,.F.); #29178=ADVANCED_FACE('',(#3432),#1969,.F.); #29179=ADVANCED_FACE('',(#3433),#1970,.F.); #29180=ADVANCED_FACE('',(#3434),#1971,.F.); #29181=ADVANCED_FACE('',(#3435),#1972,.F.); #29182=ADVANCED_FACE('',(#3436),#1973,.F.); #29183=ADVANCED_FACE('',(#3437),#1974,.F.); #29184=ADVANCED_FACE('',(#3438),#1975,.F.); #29185=ADVANCED_FACE('',(#3439),#1976,.F.); #29186=ADVANCED_FACE('',(#3440),#1977,.F.); #29187=ADVANCED_FACE('',(#3441),#1978,.F.); #29188=ADVANCED_FACE('',(#3442),#1979,.F.); #29189=ADVANCED_FACE('',(#3443),#1980,.F.); #29190=ADVANCED_FACE('',(#3444),#1981,.F.); #29191=ADVANCED_FACE('',(#3445),#1982,.F.); #29192=ADVANCED_FACE('',(#3446),#1983,.T.); #29193=ADVANCED_FACE('',(#3447),#1984,.F.); #29194=ADVANCED_FACE('',(#3448),#1985,.F.); #29195=ADVANCED_FACE('',(#3449),#1986,.F.); #29196=ADVANCED_FACE('',(#3450),#1987,.F.); #29197=ADVANCED_FACE('',(#3451),#1988,.F.); #29198=ADVANCED_FACE('',(#3452),#1989,.F.); #29199=ADVANCED_FACE('',(#3453),#1990,.F.); #29200=ADVANCED_FACE('',(#3454),#1991,.F.); #29201=ADVANCED_FACE('',(#3455),#1992,.F.); #29202=ADVANCED_FACE('',(#3456),#1993,.F.); #29203=ADVANCED_FACE('',(#3457),#1994,.F.); #29204=ADVANCED_FACE('',(#3458),#1995,.F.); #29205=ADVANCED_FACE('',(#3459),#1996,.F.); #29206=ADVANCED_FACE('',(#3460),#1997,.F.); #29207=ADVANCED_FACE('',(#3461),#1998,.F.); #29208=ADVANCED_FACE('',(#3462),#1999,.F.); #29209=ADVANCED_FACE('',(#3463),#2000,.F.); #29210=ADVANCED_FACE('',(#3464),#2001,.F.); #29211=ADVANCED_FACE('',(#3465),#2002,.F.); #29212=ADVANCED_FACE('',(#3466),#2003,.F.); #29213=ADVANCED_FACE('',(#3467),#2004,.F.); #29214=ADVANCED_FACE('',(#3468),#2005,.F.); #29215=ADVANCED_FACE('',(#3469),#2006,.F.); #29216=ADVANCED_FACE('',(#3470),#2007,.F.); #29217=ADVANCED_FACE('',(#3471),#2008,.F.); #29218=ADVANCED_FACE('',(#3472),#2009,.F.); #29219=ADVANCED_FACE('',(#3473),#2010,.F.); #29220=ADVANCED_FACE('',(#3474),#2011,.F.); #29221=ADVANCED_FACE('',(#3475),#2012,.F.); #29222=ADVANCED_FACE('',(#3476),#2013,.F.); #29223=ADVANCED_FACE('',(#3477),#2014,.F.); #29224=ADVANCED_FACE('',(#3478),#2015,.F.); #29225=ADVANCED_FACE('',(#3479),#2016,.F.); #29226=ADVANCED_FACE('',(#3480),#2017,.F.); #29227=ADVANCED_FACE('',(#3481),#2018,.F.); #29228=ADVANCED_FACE('',(#3482),#2019,.F.); #29229=ADVANCED_FACE('',(#3483),#2020,.F.); #29230=ADVANCED_FACE('',(#3484),#2021,.F.); #29231=ADVANCED_FACE('',(#3485),#2022,.F.); #29232=ADVANCED_FACE('',(#3486),#2023,.F.); #29233=ADVANCED_FACE('',(#3487),#2024,.F.); #29234=ADVANCED_FACE('',(#3488),#2025,.F.); #29235=ADVANCED_FACE('',(#3489),#2026,.F.); #29236=ADVANCED_FACE('',(#3490),#2027,.F.); #29237=ADVANCED_FACE('',(#3491),#2028,.F.); #29238=ADVANCED_FACE('',(#3492),#2029,.F.); #29239=ADVANCED_FACE('',(#3493),#2030,.F.); #29240=ADVANCED_FACE('',(#3494),#2031,.F.); #29241=ADVANCED_FACE('',(#3495),#2032,.F.); #29242=ADVANCED_FACE('',(#3496),#2033,.F.); #29243=ADVANCED_FACE('',(#3497),#2034,.F.); #29244=ADVANCED_FACE('',(#3498),#2035,.F.); #29245=ADVANCED_FACE('',(#3499),#2036,.F.); #29246=ADVANCED_FACE('',(#3500),#2037,.F.); #29247=ADVANCED_FACE('',(#3501),#2038,.F.); #29248=ADVANCED_FACE('',(#3502),#2039,.F.); #29249=ADVANCED_FACE('',(#3503),#2040,.F.); #29250=ADVANCED_FACE('',(#3504),#2041,.F.); #29251=ADVANCED_FACE('',(#3505),#2042,.F.); #29252=ADVANCED_FACE('',(#3506),#2043,.F.); #29253=ADVANCED_FACE('',(#3507),#2044,.F.); #29254=ADVANCED_FACE('',(#3508),#2045,.F.); #29255=ADVANCED_FACE('',(#3509),#2046,.F.); #29256=ADVANCED_FACE('',(#3510),#2047,.F.); #29257=ADVANCED_FACE('',(#3511),#2048,.F.); #29258=ADVANCED_FACE('',(#3512),#2049,.F.); #29259=ADVANCED_FACE('',(#3513),#2050,.F.); #29260=ADVANCED_FACE('',(#3514),#2051,.F.); #29261=ADVANCED_FACE('',(#3515),#2052,.F.); #29262=ADVANCED_FACE('',(#3516),#2053,.F.); #29263=ADVANCED_FACE('',(#3517),#2054,.F.); #29264=ADVANCED_FACE('',(#3518),#2055,.F.); #29265=ADVANCED_FACE('',(#3519),#2056,.F.); #29266=ADVANCED_FACE('',(#3520),#2057,.F.); #29267=ADVANCED_FACE('',(#3521),#2058,.F.); #29268=ADVANCED_FACE('',(#3522),#2059,.F.); #29269=ADVANCED_FACE('',(#3523),#2060,.F.); #29270=ADVANCED_FACE('',(#3524),#2061,.F.); #29271=ADVANCED_FACE('',(#3525),#2062,.F.); #29272=ADVANCED_FACE('',(#3526),#2063,.F.); #29273=ADVANCED_FACE('',(#3527,#1098,#1099),#2064,.T.); #29274=ADVANCED_FACE('',(#3528),#2065,.F.); #29275=ADVANCED_FACE('',(#3529),#2066,.F.); #29276=ADVANCED_FACE('',(#3530),#2067,.F.); #29277=ADVANCED_FACE('',(#3531),#2068,.F.); #29278=ADVANCED_FACE('',(#3532),#2069,.F.); #29279=ADVANCED_FACE('',(#3533),#2070,.F.); #29280=ADVANCED_FACE('',(#3534),#2071,.F.); #29281=ADVANCED_FACE('',(#3535),#2072,.F.); #29282=ADVANCED_FACE('',(#3536),#2073,.F.); #29283=ADVANCED_FACE('',(#3537),#2074,.F.); #29284=ADVANCED_FACE('',(#3538),#2075,.F.); #29285=ADVANCED_FACE('',(#3539),#2076,.F.); #29286=ADVANCED_FACE('',(#3540),#2077,.F.); #29287=ADVANCED_FACE('',(#3541),#2078,.F.); #29288=ADVANCED_FACE('',(#3542),#2079,.F.); #29289=ADVANCED_FACE('',(#3543),#2080,.F.); #29290=ADVANCED_FACE('',(#3544),#2081,.F.); #29291=ADVANCED_FACE('',(#3545),#2082,.F.); #29292=ADVANCED_FACE('',(#3546),#2083,.F.); #29293=ADVANCED_FACE('',(#3547),#2084,.F.); #29294=ADVANCED_FACE('',(#3548),#2085,.F.); #29295=ADVANCED_FACE('',(#3549),#2086,.F.); #29296=ADVANCED_FACE('',(#3550),#2087,.F.); #29297=ADVANCED_FACE('',(#3551),#2088,.F.); #29298=ADVANCED_FACE('',(#3552),#2089,.F.); #29299=ADVANCED_FACE('',(#3553),#2090,.F.); #29300=ADVANCED_FACE('',(#3554),#2091,.F.); #29301=ADVANCED_FACE('',(#3555),#2092,.F.); #29302=ADVANCED_FACE('',(#3556),#2093,.F.); #29303=ADVANCED_FACE('',(#3557),#2094,.F.); #29304=ADVANCED_FACE('',(#3558),#2095,.F.); #29305=ADVANCED_FACE('',(#3559),#2096,.F.); #29306=ADVANCED_FACE('',(#3560),#2097,.F.); #29307=ADVANCED_FACE('',(#3561),#2098,.F.); #29308=ADVANCED_FACE('',(#3562),#2099,.F.); #29309=ADVANCED_FACE('',(#3563),#2100,.F.); #29310=ADVANCED_FACE('',(#3564),#2101,.F.); #29311=ADVANCED_FACE('',(#3565),#2102,.F.); #29312=ADVANCED_FACE('',(#3566),#2103,.F.); #29313=ADVANCED_FACE('',(#3567),#2104,.F.); #29314=ADVANCED_FACE('',(#3568),#2105,.F.); #29315=ADVANCED_FACE('',(#3569),#2106,.F.); #29316=ADVANCED_FACE('',(#3570),#2107,.F.); #29317=ADVANCED_FACE('',(#3571),#2108,.F.); #29318=ADVANCED_FACE('',(#3572),#2109,.F.); #29319=ADVANCED_FACE('',(#3573),#2110,.F.); #29320=ADVANCED_FACE('',(#3574),#2111,.F.); #29321=ADVANCED_FACE('',(#3575),#2112,.F.); #29322=ADVANCED_FACE('',(#3576),#2113,.F.); #29323=ADVANCED_FACE('',(#3577),#2114,.F.); #29324=ADVANCED_FACE('',(#3578),#2115,.F.); #29325=ADVANCED_FACE('',(#3579),#2116,.F.); #29326=ADVANCED_FACE('',(#3580),#2117,.F.); #29327=ADVANCED_FACE('',(#3581),#2118,.F.); #29328=ADVANCED_FACE('',(#3582),#2119,.F.); #29329=ADVANCED_FACE('',(#3583),#2120,.F.); #29330=ADVANCED_FACE('',(#3584),#2121,.F.); #29331=ADVANCED_FACE('',(#3585),#2122,.F.); #29332=ADVANCED_FACE('',(#3586),#2123,.F.); #29333=ADVANCED_FACE('',(#3587),#2124,.F.); #29334=ADVANCED_FACE('',(#3588),#2125,.F.); #29335=ADVANCED_FACE('',(#3589),#2126,.F.); #29336=ADVANCED_FACE('',(#3590),#2127,.F.); #29337=ADVANCED_FACE('',(#3591),#2128,.F.); #29338=ADVANCED_FACE('',(#3592),#2129,.F.); #29339=ADVANCED_FACE('',(#3593),#2130,.F.); #29340=ADVANCED_FACE('',(#3594),#2131,.F.); #29341=ADVANCED_FACE('',(#3595),#2132,.F.); #29342=ADVANCED_FACE('',(#3596,#1100),#2133,.T.); #29343=ADVANCED_FACE('',(#3597),#2134,.F.); #29344=ADVANCED_FACE('',(#3598,#1101,#1102,#1103,#1104,#1105,#1106,#1107, #1108),#2135,.F.); #29345=ADVANCED_FACE('',(#3599),#2136,.F.); #29346=ADVANCED_FACE('',(#3600),#2137,.F.); #29347=ADVANCED_FACE('',(#3601),#2138,.F.); #29348=ADVANCED_FACE('',(#3602),#590,.F.); #29349=ADVANCED_FACE('',(#3603),#551,.F.); #29350=ADVANCED_FACE('',(#3604),#552,.F.); #29351=ADVANCED_FACE('',(#3605),#27901,.F.); #29352=ADVANCED_FACE('',(#3606),#27902,.T.); #29353=ADVANCED_FACE('',(#3607),#27903,.F.); #29354=ADVANCED_FACE('',(#3608),#27904,.F.); #29355=ADVANCED_FACE('',(#3609),#27905,.F.); #29356=ADVANCED_FACE('',(#3610),#591,.F.); #29357=ADVANCED_FACE('',(#3611),#553,.F.); #29358=ADVANCED_FACE('',(#3612),#554,.F.); #29359=ADVANCED_FACE('',(#3613),#27906,.F.); #29360=ADVANCED_FACE('',(#3614),#555,.F.); #29361=ADVANCED_FACE('',(#3615),#2139,.T.); #29362=ADVANCED_FACE('',(#3616),#27907,.F.); #29363=ADVANCED_FACE('',(#3617),#2140,.F.); #29364=ADVANCED_FACE('',(#3618),#27908,.F.); #29365=ADVANCED_FACE('',(#3619),#27909,.T.); #29366=ADVANCED_FACE('',(#3620),#27910,.F.); #29367=ADVANCED_FACE('',(#3621),#2141,.T.); #29368=ADVANCED_FACE('',(#3622,#1109),#2142,.F.); #29369=ADVANCED_FACE('',(#3623),#27911,.F.); #29370=ADVANCED_FACE('',(#3624),#27912,.F.); #29371=ADVANCED_FACE('',(#3625),#2143,.F.); #29372=ADVANCED_FACE('',(#3626),#27913,.F.); #29373=ADVANCED_FACE('',(#3627),#2144,.T.); #29374=ADVANCED_FACE('',(#3628),#2145,.F.); #29375=ADVANCED_FACE('',(#3629),#2146,.F.); #29376=ADVANCED_FACE('',(#3630),#27914,.T.); #29377=ADVANCED_FACE('',(#3631),#27915,.T.); #29378=ADVANCED_FACE('',(#3632),#395,.F.); #29379=ADVANCED_FACE('',(#3633),#27916,.T.); #29380=ADVANCED_FACE('',(#3634),#592,.T.); #29381=ADVANCED_FACE('',(#3635),#27917,.T.); #29382=ADVANCED_FACE('',(#3636),#2147,.F.); #29383=ADVANCED_FACE('',(#3637),#655,.F.); #29384=ADVANCED_FACE('',(#3638),#2148,.F.); #29385=ADVANCED_FACE('',(#3639),#27918,.T.); #29386=ADVANCED_FACE('',(#3640),#2149,.F.); #29387=ADVANCED_FACE('',(#3641),#2150,.F.); #29388=ADVANCED_FACE('',(#3642),#2151,.F.); #29389=ADVANCED_FACE('',(#3643),#656,.T.); #29390=ADVANCED_FACE('',(#3644),#2152,.T.); #29391=ADVANCED_FACE('',(#3645),#2153,.F.); #29392=ADVANCED_FACE('',(#3646),#2154,.T.); #29393=ADVANCED_FACE('',(#3647),#2155,.T.); #29394=ADVANCED_FACE('',(#3648),#2156,.T.); #29395=ADVANCED_FACE('',(#3649),#2157,.T.); #29396=ADVANCED_FACE('',(#3650),#27919,.T.); #29397=ADVANCED_FACE('',(#3651),#2158,.T.); #29398=ADVANCED_FACE('',(#3652,#1110),#2159,.T.); #29399=ADVANCED_FACE('',(#3653),#27920,.F.); #29400=ADVANCED_FACE('',(#3654),#2160,.F.); #29401=ADVANCED_FACE('',(#3655,#1111,#1112,#1113,#1114,#1115),#2161,.F.); #29402=ADVANCED_FACE('',(#3656,#1116,#1117),#2162,.F.); #29403=ADVANCED_FACE('',(#3657),#2163,.T.); #29404=ADVANCED_FACE('',(#3658),#593,.F.); #29405=ADVANCED_FACE('',(#3659),#556,.F.); #29406=ADVANCED_FACE('',(#3660),#557,.F.); #29407=ADVANCED_FACE('',(#3661),#27921,.F.); #29408=ADVANCED_FACE('',(#3662),#27922,.T.); #29409=ADVANCED_FACE('',(#3663),#27923,.F.); #29410=ADVANCED_FACE('',(#3664),#27924,.F.); #29411=ADVANCED_FACE('',(#3665),#27925,.F.); #29412=ADVANCED_FACE('',(#3666),#594,.F.); #29413=ADVANCED_FACE('',(#3667),#558,.F.); #29414=ADVANCED_FACE('',(#3668),#559,.F.); #29415=ADVANCED_FACE('',(#3669),#27926,.F.); #29416=ADVANCED_FACE('',(#3670),#560,.F.); #29417=ADVANCED_FACE('',(#3671),#2164,.F.); #29418=ADVANCED_FACE('',(#3672),#27927,.F.); #29419=ADVANCED_FACE('',(#3673),#2165,.T.); #29420=ADVANCED_FACE('',(#3674),#27928,.F.); #29421=ADVANCED_FACE('',(#3675),#27929,.T.); #29422=ADVANCED_FACE('',(#3676),#27930,.F.); #29423=ADVANCED_FACE('',(#3677),#2166,.F.); #29424=ADVANCED_FACE('',(#3678,#1118),#2167,.T.); #29425=ADVANCED_FACE('',(#3679),#27931,.F.); #29426=ADVANCED_FACE('',(#3680),#27932,.F.); #29427=ADVANCED_FACE('',(#3681),#27933,.T.); #29428=ADVANCED_FACE('',(#3682),#2168,.T.); #29429=ADVANCED_FACE('',(#3683),#27934,.F.); #29430=ADVANCED_FACE('',(#3684),#2169,.T.); #29431=ADVANCED_FACE('',(#3685),#2170,.T.); #29432=ADVANCED_FACE('',(#3686),#27935,.F.); #29433=ADVANCED_FACE('',(#3687),#2171,.F.); #29434=ADVANCED_FACE('',(#3688),#2172,.F.); #29435=ADVANCED_FACE('',(#3689),#2173,.T.); #29436=ADVANCED_FACE('',(#3690),#2174,.T.); #29437=ADVANCED_FACE('',(#3691),#27936,.T.); #29438=ADVANCED_FACE('',(#3692),#27937,.T.); #29439=ADVANCED_FACE('',(#3693),#396,.T.); #29440=ADVANCED_FACE('',(#3694),#27938,.T.); #29441=ADVANCED_FACE('',(#3695),#595,.T.); #29442=ADVANCED_FACE('',(#3696),#27939,.T.); #29443=ADVANCED_FACE('',(#3697),#2175,.T.); #29444=ADVANCED_FACE('',(#3698),#657,.F.); #29445=ADVANCED_FACE('',(#3699),#2176,.T.); #29446=ADVANCED_FACE('',(#3700),#27940,.T.); #29447=ADVANCED_FACE('',(#3701),#2177,.T.); #29448=ADVANCED_FACE('',(#3702),#2178,.T.); #29449=ADVANCED_FACE('',(#3703),#2179,.T.); #29450=ADVANCED_FACE('',(#3704),#658,.T.); #29451=ADVANCED_FACE('',(#3705),#2180,.F.); #29452=ADVANCED_FACE('',(#3706),#2181,.T.); #29453=ADVANCED_FACE('',(#3707),#2182,.F.); #29454=ADVANCED_FACE('',(#3708),#2183,.F.); #29455=ADVANCED_FACE('',(#3709),#2184,.F.); #29456=ADVANCED_FACE('',(#3710),#2185,.F.); #29457=ADVANCED_FACE('',(#3711),#27941,.T.); #29458=ADVANCED_FACE('',(#3712),#2186,.F.); #29459=ADVANCED_FACE('',(#3713,#1119),#2187,.F.); #29460=ADVANCED_FACE('',(#3714),#2188,.F.); #29461=ADVANCED_FACE('',(#3715),#596,.F.); #29462=ADVANCED_FACE('',(#3716),#27942,.T.); #29463=ADVANCED_FACE('',(#3717,#1120),#2189,.T.); #29464=ADVANCED_FACE('',(#3718),#659,.T.); #29465=ADVANCED_FACE('',(#3719),#2190,.T.); #29466=ADVANCED_FACE('',(#3720),#597,.T.); #29467=ADVANCED_FACE('',(#3721,#1121),#2191,.T.); #29468=ADVANCED_FACE('',(#3722),#27943,.T.); #29469=ADVANCED_FACE('',(#3723),#2192,.F.); #29470=ADVANCED_FACE('',(#3724),#2193,.F.); #29471=ADVANCED_FACE('',(#3725),#2194,.F.); #29472=ADVANCED_FACE('',(#3726),#2195,.F.); #29473=ADVANCED_FACE('',(#3727),#2196,.F.); #29474=ADVANCED_FACE('',(#3728),#2197,.F.); #29475=ADVANCED_FACE('',(#3729),#660,.F.); #29476=CLOSED_SHELL('',(#27944,#27945,#27946,#27947,#27948,#27949,#27950, #27951,#27952,#27953,#27954,#27955,#27956,#27957,#27958,#27959,#27960,#27961, #27962,#27963,#27964,#27965,#27966,#27967,#27968,#27969,#27970,#27971,#27972, #27973,#27974,#27975,#27976,#27977,#27978,#27979,#27980,#27981,#27982,#27983, #27984,#27985,#27986,#27987,#27988,#27989,#27990,#27991,#27992,#27993,#27994, #27995,#27996)); #29477=CLOSED_SHELL('',(#27997,#27998,#27999,#28000,#28001,#28002,#28003, #28004,#28005,#28006,#28007,#28008,#28009,#28010,#28011,#28012,#28013,#28014, #28015)); #29478=CLOSED_SHELL('',(#28016,#28017,#28018,#28019,#28020,#28021,#28022, #28023,#28024,#28025,#28026,#28027,#28028,#28029,#28030,#28031,#28032,#28033, #28034,#28035,#28036,#28037,#28038,#28039,#28040,#28041,#28042,#28043,#28044, #28045)); #29479=CLOSED_SHELL('',(#28046,#28047,#28048,#28049,#28050,#28051,#28052, #28053,#28054,#28055,#28056,#28057,#28058,#28059,#28060,#28061,#28062,#28063, #28064,#28065,#28066,#28067,#28068,#28069,#28070,#28071,#28072,#28073,#28074, #28075,#28076,#28077,#28078,#28079,#28080,#28081,#28082,#28083,#28084,#28085, #28086,#28087)); #29480=CLOSED_SHELL('',(#28088,#28089,#28090,#28091,#28092,#28093,#28094, #28095,#28096,#28097,#28098,#28099,#28100,#28101)); #29481=CLOSED_SHELL('',(#28102,#28103,#28104,#28105,#28106,#28107,#28108, #28109,#28110,#28111,#28112,#28113,#28114,#28115,#28116,#28117,#28118,#28119, #28120,#28121,#28122,#28123,#28124,#28125,#28126,#28127,#28128,#28129,#28130, #28131,#28132,#28133,#28134,#28135,#28136,#28137,#28138,#28139,#28140,#28141, #28142,#28143,#28144,#28145,#28146,#28147,#28148,#28149,#28150,#28151,#28152, #28153,#28154,#28155,#28156,#28157,#28158,#28159,#28160,#28161,#28162,#28163, #28164,#28165,#28166,#28167,#28168,#28169,#28170,#28171,#28172,#28173,#28174, #28175,#28176,#28177,#28178,#28179,#28180,#28181,#28182,#28183,#28184,#28185, #28186,#28187,#28188,#28189,#28190,#28191,#28192,#28193,#28194,#28195,#28196, #28197,#28198,#28199,#28200,#28201,#28202,#28203,#28204,#28205,#28206,#28207, #28208,#28209,#28210,#28211,#28212,#28213,#28214,#28215,#28216,#28217,#28218, #28219,#28220,#28221,#28222,#28223,#28224,#28225,#28226,#28227,#28228,#28229, #28230,#28231,#28232,#28233,#28234,#28235,#28236,#28237,#28238,#28239,#28240, #28241,#28242,#28243,#28244,#28245,#28246,#28247,#28248,#28249,#28250,#28251, #28252,#28253,#28254,#28255,#28256,#28257,#28258,#28259,#28260,#28261,#28262, #28263,#28264,#28265,#28266,#28267,#28268,#28269,#28270,#28271,#28272,#28273, #28274,#28275,#28276,#28277,#28278,#28279,#28280,#28281,#28282,#28283,#28284, #28285,#28286,#28287,#28288,#28289,#28290,#28291,#28292,#28293,#28294,#28295, #28296,#28297,#28298,#28299,#28300,#28301,#28302,#28303,#28304,#28305,#28306, #28307,#28308,#28309,#28310,#28311,#28312,#28313,#28314,#28315,#28316,#28317, #28318,#28319,#28320,#28321,#28322,#28323,#28324,#28325,#28326,#28327,#28328, #28329,#28330,#28331,#28332,#28333,#28334,#28335,#28336,#28337,#28338,#28339, #28340,#28341,#28342,#28343,#28344,#28345,#28346,#28347,#28348,#28349,#28350, #28351,#28352,#28353,#28354,#28355,#28356,#28357,#28358,#28359,#28360,#28361, #28362,#28363,#28364,#28365,#28366,#28367,#28368,#28369,#28370,#28371,#28372, #28373,#28374,#28375,#28376,#28377,#28378,#28379,#28380,#28381,#28382,#28383, #28384,#28385,#28386,#28387,#28388,#28389,#28390,#28391,#28392,#28393,#28394, #28395,#28396,#28397,#28398,#28399,#28400,#28401,#28402,#28403,#28404,#28405, #28406,#28407,#28408,#28409,#28410,#28411,#28412,#28413,#28414,#28415,#28416, #28417,#28418,#28419,#28420,#28421,#28422,#28423,#28424,#28425,#28426,#28427, #28428,#28429,#28430,#28431,#28432,#28433,#28434,#28435,#28436,#28437,#28438, #28439,#28440)); #29482=CLOSED_SHELL('',(#28441,#28442,#28443,#28444,#28445,#28446)); #29483=CLOSED_SHELL('',(#28447,#28448,#28449,#28450,#28451,#28452,#28453, #28454,#28455,#28456,#28457,#28458,#28459,#28460,#28461,#28462,#28463,#28464, #28465,#28466,#28467,#28468,#28469,#28470,#28471,#28472,#28473,#28474,#28475, #28476,#28477,#28478)); #29484=CLOSED_SHELL('',(#28479,#28480,#28481,#28482,#28483,#28484,#28485, #28486,#28487,#28488,#28489,#28490,#28491,#28492,#28493)); #29485=CLOSED_SHELL('',(#28494,#28495,#28496,#28497,#28498,#28499,#28500, #28501,#28502,#28503,#28504,#28505,#28506,#28507,#28508)); #29486=CLOSED_SHELL('',(#28509,#28510,#28511,#28512,#28513,#28514,#28515, #28516,#28517,#28518,#28519,#28520,#28521,#28522,#28523,#28524,#28525,#28526)); #29487=CLOSED_SHELL('',(#28527,#28528,#28529,#28530,#28531,#28532,#28533, #28534,#28535,#28536,#28537,#28538,#28539,#28540,#28541,#28542,#28543,#28544, #28545,#28546,#28547,#28548,#28549,#28550,#28551,#28552,#28553,#28554,#28555, #28556,#28557)); #29488=CLOSED_SHELL('',(#28558,#28559,#28560,#28561,#28562,#28563,#28564, #28565,#28566,#28567,#28568,#28569,#28570,#28571,#28572,#28573,#28574,#28575, #28576,#28577,#28578,#28579,#28580,#28581,#28582,#28583,#28584,#28585,#28586, #28587,#28588)); #29489=CLOSED_SHELL('',(#28589,#28590,#28591,#28592,#28593,#28594,#28595, #28596,#28597,#28598,#28599,#28600,#28601,#28602,#28603,#28604,#28605,#28606, #28607,#28608,#28609,#28610,#28611,#28612,#28613,#28614,#28615,#28616,#28617, #28618,#28619,#28620,#28621,#28622,#28623,#28624,#28625,#28626,#28627,#28628, #28629,#28630,#28631,#28632,#28633,#28634,#28635,#28636,#28637,#28638,#28639, #28640,#28641,#28642,#28643,#28644,#28645,#28646,#28647,#28648,#28649,#28650, #28651,#28652,#28653,#28654,#28655,#28656,#28657,#28658)); #29490=CLOSED_SHELL('',(#28659,#28660,#28661,#28662,#28663,#28664,#28665, #28666,#28667,#28668,#28669,#28670,#28671,#28672,#28673)); #29491=CLOSED_SHELL('',(#28674,#28675,#28676,#28677,#28678,#28679,#28680, #28681,#28682,#28683,#28684,#28685,#28686,#28687,#28688,#28689,#28690,#28691, #28692,#28693,#28694,#28695,#28696,#28697,#28698,#28699,#28700,#28701,#28702, #28703,#28704,#28705,#28706,#28707,#28708,#28709,#28710,#28711,#28712,#28713, #28714,#28715,#28716,#28717,#28718,#28719,#28720,#28721,#28722,#28723,#28724, #28725,#28726,#28727,#28728,#28729,#28730,#28731,#28732,#28733,#28734,#28735, #28736,#28737,#28738,#28739,#28740,#28741,#28742,#28743,#28744,#28745,#28746, #28747,#28748,#28749,#28750,#28751,#28752,#28753)); #29492=CLOSED_SHELL('',(#28754,#28755,#28756,#28757,#28758,#28759,#28760, #28761,#28762,#28763,#28764,#28765)); #29493=CLOSED_SHELL('',(#28766,#28767,#28768,#28769)); #29494=CLOSED_SHELL('',(#28770,#28771,#28772,#28773,#28774,#28775,#28776, #28777,#28778,#28779,#28780,#28781,#28782,#28783,#28784)); #29495=CLOSED_SHELL('',(#28785,#28786,#28787,#28788,#28789,#28790,#28791, #28792,#28793,#28794,#28795,#28796,#28797,#28798,#28799,#28800,#28801,#28802, #28803,#28804,#28805,#28806,#28807,#28808)); #29496=CLOSED_SHELL('',(#28809,#28810,#28811,#28812,#28813,#28814)); #29497=CLOSED_SHELL('',(#28815,#28816,#28817,#28818,#28819,#28820,#28821, #28822,#28823,#28824,#28825,#28826,#28827,#28828,#28829)); #29498=CLOSED_SHELL('',(#28830,#28831,#28832,#28833,#28834,#28835,#28836, #28837,#28838,#28839,#28840,#28841,#28842,#28843,#28844,#28845,#28846,#28847, #28848)); #29499=CLOSED_SHELL('',(#28849,#28850,#28851,#28852,#28853,#28854,#28855, #28856,#28857,#28858,#28859,#28860,#28861,#28862,#28863,#28864,#28865,#28866, #28867)); #29500=CLOSED_SHELL('',(#28868,#28869,#28870,#28871,#28872,#28873,#28874, #28875,#28876,#28877,#28878,#28879,#28880,#28881,#28882)); #29501=CLOSED_SHELL('',(#28883,#28884,#28885,#28886,#28887,#28888,#28889, #28890,#28891,#28892,#28893,#28894,#28895,#28896,#28897,#28898,#28899,#28900, #28901,#28902,#28903,#28904,#28905,#28906,#28907,#28908,#28909,#28910,#28911, #28912,#28913,#28914,#28915,#28916,#28917,#28918,#28919,#28920,#28921,#28922, #28923,#28924,#28925,#28926,#28927,#28928,#28929,#28930,#28931,#28932,#28933, #28934,#28935,#28936,#28937,#28938,#28939,#28940,#28941,#28942,#28943,#28944, #28945,#28946,#28947)); #29502=CLOSED_SHELL('',(#28948,#28949,#28950,#28951,#28952,#28953,#28954, #28955,#28956,#28957,#28958,#28959,#28960,#28961,#28962,#28963,#28964,#28965, #28966)); #29503=CLOSED_SHELL('',(#28967,#28968,#28969,#28970,#28971,#28972,#28973, #28974,#28975,#28976,#28977,#28978,#28979,#28980,#28981,#28982,#28983,#28984, #28985,#28986,#28987,#28988,#28989,#28990,#28991,#28992,#28993,#28994,#28995, #28996,#28997,#28998,#28999,#29000,#29001,#29002,#29003,#29004,#29005,#29006, #29007,#29008,#29009,#29010,#29011,#29012,#29013,#29014,#29015,#29016,#29017, #29018,#29019,#29020,#29021,#29022,#29023,#29024,#29025,#29026,#29027,#29028, #29029,#29030,#29031,#29032,#29033,#29034,#29035,#29036,#29037,#29038,#29039, #29040,#29041,#29042,#29043,#29044,#29045,#29046,#29047,#29048,#29049,#29050, #29051,#29052,#29053,#29054,#29055,#29056,#29057,#29058,#29059,#29060,#29061, #29062,#29063,#29064,#29065,#29066,#29067,#29068,#29069,#29070,#29071,#29072, #29073,#29074,#29075,#29076,#29077,#29078,#29079,#29080,#29081,#29082,#29083, #29084,#29085,#29086,#29087,#29088,#29089,#29090,#29091,#29092,#29093,#29094, #29095,#29096,#29097,#29098,#29099,#29100,#29101,#29102,#29103,#29104,#29105, #29106,#29107,#29108,#29109,#29110,#29111,#29112,#29113,#29114,#29115,#29116, #29117,#29118,#29119,#29120,#29121,#29122,#29123,#29124,#29125,#29126,#29127, #29128,#29129,#29130,#29131,#29132,#29133,#29134,#29135,#29136,#29137,#29138, #29139,#29140,#29141,#29142,#29143,#29144,#29145,#29146,#29147,#29148,#29149, #29150,#29151,#29152,#29153,#29154,#29155,#29156,#29157,#29158,#29159,#29160, #29161,#29162,#29163,#29164,#29165,#29166,#29167,#29168,#29169,#29170,#29171, #29172,#29173,#29174,#29175,#29176,#29177,#29178,#29179,#29180,#29181,#29182, #29183,#29184,#29185,#29186,#29187,#29188,#29189,#29190,#29191,#29192,#29193, #29194,#29195,#29196,#29197,#29198,#29199,#29200,#29201,#29202,#29203,#29204, #29205,#29206,#29207,#29208,#29209,#29210,#29211,#29212,#29213,#29214,#29215, #29216,#29217,#29218,#29219,#29220,#29221,#29222,#29223,#29224,#29225,#29226, #29227,#29228,#29229,#29230,#29231,#29232,#29233,#29234,#29235,#29236,#29237, #29238,#29239,#29240,#29241,#29242,#29243,#29244,#29245,#29246,#29247,#29248, #29249,#29250,#29251,#29252,#29253,#29254,#29255,#29256,#29257,#29258,#29259, #29260,#29261,#29262,#29263,#29264,#29265,#29266,#29267,#29268,#29269,#29270, #29271,#29272,#29273,#29274,#29275,#29276,#29277,#29278,#29279,#29280,#29281, #29282,#29283,#29284,#29285,#29286,#29287,#29288,#29289,#29290,#29291,#29292, #29293,#29294,#29295,#29296,#29297,#29298,#29299,#29300,#29301,#29302,#29303, #29304,#29305,#29306,#29307,#29308,#29309,#29310,#29311,#29312,#29313,#29314, #29315,#29316,#29317,#29318,#29319,#29320,#29321,#29322,#29323,#29324,#29325, #29326,#29327,#29328,#29329,#29330,#29331,#29332,#29333,#29334,#29335,#29336, #29337,#29338,#29339,#29340,#29341,#29342,#29343,#29344,#29345,#29346,#29347, #29348,#29349,#29350,#29351,#29352,#29353,#29354,#29355,#29356,#29357,#29358, #29359,#29360,#29361,#29362,#29363,#29364,#29365,#29366,#29367,#29368,#29369, #29370,#29371,#29372,#29373,#29374,#29375,#29376,#29377,#29378,#29379,#29380, #29381,#29382,#29383,#29384,#29385,#29386,#29387,#29388,#29389,#29390,#29391, #29392,#29393,#29394,#29395,#29396,#29397,#29398,#29399,#29400,#29401,#29402, #29403,#29404,#29405,#29406,#29407,#29408,#29409,#29410,#29411,#29412,#29413, #29414,#29415,#29416,#29417,#29418,#29419,#29420,#29421,#29422,#29423,#29424, #29425,#29426,#29427,#29428,#29429,#29430,#29431,#29432,#29433,#29434,#29435, #29436,#29437,#29438,#29439,#29440,#29441,#29442,#29443,#29444,#29445,#29446, #29447,#29448,#29449,#29450,#29451,#29452,#29453,#29454,#29455,#29456,#29457, #29458,#29459,#29460)); #29504=CLOSED_SHELL('',(#29461,#29462,#29463,#29464,#29465,#29466,#29467, #29468,#29469,#29470,#29471,#29472,#29473,#29474,#29475)); #29505=DERIVED_UNIT_ELEMENT(#29561,1.); #29506=DERIVED_UNIT_ELEMENT(#49931,-3.); #29507=DERIVED_UNIT_ELEMENT(#29561,1.); #29508=DERIVED_UNIT_ELEMENT(#49931,-3.); #29509=DERIVED_UNIT_ELEMENT(#29561,1.); #29510=DERIVED_UNIT_ELEMENT(#49931,-3.); #29511=DERIVED_UNIT_ELEMENT(#29561,1.); #29512=DERIVED_UNIT_ELEMENT(#49931,-3.); #29513=DERIVED_UNIT_ELEMENT(#29561,1.); #29514=DERIVED_UNIT_ELEMENT(#49931,-3.); #29515=DERIVED_UNIT_ELEMENT(#29561,1.); #29516=DERIVED_UNIT_ELEMENT(#49931,-3.); #29517=DERIVED_UNIT_ELEMENT(#29561,1.); #29518=DERIVED_UNIT_ELEMENT(#49931,-3.); #29519=DERIVED_UNIT_ELEMENT(#29561,1.); #29520=DERIVED_UNIT_ELEMENT(#49931,-3.); #29521=DERIVED_UNIT_ELEMENT(#29561,1.); #29522=DERIVED_UNIT_ELEMENT(#49931,-3.); #29523=DERIVED_UNIT_ELEMENT(#29561,1.); #29524=DERIVED_UNIT_ELEMENT(#49931,-3.); #29525=DERIVED_UNIT_ELEMENT(#29561,1.); #29526=DERIVED_UNIT_ELEMENT(#49931,-3.); #29527=DERIVED_UNIT_ELEMENT(#29561,1.); #29528=DERIVED_UNIT_ELEMENT(#49931,-3.); #29529=DERIVED_UNIT_ELEMENT(#29561,1.); #29530=DERIVED_UNIT_ELEMENT(#49931,-3.); #29531=DERIVED_UNIT_ELEMENT(#29561,1.); #29532=DERIVED_UNIT_ELEMENT(#49931,-3.); #29533=DERIVED_UNIT_ELEMENT(#29561,1.); #29534=DERIVED_UNIT_ELEMENT(#49931,-3.); #29535=DERIVED_UNIT_ELEMENT(#29561,1.); #29536=DERIVED_UNIT_ELEMENT(#49931,-3.); #29537=DERIVED_UNIT_ELEMENT(#29561,1.); #29538=DERIVED_UNIT_ELEMENT(#49931,-3.); #29539=DERIVED_UNIT_ELEMENT(#29561,1.); #29540=DERIVED_UNIT_ELEMENT(#49931,-3.); #29541=DERIVED_UNIT_ELEMENT(#29561,1.); #29542=DERIVED_UNIT_ELEMENT(#49931,-3.); #29543=DERIVED_UNIT_ELEMENT(#29561,1.); #29544=DERIVED_UNIT_ELEMENT(#49931,-3.); #29545=DERIVED_UNIT_ELEMENT(#29561,1.); #29546=DERIVED_UNIT_ELEMENT(#49931,-3.); #29547=DERIVED_UNIT_ELEMENT(#29561,1.); #29548=DERIVED_UNIT_ELEMENT(#49931,-3.); #29549=DERIVED_UNIT_ELEMENT(#29561,1.); #29550=DERIVED_UNIT_ELEMENT(#49931,-3.); #29551=DERIVED_UNIT_ELEMENT(#29561,1.); #29552=DERIVED_UNIT_ELEMENT(#49931,-3.); #29553=DERIVED_UNIT_ELEMENT(#29561,1.); #29554=DERIVED_UNIT_ELEMENT(#49931,-3.); #29555=DERIVED_UNIT_ELEMENT(#29561,1.); #29556=DERIVED_UNIT_ELEMENT(#49931,-3.); #29557=DERIVED_UNIT_ELEMENT(#29561,1.); #29558=DERIVED_UNIT_ELEMENT(#49931,-3.); #29559=DERIVED_UNIT_ELEMENT(#29561,1.); #29560=DERIVED_UNIT_ELEMENT(#49931,-3.); #29561=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT($,.GRAM.) ); #29562=DERIVED_UNIT((#29505,#29506)); #29563=DERIVED_UNIT((#29507,#29508)); #29564=DERIVED_UNIT((#29509,#29510)); #29565=DERIVED_UNIT((#29511,#29512)); #29566=DERIVED_UNIT((#29513,#29514)); #29567=DERIVED_UNIT((#29515,#29516)); #29568=DERIVED_UNIT((#29517,#29518)); #29569=DERIVED_UNIT((#29519,#29520)); #29570=DERIVED_UNIT((#29521,#29522)); #29571=DERIVED_UNIT((#29523,#29524)); #29572=DERIVED_UNIT((#29525,#29526)); #29573=DERIVED_UNIT((#29527,#29528)); #29574=DERIVED_UNIT((#29529,#29530)); #29575=DERIVED_UNIT((#29531,#29532)); #29576=DERIVED_UNIT((#29533,#29534)); #29577=DERIVED_UNIT((#29535,#29536)); #29578=DERIVED_UNIT((#29537,#29538)); #29579=DERIVED_UNIT((#29539,#29540)); #29580=DERIVED_UNIT((#29541,#29542)); #29581=DERIVED_UNIT((#29543,#29544)); #29582=DERIVED_UNIT((#29545,#29546)); #29583=DERIVED_UNIT((#29547,#29548)); #29584=DERIVED_UNIT((#29549,#29550)); #29585=DERIVED_UNIT((#29551,#29552)); #29586=DERIVED_UNIT((#29553,#29554)); #29587=DERIVED_UNIT((#29555,#29556)); #29588=DERIVED_UNIT((#29557,#29558)); #29589=DERIVED_UNIT((#29559,#29560)); #29590=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.71),#29562); #29591=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.71),#29563); #29592=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29564); #29593=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.75),#29565); #29594=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.06),#29566); #29595=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29567); #29596=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29568); #29597=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29569); #29598=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29570); #29599=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29571); #29600=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#29572); #29601=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(0.94),#29573); #29602=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.71),#29574); #29603=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29575); #29604=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(0.94),#29576); #29605=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29577); #29606=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29578); #29607=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29579); #29608=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#29580); #29609=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29581); #29610=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29582); #29611=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(0.94),#29583); #29612=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(0.94),#29584); #29613=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29585); #29614=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#29586); #29615=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(0.94),#29587); #29616=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.06),#29588); #29617=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#29589); #29618=PROPERTY_DEFINITION_REPRESENTATION(#29758,#29674); #29619=PROPERTY_DEFINITION_REPRESENTATION(#29759,#29675); #29620=PROPERTY_DEFINITION_REPRESENTATION(#29760,#29676); #29621=PROPERTY_DEFINITION_REPRESENTATION(#29761,#29677); #29622=PROPERTY_DEFINITION_REPRESENTATION(#29762,#29678); #29623=PROPERTY_DEFINITION_REPRESENTATION(#29763,#29679); #29624=PROPERTY_DEFINITION_REPRESENTATION(#29764,#29680); #29625=PROPERTY_DEFINITION_REPRESENTATION(#29765,#29681); #29626=PROPERTY_DEFINITION_REPRESENTATION(#29766,#29682); #29627=PROPERTY_DEFINITION_REPRESENTATION(#29767,#29683); #29628=PROPERTY_DEFINITION_REPRESENTATION(#29768,#29684); #29629=PROPERTY_DEFINITION_REPRESENTATION(#29769,#29685); #29630=PROPERTY_DEFINITION_REPRESENTATION(#29770,#29686); #29631=PROPERTY_DEFINITION_REPRESENTATION(#29771,#29687); #29632=PROPERTY_DEFINITION_REPRESENTATION(#29772,#29688); #29633=PROPERTY_DEFINITION_REPRESENTATION(#29773,#29689); #29634=PROPERTY_DEFINITION_REPRESENTATION(#29774,#29690); #29635=PROPERTY_DEFINITION_REPRESENTATION(#29775,#29691); #29636=PROPERTY_DEFINITION_REPRESENTATION(#29776,#29692); #29637=PROPERTY_DEFINITION_REPRESENTATION(#29777,#29693); #29638=PROPERTY_DEFINITION_REPRESENTATION(#29778,#29694); #29639=PROPERTY_DEFINITION_REPRESENTATION(#29779,#29695); #29640=PROPERTY_DEFINITION_REPRESENTATION(#29780,#29696); #29641=PROPERTY_DEFINITION_REPRESENTATION(#29781,#29697); #29642=PROPERTY_DEFINITION_REPRESENTATION(#29782,#29698); #29643=PROPERTY_DEFINITION_REPRESENTATION(#29783,#29699); #29644=PROPERTY_DEFINITION_REPRESENTATION(#29784,#29700); #29645=PROPERTY_DEFINITION_REPRESENTATION(#29785,#29701); #29646=PROPERTY_DEFINITION_REPRESENTATION(#29786,#29702); #29647=PROPERTY_DEFINITION_REPRESENTATION(#29787,#29703); #29648=PROPERTY_DEFINITION_REPRESENTATION(#29788,#29704); #29649=PROPERTY_DEFINITION_REPRESENTATION(#29789,#29705); #29650=PROPERTY_DEFINITION_REPRESENTATION(#29790,#29706); #29651=PROPERTY_DEFINITION_REPRESENTATION(#29791,#29707); #29652=PROPERTY_DEFINITION_REPRESENTATION(#29792,#29708); #29653=PROPERTY_DEFINITION_REPRESENTATION(#29793,#29709); #29654=PROPERTY_DEFINITION_REPRESENTATION(#29794,#29710); #29655=PROPERTY_DEFINITION_REPRESENTATION(#29795,#29711); #29656=PROPERTY_DEFINITION_REPRESENTATION(#29796,#29712); #29657=PROPERTY_DEFINITION_REPRESENTATION(#29797,#29713); #29658=PROPERTY_DEFINITION_REPRESENTATION(#29798,#29714); #29659=PROPERTY_DEFINITION_REPRESENTATION(#29799,#29715); #29660=PROPERTY_DEFINITION_REPRESENTATION(#29800,#29716); #29661=PROPERTY_DEFINITION_REPRESENTATION(#29801,#29717); #29662=PROPERTY_DEFINITION_REPRESENTATION(#29802,#29718); #29663=PROPERTY_DEFINITION_REPRESENTATION(#29803,#29719); #29664=PROPERTY_DEFINITION_REPRESENTATION(#29804,#29720); #29665=PROPERTY_DEFINITION_REPRESENTATION(#29805,#29721); #29666=PROPERTY_DEFINITION_REPRESENTATION(#29806,#29722); #29667=PROPERTY_DEFINITION_REPRESENTATION(#29807,#29723); #29668=PROPERTY_DEFINITION_REPRESENTATION(#29808,#29724); #29669=PROPERTY_DEFINITION_REPRESENTATION(#29809,#29725); #29670=PROPERTY_DEFINITION_REPRESENTATION(#29810,#29726); #29671=PROPERTY_DEFINITION_REPRESENTATION(#29811,#29727); #29672=PROPERTY_DEFINITION_REPRESENTATION(#29812,#29728); #29673=PROPERTY_DEFINITION_REPRESENTATION(#29813,#29729); #29674=REPRESENTATION('material name',(#29730),#49901); #29675=REPRESENTATION('density',(#29590),#49901); #29676=REPRESENTATION('material name',(#29731),#49902); #29677=REPRESENTATION('density',(#29591),#49902); #29678=REPRESENTATION('material name',(#29732),#49903); #29679=REPRESENTATION('density',(#29592),#49903); #29680=REPRESENTATION('material name',(#29733),#49904); #29681=REPRESENTATION('density',(#29593),#49904); #29682=REPRESENTATION('material name',(#29734),#49905); #29683=REPRESENTATION('density',(#29594),#49905); #29684=REPRESENTATION('material name',(#29735),#49906); #29685=REPRESENTATION('density',(#29595),#49906); #29686=REPRESENTATION('material name',(#29736),#49907); #29687=REPRESENTATION('density',(#29596),#49907); #29688=REPRESENTATION('material name',(#29737),#49908); #29689=REPRESENTATION('density',(#29597),#49908); #29690=REPRESENTATION('material name',(#29738),#49909); #29691=REPRESENTATION('density',(#29598),#49909); #29692=REPRESENTATION('material name',(#29739),#49910); #29693=REPRESENTATION('density',(#29599),#49910); #29694=REPRESENTATION('material name',(#29740),#49911); #29695=REPRESENTATION('density',(#29600),#49911); #29696=REPRESENTATION('material name',(#29741),#49912); #29697=REPRESENTATION('density',(#29601),#49912); #29698=REPRESENTATION('material name',(#29742),#49913); #29699=REPRESENTATION('density',(#29602),#49913); #29700=REPRESENTATION('material name',(#29743),#49914); #29701=REPRESENTATION('density',(#29603),#49914); #29702=REPRESENTATION('material name',(#29744),#49915); #29703=REPRESENTATION('density',(#29604),#49915); #29704=REPRESENTATION('material name',(#29745),#49916); #29705=REPRESENTATION('density',(#29605),#49916); #29706=REPRESENTATION('material name',(#29746),#49917); #29707=REPRESENTATION('density',(#29606),#49917); #29708=REPRESENTATION('material name',(#29747),#49918); #29709=REPRESENTATION('density',(#29607),#49918); #29710=REPRESENTATION('material name',(#29748),#49919); #29711=REPRESENTATION('density',(#29608),#49919); #29712=REPRESENTATION('material name',(#29749),#49920); #29713=REPRESENTATION('density',(#29609),#49920); #29714=REPRESENTATION('material name',(#29750),#49921); #29715=REPRESENTATION('density',(#29610),#49921); #29716=REPRESENTATION('material name',(#29751),#49922); #29717=REPRESENTATION('density',(#29611),#49922); #29718=REPRESENTATION('material name',(#29752),#49923); #29719=REPRESENTATION('density',(#29612),#49923); #29720=REPRESENTATION('material name',(#29753),#49924); #29721=REPRESENTATION('density',(#29613),#49924); #29722=REPRESENTATION('material name',(#29754),#49925); #29723=REPRESENTATION('density',(#29614),#49925); #29724=REPRESENTATION('material name',(#29755),#49926); #29725=REPRESENTATION('density',(#29615),#49926); #29726=REPRESENTATION('material name',(#29756),#49927); #29727=REPRESENTATION('density',(#29616),#49927); #29728=REPRESENTATION('material name',(#29757),#49928); #29729=REPRESENTATION('density',(#29617),#49928); #29730=DESCRIPTIVE_REPRESENTATION_ITEM('Alu-Flachmaterial', 'Alu-Flachmaterial'); #29731=DESCRIPTIVE_REPRESENTATION_ITEM('AlCuMnPb F38','AlCuMnPb F38'); #29732=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl'); #29733=DESCRIPTIVE_REPRESENTATION_ITEM('V2A','V2A'); #29734=DESCRIPTIVE_REPRESENTATION_ITEM('PA','PA'); #29735=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl'); #29736=DESCRIPTIVE_REPRESENTATION_ITEM('X8CrNiS18-9','X8CrNiS18-9'); #29737=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl 8.8.','Stahl 8.8.'); #29738=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl 8.8.','Stahl 8.8.'); #29739=DESCRIPTIVE_REPRESENTATION_ITEM('St37k','St37k'); #29740=DESCRIPTIVE_REPRESENTATION_ITEM('Generisch','Generisch'); #29741=DESCRIPTIVE_REPRESENTATION_ITEM('PE-UHMW schwarz', 'PE-UHMW schwarz'); #29742=DESCRIPTIVE_REPRESENTATION_ITEM('Alu-Flachmaterial', 'Alu-Flachmaterial'); #29743=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl 8.8.','Stahl 8.8.'); #29744=DESCRIPTIVE_REPRESENTATION_ITEM('PE-UHMW schwarz', 'PE-UHMW schwarz'); #29745=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl'); #29746=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl'); #29747=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl 8.8.','Stahl 8.8.'); #29748=DESCRIPTIVE_REPRESENTATION_ITEM('Generisch','Generisch'); #29749=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl'); #29750=DESCRIPTIVE_REPRESENTATION_ITEM('Cf53','Cf53'); #29751=DESCRIPTIVE_REPRESENTATION_ITEM('PE-UHMW schwarz', 'PE-UHMW schwarz'); #29752=DESCRIPTIVE_REPRESENTATION_ITEM('PE-UHMW schwarz', 'PE-UHMW schwarz'); #29753=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl 8.8.','Stahl 8.8.'); #29754=DESCRIPTIVE_REPRESENTATION_ITEM('Generisch','Generisch'); #29755=DESCRIPTIVE_REPRESENTATION_ITEM('PE-UHMW schwarz', 'PE-UHMW schwarz'); #29756=DESCRIPTIVE_REPRESENTATION_ITEM('PA','PA'); #29757=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl 8.8.','Stahl 8.8.'); #29758=PROPERTY_DEFINITION('material property','material name',#50119); #29759=PROPERTY_DEFINITION('material property','density of part',#50119); #29760=PROPERTY_DEFINITION('material property','material name',#50120); #29761=PROPERTY_DEFINITION('material property','density of part',#50120); #29762=PROPERTY_DEFINITION('material property','material name',#50121); #29763=PROPERTY_DEFINITION('material property','density of part',#50121); #29764=PROPERTY_DEFINITION('material property','material name',#50122); #29765=PROPERTY_DEFINITION('material property','density of part',#50122); #29766=PROPERTY_DEFINITION('material property','material name',#50123); #29767=PROPERTY_DEFINITION('material property','density of part',#50123); #29768=PROPERTY_DEFINITION('material property','material name',#50124); #29769=PROPERTY_DEFINITION('material property','density of part',#50124); #29770=PROPERTY_DEFINITION('material property','material name',#50125); #29771=PROPERTY_DEFINITION('material property','density of part',#50125); #29772=PROPERTY_DEFINITION('material property','material name',#50126); #29773=PROPERTY_DEFINITION('material property','density of part',#50126); #29774=PROPERTY_DEFINITION('material property','material name',#50127); #29775=PROPERTY_DEFINITION('material property','density of part',#50127); #29776=PROPERTY_DEFINITION('material property','material name',#50128); #29777=PROPERTY_DEFINITION('material property','density of part',#50128); #29778=PROPERTY_DEFINITION('material property','material name',#50129); #29779=PROPERTY_DEFINITION('material property','density of part',#50129); #29780=PROPERTY_DEFINITION('material property','material name',#50130); #29781=PROPERTY_DEFINITION('material property','density of part',#50130); #29782=PROPERTY_DEFINITION('material property','material name',#50131); #29783=PROPERTY_DEFINITION('material property','density of part',#50131); #29784=PROPERTY_DEFINITION('material property','material name',#50132); #29785=PROPERTY_DEFINITION('material property','density of part',#50132); #29786=PROPERTY_DEFINITION('material property','material name',#50133); #29787=PROPERTY_DEFINITION('material property','density of part',#50133); #29788=PROPERTY_DEFINITION('material property','material name',#50134); #29789=PROPERTY_DEFINITION('material property','density of part',#50134); #29790=PROPERTY_DEFINITION('material property','material name',#50135); #29791=PROPERTY_DEFINITION('material property','density of part',#50135); #29792=PROPERTY_DEFINITION('material property','material name',#50136); #29793=PROPERTY_DEFINITION('material property','density of part',#50136); #29794=PROPERTY_DEFINITION('material property','material name',#50137); #29795=PROPERTY_DEFINITION('material property','density of part',#50137); #29796=PROPERTY_DEFINITION('material property','material name',#50138); #29797=PROPERTY_DEFINITION('material property','density of part',#50138); #29798=PROPERTY_DEFINITION('material property','material name',#50139); #29799=PROPERTY_DEFINITION('material property','density of part',#50139); #29800=PROPERTY_DEFINITION('material property','material name',#50140); #29801=PROPERTY_DEFINITION('material property','density of part',#50140); #29802=PROPERTY_DEFINITION('material property','material name',#50141); #29803=PROPERTY_DEFINITION('material property','density of part',#50141); #29804=PROPERTY_DEFINITION('material property','material name',#50142); #29805=PROPERTY_DEFINITION('material property','density of part',#50142); #29806=PROPERTY_DEFINITION('material property','material name',#50143); #29807=PROPERTY_DEFINITION('material property','density of part',#50143); #29808=PROPERTY_DEFINITION('material property','material name',#50144); #29809=PROPERTY_DEFINITION('material property','density of part',#50144); #29810=PROPERTY_DEFINITION('material property','material name',#50145); #29811=PROPERTY_DEFINITION('material property','density of part',#50145); #29812=PROPERTY_DEFINITION('material property','material name',#50146); #29813=PROPERTY_DEFINITION('material property','density of part',#50146); #29814=PRESENTATION_STYLE_ASSIGNMENT((#29828)); #29815=PRESENTATION_STYLE_ASSIGNMENT((#29829)); #29816=PRESENTATION_STYLE_ASSIGNMENT((#29830)); #29817=PRESENTATION_STYLE_ASSIGNMENT((#29831)); #29818=PRESENTATION_STYLE_ASSIGNMENT((#29832)); #29819=PRESENTATION_STYLE_ASSIGNMENT((#29833)); #29820=PRESENTATION_STYLE_ASSIGNMENT((#29834)); #29821=PRESENTATION_STYLE_ASSIGNMENT((#29835)); #29822=PRESENTATION_STYLE_ASSIGNMENT((#29836)); #29823=PRESENTATION_STYLE_ASSIGNMENT((#29837)); #29824=PRESENTATION_STYLE_ASSIGNMENT((#29838)); #29825=PRESENTATION_STYLE_ASSIGNMENT((#29839)); #29826=PRESENTATION_STYLE_ASSIGNMENT((#29840)); #29827=PRESENTATION_STYLE_ASSIGNMENT((#29841)); #29828=SURFACE_STYLE_USAGE(.BOTH.,#29866); #29829=SURFACE_STYLE_USAGE(.BOTH.,#29867); #29830=SURFACE_STYLE_USAGE(.BOTH.,#29868); #29831=SURFACE_STYLE_USAGE(.BOTH.,#29869); #29832=SURFACE_STYLE_USAGE(.BOTH.,#29870); #29833=SURFACE_STYLE_USAGE(.BOTH.,#29871); #29834=SURFACE_STYLE_USAGE(.BOTH.,#29872); #29835=SURFACE_STYLE_USAGE(.BOTH.,#29873); #29836=SURFACE_STYLE_USAGE(.BOTH.,#29874); #29837=SURFACE_STYLE_USAGE(.BOTH.,#29875); #29838=SURFACE_STYLE_USAGE(.BOTH.,#29876); #29839=SURFACE_STYLE_USAGE(.BOTH.,#29877); #29840=SURFACE_STYLE_USAGE(.BOTH.,#29878); #29841=SURFACE_STYLE_USAGE(.BOTH.,#29879); #29842=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29922,(#29854)); #29843=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29923,(#29855)); #29844=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29925,(#29856)); #29845=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29926,(#29857)); #29846=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29927,(#29858)); #29847=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29928,(#29859)); #29848=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29929,(#29860)); #29849=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29931,(#29861)); #29850=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29932,(#29862)); #29851=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29933,(#29863)); #29852=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29934,(#29864)); #29853=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#29935,(#29865)); #29854=SURFACE_STYLE_TRANSPARENT(0.); #29855=SURFACE_STYLE_TRANSPARENT(0.); #29856=SURFACE_STYLE_TRANSPARENT(0.); #29857=SURFACE_STYLE_TRANSPARENT(0.); #29858=SURFACE_STYLE_TRANSPARENT(0.); #29859=SURFACE_STYLE_TRANSPARENT(0.); #29860=SURFACE_STYLE_TRANSPARENT(0.); #29861=SURFACE_STYLE_TRANSPARENT(0.); #29862=SURFACE_STYLE_TRANSPARENT(0.); #29863=SURFACE_STYLE_TRANSPARENT(0.); #29864=SURFACE_STYLE_TRANSPARENT(0.); #29865=SURFACE_STYLE_TRANSPARENT(0.); #29866=SURFACE_SIDE_STYLE('',(#29880,#29842)); #29867=SURFACE_SIDE_STYLE('',(#29881,#29843)); #29868=SURFACE_SIDE_STYLE('',(#29882)); #29869=SURFACE_SIDE_STYLE('',(#29883,#29844)); #29870=SURFACE_SIDE_STYLE('',(#29884,#29845)); #29871=SURFACE_SIDE_STYLE('',(#29885,#29846)); #29872=SURFACE_SIDE_STYLE('',(#29886,#29847)); #29873=SURFACE_SIDE_STYLE('',(#29887,#29848)); #29874=SURFACE_SIDE_STYLE('',(#29888)); #29875=SURFACE_SIDE_STYLE('',(#29889,#29849)); #29876=SURFACE_SIDE_STYLE('',(#29890,#29850)); #29877=SURFACE_SIDE_STYLE('',(#29891,#29851)); #29878=SURFACE_SIDE_STYLE('',(#29892,#29852)); #29879=SURFACE_SIDE_STYLE('',(#29893,#29853)); #29880=SURFACE_STYLE_FILL_AREA(#29894); #29881=SURFACE_STYLE_FILL_AREA(#29895); #29882=SURFACE_STYLE_FILL_AREA(#29896); #29883=SURFACE_STYLE_FILL_AREA(#29897); #29884=SURFACE_STYLE_FILL_AREA(#29898); #29885=SURFACE_STYLE_FILL_AREA(#29899); #29886=SURFACE_STYLE_FILL_AREA(#29900); #29887=SURFACE_STYLE_FILL_AREA(#29901); #29888=SURFACE_STYLE_FILL_AREA(#29902); #29889=SURFACE_STYLE_FILL_AREA(#29903); #29890=SURFACE_STYLE_FILL_AREA(#29904); #29891=SURFACE_STYLE_FILL_AREA(#29905); #29892=SURFACE_STYLE_FILL_AREA(#29906); #29893=SURFACE_STYLE_FILL_AREA(#29907); #29894=FILL_AREA_STYLE('',(#29908)); #29895=FILL_AREA_STYLE('',(#29909)); #29896=FILL_AREA_STYLE('',(#29910)); #29897=FILL_AREA_STYLE('',(#29911)); #29898=FILL_AREA_STYLE('',(#29912)); #29899=FILL_AREA_STYLE('',(#29913)); #29900=FILL_AREA_STYLE('',(#29914)); #29901=FILL_AREA_STYLE('',(#29915)); #29902=FILL_AREA_STYLE('',(#29916)); #29903=FILL_AREA_STYLE('',(#29917)); #29904=FILL_AREA_STYLE('',(#29918)); #29905=FILL_AREA_STYLE('',(#29919)); #29906=FILL_AREA_STYLE('',(#29920)); #29907=FILL_AREA_STYLE('',(#29921)); #29908=FILL_AREA_STYLE_COLOUR('',#29922); #29909=FILL_AREA_STYLE_COLOUR('',#29923); #29910=FILL_AREA_STYLE_COLOUR('',#29924); #29911=FILL_AREA_STYLE_COLOUR('',#29925); #29912=FILL_AREA_STYLE_COLOUR('',#29926); #29913=FILL_AREA_STYLE_COLOUR('',#29927); #29914=FILL_AREA_STYLE_COLOUR('',#29928); #29915=FILL_AREA_STYLE_COLOUR('',#29929); #29916=FILL_AREA_STYLE_COLOUR('',#29930); #29917=FILL_AREA_STYLE_COLOUR('',#29931); #29918=FILL_AREA_STYLE_COLOUR('',#29932); #29919=FILL_AREA_STYLE_COLOUR('',#29933); #29920=FILL_AREA_STYLE_COLOUR('',#29934); #29921=FILL_AREA_STYLE_COLOUR('',#29935); #29922=COLOUR_RGB('',0.811764705882353,0.8,0.823529411764706); #29923=COLOUR_RGB('',0.811764705882353,0.8,0.823529411764706); #29924=COLOUR_RGB('',0.749019607843137,0.749019607843137,0.752941176470588); #29925=COLOUR_RGB('',0.,0.,0.); #29926=COLOUR_RGB('',0.16078431372549,0.16078431372549,0.16078431372549); #29927=COLOUR_RGB('',1.,1.,1.); #29928=COLOUR_RGB('',0.63921568627451,0.63921568627451,0.662745098039216); #29929=COLOUR_RGB('',0.87843137254902,0.874509803921569,0.858823529411765); #29930=COLOUR_RGB('',0.576470588235294,0.576470588235294,0.576470588235294); #29931=COLOUR_RGB('',0.83921568627451,0.886274509803922,0.854901960784314); #29932=COLOUR_RGB('',0.647058823529412,0.67843137254902,0.694117647058824); #29933=COLOUR_RGB('',0.749019607843137,0.749019607843137,0.749019607843137); #29934=COLOUR_RGB('',0.16078431372549,0.16078431372549,0.16078431372549); #29935=COLOUR_RGB('',0.686274509803922,0.686274509803922,0.686274509803922); #29936=DATE_TIME_ROLE('creation_date'); #29937=DATE_TIME_ROLE('creation_date'); #29938=DATE_TIME_ROLE('creation_date'); #29939=DATE_TIME_ROLE('creation_date'); #29940=DATE_TIME_ROLE('creation_date'); #29941=DATE_TIME_ROLE('creation_date'); #29942=DATE_TIME_ROLE('creation_date'); #29943=DATE_TIME_ROLE('creation_date'); #29944=DATE_TIME_ROLE('creation_date'); #29945=DATE_TIME_ROLE('creation_date'); #29946=DATE_TIME_ROLE('creation_date'); #29947=DATE_TIME_ROLE('creation_date'); #29948=DATE_TIME_ROLE('creation_date'); #29949=DATE_TIME_ROLE('creation_date'); #29950=DATE_TIME_ROLE('creation_date'); #29951=DATE_TIME_ROLE('creation_date'); #29952=DATE_TIME_ROLE('creation_date'); #29953=DATE_TIME_ROLE('creation_date'); #29954=DATE_TIME_ROLE('creation_date'); #29955=DATE_TIME_ROLE('creation_date'); #29956=DATE_TIME_ROLE('creation_date'); #29957=DATE_TIME_ROLE('creation_date'); #29958=DATE_TIME_ROLE('creation_date'); #29959=DATE_TIME_ROLE('creation_date'); #29960=DATE_TIME_ROLE('creation_date'); #29961=DATE_TIME_ROLE('creation_date'); #29962=DATE_TIME_ROLE('creation_date'); #29963=DATE_TIME_ROLE('creation_date'); #29964=DATE_TIME_ROLE('creation_date'); #29965=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29994,#29936,(#50118)); #29966=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29995,#29937,(#50119)); #29967=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29996,#29938,(#50120)); #29968=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29997,#29939,(#50121)); #29969=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29998,#29940,(#50122)); #29970=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29999,#29941,(#50123)); #29971=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30000,#29942,(#50124)); #29972=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30001,#29943,(#50125)); #29973=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30002,#29944,(#50126)); #29974=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30003,#29945,(#50127)); #29975=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30004,#29946,(#50128)); #29976=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30005,#29947,(#50129)); #29977=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30006,#29948,(#50130)); #29978=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30007,#29949,(#50131)); #29979=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30008,#29950,(#50132)); #29980=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30009,#29951,(#50133)); #29981=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30010,#29952,(#50134)); #29982=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30011,#29953,(#50135)); #29983=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30012,#29954,(#50136)); #29984=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30013,#29955,(#50137)); #29985=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30014,#29956,(#50138)); #29986=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30015,#29957,(#50139)); #29987=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30016,#29958,(#50140)); #29988=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30017,#29959,(#50141)); #29989=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30018,#29960,(#50142)); #29990=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30019,#29961,(#50143)); #29991=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30020,#29962,(#50144)); #29992=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30021,#29963,(#50145)); #29993=APPLIED_DATE_AND_TIME_ASSIGNMENT(#30022,#29964,(#50146)); #29994=DATE_AND_TIME(#30023,#30052); #29995=DATE_AND_TIME(#30024,#30053); #29996=DATE_AND_TIME(#30025,#30054); #29997=DATE_AND_TIME(#30026,#30055); #29998=DATE_AND_TIME(#30027,#30056); #29999=DATE_AND_TIME(#30028,#30057); #30000=DATE_AND_TIME(#30029,#30058); #30001=DATE_AND_TIME(#30030,#30059); #30002=DATE_AND_TIME(#30031,#30060); #30003=DATE_AND_TIME(#30032,#30061); #30004=DATE_AND_TIME(#30033,#30062); #30005=DATE_AND_TIME(#30034,#30063); #30006=DATE_AND_TIME(#30035,#30064); #30007=DATE_AND_TIME(#30036,#30065); #30008=DATE_AND_TIME(#30037,#30066); #30009=DATE_AND_TIME(#30038,#30067); #30010=DATE_AND_TIME(#30039,#30068); #30011=DATE_AND_TIME(#30040,#30069); #30012=DATE_AND_TIME(#30041,#30070); #30013=DATE_AND_TIME(#30042,#30071); #30014=DATE_AND_TIME(#30043,#30072); #30015=DATE_AND_TIME(#30044,#30073); #30016=DATE_AND_TIME(#30045,#30074); #30017=DATE_AND_TIME(#30046,#30075); #30018=DATE_AND_TIME(#30047,#30076); #30019=DATE_AND_TIME(#30048,#30077); #30020=DATE_AND_TIME(#30049,#30078); #30021=DATE_AND_TIME(#30050,#30079); #30022=DATE_AND_TIME(#30051,#30080); #30023=CALENDAR_DATE(2018,24,9); #30024=CALENDAR_DATE(2018,19,9); #30025=CALENDAR_DATE(2011,31,3); #30026=CALENDAR_DATE(2008,28,5); #30027=CALENDAR_DATE(2010,28,4); #30028=CALENDAR_DATE(2017,9,10); #30029=CALENDAR_DATE(2010,31,3); #30030=CALENDAR_DATE(2011,5,4); #30031=CALENDAR_DATE(2008,13,2); #30032=CALENDAR_DATE(2008,11,1); #30033=CALENDAR_DATE(2008,31,3); #30034=CALENDAR_DATE(2018,18,9); #30035=CALENDAR_DATE(2018,18,9); #30036=CALENDAR_DATE(2018,19,9); #30037=CALENDAR_DATE(2008,22,1); #30038=CALENDAR_DATE(2018,18,9); #30039=CALENDAR_DATE(2008,14,7); #30040=CALENDAR_DATE(2008,8,4); #30041=CALENDAR_DATE(2010,15,2); #30042=CALENDAR_DATE(2018,4,9); #30043=CALENDAR_DATE(2008,24,1); #30044=CALENDAR_DATE(2014,24,10); #30045=CALENDAR_DATE(2018,18,9); #30046=CALENDAR_DATE(2018,18,9); #30047=CALENDAR_DATE(2008,9,1); #30048=CALENDAR_DATE(2021,28,4); #30049=CALENDAR_DATE(2018,18,9); #30050=CALENDAR_DATE(2019,12,6); #30051=CALENDAR_DATE(2008,23,1); #30052=LOCAL_TIME(0,0,0.,#30081); #30053=LOCAL_TIME(0,0,0.,#30082); #30054=LOCAL_TIME(0,0,0.,#30083); #30055=LOCAL_TIME(0,0,0.,#30084); #30056=LOCAL_TIME(0,0,0.,#30085); #30057=LOCAL_TIME(0,0,0.,#30086); #30058=LOCAL_TIME(0,0,0.,#30087); #30059=LOCAL_TIME(0,0,0.,#30088); #30060=LOCAL_TIME(0,0,0.,#30089); #30061=LOCAL_TIME(0,0,0.,#30090); #30062=LOCAL_TIME(0,0,0.,#30091); #30063=LOCAL_TIME(0,0,0.,#30092); #30064=LOCAL_TIME(0,0,0.,#30093); #30065=LOCAL_TIME(0,0,0.,#30094); #30066=LOCAL_TIME(0,0,0.,#30095); #30067=LOCAL_TIME(0,0,0.,#30096); #30068=LOCAL_TIME(0,0,0.,#30097); #30069=LOCAL_TIME(0,0,0.,#30098); #30070=LOCAL_TIME(0,0,0.,#30099); #30071=LOCAL_TIME(0,0,0.,#30100); #30072=LOCAL_TIME(0,0,0.,#30101); #30073=LOCAL_TIME(0,0,0.,#30102); #30074=LOCAL_TIME(0,0,0.,#30103); #30075=LOCAL_TIME(0,0,0.,#30104); #30076=LOCAL_TIME(0,0,0.,#30105); #30077=LOCAL_TIME(0,0,0.,#30106); #30078=LOCAL_TIME(0,0,0.,#30107); #30079=LOCAL_TIME(0,0,0.,#30108); #30080=LOCAL_TIME(0,0,0.,#30109); #30081=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30082=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30083=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30084=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30085=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30086=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30087=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30088=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30089=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30090=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30091=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30092=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30093=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30094=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30095=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30096=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30097=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30098=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30099=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30100=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30101=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30102=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30103=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30104=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30105=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30106=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30107=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30108=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30109=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #30110=AXIS2_PLACEMENT_3D('placement',#40907,#32683,#32684); #30111=AXIS2_PLACEMENT_3D('placement',#40908,#32685,#32686); #30112=AXIS2_PLACEMENT_3D('',#40909,#32687,#32688); #30113=AXIS2_PLACEMENT_3D('',#40911,#32689,#32690); #30114=AXIS2_PLACEMENT_3D('',#40914,#32692,#32693); #30115=AXIS2_PLACEMENT_3D('',#40915,#32694,#32695); #30116=AXIS2_PLACEMENT_3D('',#40917,#32696,#32697); #30117=AXIS2_PLACEMENT_3D('',#40920,#32699,#32700); #30118=AXIS2_PLACEMENT_3D('',#40921,#32701,#32702); #30119=AXIS2_PLACEMENT_3D('',#40923,#32703,#32704); #30120=AXIS2_PLACEMENT_3D('',#40926,#32706,#32707); #30121=AXIS2_PLACEMENT_3D('',#40927,#32708,#32709); #30122=AXIS2_PLACEMENT_3D('',#40929,#32710,#32711); #30123=AXIS2_PLACEMENT_3D('',#40932,#32713,#32714); #30124=AXIS2_PLACEMENT_3D('',#40933,#32715,#32716); #30125=AXIS2_PLACEMENT_3D('',#40935,#32717,#32718); #30126=AXIS2_PLACEMENT_3D('',#40938,#32720,#32721); #30127=AXIS2_PLACEMENT_3D('',#40939,#32722,#32723); #30128=AXIS2_PLACEMENT_3D('',#40941,#32724,#32725); #30129=AXIS2_PLACEMENT_3D('',#40944,#32727,#32728); #30130=AXIS2_PLACEMENT_3D('',#40945,#32729,#32730); #30131=AXIS2_PLACEMENT_3D('',#40947,#32731,#32732); #30132=AXIS2_PLACEMENT_3D('',#40950,#32734,#32735); #30133=AXIS2_PLACEMENT_3D('',#40951,#32736,#32737); #30134=AXIS2_PLACEMENT_3D('',#40953,#32738,#32739); #30135=AXIS2_PLACEMENT_3D('',#40956,#32741,#32742); #30136=AXIS2_PLACEMENT_3D('',#40957,#32743,#32744); #30137=AXIS2_PLACEMENT_3D('',#40959,#32745,#32746); #30138=AXIS2_PLACEMENT_3D('',#40962,#32748,#32749); #30139=AXIS2_PLACEMENT_3D('',#40963,#32750,#32751); #30140=AXIS2_PLACEMENT_3D('',#40965,#32752,#32753); #30141=AXIS2_PLACEMENT_3D('',#40968,#32755,#32756); #30142=AXIS2_PLACEMENT_3D('',#40969,#32757,#32758); #30143=AXIS2_PLACEMENT_3D('',#40971,#32759,#32760); #30144=AXIS2_PLACEMENT_3D('',#40974,#32762,#32763); #30145=AXIS2_PLACEMENT_3D('',#40975,#32764,#32765); #30146=AXIS2_PLACEMENT_3D('',#40977,#32766,#32767); #30147=AXIS2_PLACEMENT_3D('',#40980,#32769,#32770); #30148=AXIS2_PLACEMENT_3D('',#40981,#32771,#32772); #30149=AXIS2_PLACEMENT_3D('',#40983,#32773,#32774); #30150=AXIS2_PLACEMENT_3D('',#40986,#32776,#32777); #30151=AXIS2_PLACEMENT_3D('',#40987,#32778,#32779); #30152=AXIS2_PLACEMENT_3D('',#40989,#32780,#32781); #30153=AXIS2_PLACEMENT_3D('',#40992,#32783,#32784); #30154=AXIS2_PLACEMENT_3D('',#40993,#32785,#32786); #30155=AXIS2_PLACEMENT_3D('',#41002,#32791,#32792); #30156=AXIS2_PLACEMENT_3D('',#41004,#32793,#32794); #30157=AXIS2_PLACEMENT_3D('',#41006,#32795,#32796); #30158=AXIS2_PLACEMENT_3D('',#41008,#32798,#32799); #30159=AXIS2_PLACEMENT_3D('',#41014,#32803,#32804); #30160=AXIS2_PLACEMENT_3D('',#41015,#32805,#32806); #30161=AXIS2_PLACEMENT_3D('',#41016,#32807,#32808); #30162=AXIS2_PLACEMENT_3D('',#41017,#32809,#32810); #30163=AXIS2_PLACEMENT_3D('',#41019,#32811,#32812); #30164=AXIS2_PLACEMENT_3D('',#41022,#32814,#32815); #30165=AXIS2_PLACEMENT_3D('',#41023,#32816,#32817); #30166=AXIS2_PLACEMENT_3D('',#41025,#32818,#32819); #30167=AXIS2_PLACEMENT_3D('',#41028,#32821,#32822); #30168=AXIS2_PLACEMENT_3D('',#41029,#32823,#32824); #30169=AXIS2_PLACEMENT_3D('',#41031,#32825,#32826); #30170=AXIS2_PLACEMENT_3D('',#41034,#32828,#32829); #30171=AXIS2_PLACEMENT_3D('',#41035,#32830,#32831); #30172=AXIS2_PLACEMENT_3D('',#41037,#32832,#32833); #30173=AXIS2_PLACEMENT_3D('',#41040,#32835,#32836); #30174=AXIS2_PLACEMENT_3D('',#41041,#32837,#32838); #30175=AXIS2_PLACEMENT_3D('',#41043,#32839,#32840); #30176=AXIS2_PLACEMENT_3D('',#41046,#32842,#32843); #30177=AXIS2_PLACEMENT_3D('',#41047,#32844,#32845); #30178=AXIS2_PLACEMENT_3D('',#41049,#32846,#32847); #30179=AXIS2_PLACEMENT_3D('',#41052,#32849,#32850); #30180=AXIS2_PLACEMENT_3D('',#41053,#32851,#32852); #30181=AXIS2_PLACEMENT_3D('',#41056,#32853,#32854); #30182=AXIS2_PLACEMENT_3D('',#41060,#32856,#32857); #30183=AXIS2_PLACEMENT_3D('',#41062,#32859,#32860); #30184=AXIS2_PLACEMENT_3D('',#41068,#32864,#32865); #30185=AXIS2_PLACEMENT_3D('',#41070,#32866,#32867); #30186=AXIS2_PLACEMENT_3D('',#41072,#32868,#32869); #30187=AXIS2_PLACEMENT_3D('',#41074,#32871,#32872); #30188=AXIS2_PLACEMENT_3D('',#41077,#32875,#32876); #30189=AXIS2_PLACEMENT_3D('',#41080,#32877,#32878); #30190=AXIS2_PLACEMENT_3D('',#41084,#32880,#32881); #30191=AXIS2_PLACEMENT_3D('',#41086,#32883,#32884); #30192=AXIS2_PLACEMENT_3D('',#41092,#32888,#32889); #30193=AXIS2_PLACEMENT_3D('',#41094,#32890,#32891); #30194=AXIS2_PLACEMENT_3D('',#41096,#32892,#32893); #30195=AXIS2_PLACEMENT_3D('',#41098,#32895,#32896); #30196=AXIS2_PLACEMENT_3D('',#41101,#32899,#32900); #30197=AXIS2_PLACEMENT_3D('',#41104,#32901,#32902); #30198=AXIS2_PLACEMENT_3D('',#41108,#32904,#32905); #30199=AXIS2_PLACEMENT_3D('',#41110,#32907,#32908); #30200=AXIS2_PLACEMENT_3D('',#41116,#32912,#32913); #30201=AXIS2_PLACEMENT_3D('',#41118,#32914,#32915); #30202=AXIS2_PLACEMENT_3D('',#41120,#32916,#32917); #30203=AXIS2_PLACEMENT_3D('',#41122,#32919,#32920); #30204=AXIS2_PLACEMENT_3D('',#41125,#32923,#32924); #30205=AXIS2_PLACEMENT_3D('',#41128,#32925,#32926); #30206=AXIS2_PLACEMENT_3D('',#41132,#32928,#32929); #30207=AXIS2_PLACEMENT_3D('',#41134,#32931,#32932); #30208=AXIS2_PLACEMENT_3D('',#41140,#32936,#32937); #30209=AXIS2_PLACEMENT_3D('',#41144,#32939,#32940); #30210=AXIS2_PLACEMENT_3D('',#41148,#32942,#32943); #30211=AXIS2_PLACEMENT_3D('',#41152,#32945,#32946); #30212=AXIS2_PLACEMENT_3D('',#41158,#32949,#32950); #30213=AXIS2_PLACEMENT_3D('',#41162,#32952,#32953); #30214=AXIS2_PLACEMENT_3D('',#41165,#32955,#32956); #30215=AXIS2_PLACEMENT_3D('',#41166,#32957,#32958); #30216=AXIS2_PLACEMENT_3D('',#41170,#32960,#32961); #30217=AXIS2_PLACEMENT_3D('',#41172,#32963,#32964); #30218=AXIS2_PLACEMENT_3D('',#41176,#32967,#32968); #30219=AXIS2_PLACEMENT_3D('',#41177,#32969,#32970); #30220=AXIS2_PLACEMENT_3D('',#41178,#32971,#32972); #30221=AXIS2_PLACEMENT_3D('',#41182,#32974,#32975); #30222=AXIS2_PLACEMENT_3D('',#41188,#32978,#32979); #30223=AXIS2_PLACEMENT_3D('',#41192,#32981,#32982); #30224=AXIS2_PLACEMENT_3D('',#41196,#32984,#32985); #30225=AXIS2_PLACEMENT_3D('',#41198,#32987,#32988); #30226=AXIS2_PLACEMENT_3D('',#41200,#32990,#32991); #30227=AXIS2_PLACEMENT_3D('',#41202,#32993,#32994); #30228=AXIS2_PLACEMENT_3D('',#41204,#32996,#32997); #30229=AXIS2_PLACEMENT_3D('',#41206,#32999,#33000); #30230=AXIS2_PLACEMENT_3D('',#41208,#33002,#33003); #30231=AXIS2_PLACEMENT_3D('',#41210,#33005,#33006); #30232=AXIS2_PLACEMENT_3D('',#41212,#33008,#33009); #30233=AXIS2_PLACEMENT_3D('',#41214,#33011,#33012); #30234=AXIS2_PLACEMENT_3D('',#41216,#33014,#33015); #30235=AXIS2_PLACEMENT_3D('placement',#41217,#33016,#33017); #30236=AXIS2_PLACEMENT_3D('',#41218,#33018,#33019); #30237=AXIS2_PLACEMENT_3D('',#41220,#33020,#33021); #30238=AXIS2_PLACEMENT_3D('',#41223,#33023,#33024); #30239=AXIS2_PLACEMENT_3D('',#41224,#33025,#33026); #30240=AXIS2_PLACEMENT_3D('',#41226,#33027,#33028); #30241=AXIS2_PLACEMENT_3D('',#41229,#33030,#33031); #30242=AXIS2_PLACEMENT_3D('',#41230,#33032,#33033); #30243=AXIS2_PLACEMENT_3D('',#41232,#33034,#33035); #30244=AXIS2_PLACEMENT_3D('',#41235,#33037,#33038); #30245=AXIS2_PLACEMENT_3D('',#41236,#33039,#33040); #30246=AXIS2_PLACEMENT_3D('',#41238,#33041,#33042); #30247=AXIS2_PLACEMENT_3D('',#41241,#33044,#33045); #30248=AXIS2_PLACEMENT_3D('',#41242,#33046,#33047); #30249=AXIS2_PLACEMENT_3D('',#41244,#33048,#33049); #30250=AXIS2_PLACEMENT_3D('',#41247,#33051,#33052); #30251=AXIS2_PLACEMENT_3D('',#41248,#33053,#33054); #30252=AXIS2_PLACEMENT_3D('',#41250,#33055,#33056); #30253=AXIS2_PLACEMENT_3D('',#41251,#33057,#33058); #30254=AXIS2_PLACEMENT_3D('',#41253,#33059,#33060); #30255=AXIS2_PLACEMENT_3D('',#41255,#33062,#33063); #30256=AXIS2_PLACEMENT_3D('',#41257,#33064,#33065); #30257=AXIS2_PLACEMENT_3D('',#41258,#33066,#33067); #30258=AXIS2_PLACEMENT_3D('',#41260,#33068,#33069); #30259=AXIS2_PLACEMENT_3D('',#41262,#33071,#33072); #30260=AXIS2_PLACEMENT_3D('',#41264,#33073,#33074); #30261=AXIS2_PLACEMENT_3D('',#41266,#33076,#33077); #30262=AXIS2_PLACEMENT_3D('',#41268,#33078,#33079); #30263=AXIS2_PLACEMENT_3D('',#41269,#33080,#33081); #30264=AXIS2_PLACEMENT_3D('',#41271,#33082,#33083); #30265=AXIS2_PLACEMENT_3D('',#41273,#33085,#33086); #30266=AXIS2_PLACEMENT_3D('',#41275,#33087,#33088); #30267=AXIS2_PLACEMENT_3D('',#41277,#33090,#33091); #30268=AXIS2_PLACEMENT_3D('',#41279,#33092,#33093); #30269=AXIS2_PLACEMENT_3D('',#41280,#33094,#33095); #30270=AXIS2_PLACEMENT_3D('',#41283,#33097,#33098); #30271=AXIS2_PLACEMENT_3D('',#41284,#33099,#33100); #30272=AXIS2_PLACEMENT_3D('',#41287,#33102,#33103); #30273=AXIS2_PLACEMENT_3D('',#41288,#33104,#33105); #30274=AXIS2_PLACEMENT_3D('',#41290,#33106,#33107); #30275=AXIS2_PLACEMENT_3D('',#41291,#33108,#33109); #30276=AXIS2_PLACEMENT_3D('',#41294,#33111,#33112); #30277=AXIS2_PLACEMENT_3D('',#41295,#33113,#33114); #30278=AXIS2_PLACEMENT_3D('placement',#41296,#33115,#33116); #30279=AXIS2_PLACEMENT_3D('',#41297,#33117,#33118); #30280=AXIS2_PLACEMENT_3D('',#41299,#33119,#33120); #30281=AXIS2_PLACEMENT_3D('',#41301,#33121,#33122); #30282=AXIS2_PLACEMENT_3D('',#41302,#33123,#33124); #30283=AXIS2_PLACEMENT_3D('',#41304,#33125,#33126); #30284=AXIS2_PLACEMENT_3D('',#41306,#33127,#33128); #30285=AXIS2_PLACEMENT_3D('',#41307,#33129,#33130); #30286=AXIS2_PLACEMENT_3D('',#41310,#33131,#33132); #30287=AXIS2_PLACEMENT_3D('',#41311,#33133,#33134); #30288=AXIS2_PLACEMENT_3D('',#41312,#33135,#33136); #30289=AXIS2_PLACEMENT_3D('',#41313,#33137,#33138); #30290=AXIS2_PLACEMENT_3D('',#41317,#33140,#33141); #30291=AXIS2_PLACEMENT_3D('',#41318,#33142,#33143); #30292=AXIS2_PLACEMENT_3D('',#41319,#33144,#33145); #30293=AXIS2_PLACEMENT_3D('',#41320,#33146,#33147); #30294=AXIS2_PLACEMENT_3D('',#41321,#33148,#33149); #30295=AXIS2_PLACEMENT_3D('',#41324,#33151,#33152); #30296=AXIS2_PLACEMENT_3D('',#41325,#33153,#33154); #30297=AXIS2_PLACEMENT_3D('',#41327,#33155,#33156); #30298=AXIS2_PLACEMENT_3D('',#41329,#33157,#33158); #30299=AXIS2_PLACEMENT_3D('',#41330,#33159,#33160); #30300=AXIS2_PLACEMENT_3D('',#41332,#33161,#33162); #30301=AXIS2_PLACEMENT_3D('',#41334,#33163,#33164); #30302=AXIS2_PLACEMENT_3D('',#41335,#33165,#33166); #30303=AXIS2_PLACEMENT_3D('',#41338,#33167,#33168); #30304=AXIS2_PLACEMENT_3D('',#41339,#33169,#33170); #30305=AXIS2_PLACEMENT_3D('',#41340,#33171,#33172); #30306=AXIS2_PLACEMENT_3D('',#41341,#33173,#33174); #30307=AXIS2_PLACEMENT_3D('',#41345,#33176,#33177); #30308=AXIS2_PLACEMENT_3D('',#41346,#33178,#33179); #30309=AXIS2_PLACEMENT_3D('',#41347,#33180,#33181); #30310=AXIS2_PLACEMENT_3D('',#41348,#33182,#33183); #30311=AXIS2_PLACEMENT_3D('',#41349,#33184,#33185); #30312=AXIS2_PLACEMENT_3D('',#41352,#33187,#33188); #30313=AXIS2_PLACEMENT_3D('',#41353,#33189,#33190); #30314=AXIS2_PLACEMENT_3D('',#41355,#33191,#33192); #30315=AXIS2_PLACEMENT_3D('',#41357,#33194,#33195); #30316=AXIS2_PLACEMENT_3D('',#41359,#33196,#33197); #30317=AXIS2_PLACEMENT_3D('',#41360,#33198,#33199); #30318=AXIS2_PLACEMENT_3D('',#41362,#33200,#33201); #30319=AXIS2_PLACEMENT_3D('',#41364,#33202,#33203); #30320=AXIS2_PLACEMENT_3D('',#41365,#33204,#33205); #30321=AXIS2_PLACEMENT_3D('',#41367,#33206,#33207); #30322=AXIS2_PLACEMENT_3D('',#41368,#33208,#33209); #30323=AXIS2_PLACEMENT_3D('',#41372,#33211,#33212); #30324=AXIS2_PLACEMENT_3D('',#41373,#33213,#33214); #30325=AXIS2_PLACEMENT_3D('',#41374,#33215,#33216); #30326=AXIS2_PLACEMENT_3D('',#41377,#33217,#33218); #30327=AXIS2_PLACEMENT_3D('',#41378,#33219,#33220); #30328=AXIS2_PLACEMENT_3D('',#41379,#33221,#33222); #30329=AXIS2_PLACEMENT_3D('',#41380,#33223,#33224); #30330=AXIS2_PLACEMENT_3D('',#41382,#33226,#33227); #30331=AXIS2_PLACEMENT_3D('',#41385,#33228,#33229); #30332=AXIS2_PLACEMENT_3D('',#41386,#33230,#33231); #30333=AXIS2_PLACEMENT_3D('',#41388,#33233,#33234); #30334=AXIS2_PLACEMENT_3D('',#41389,#33235,#33236); #30335=AXIS2_PLACEMENT_3D('',#41390,#33237,#33238); #30336=AXIS2_PLACEMENT_3D('',#41392,#33239,#33240); #30337=AXIS2_PLACEMENT_3D('',#41394,#33242,#33243); #30338=AXIS2_PLACEMENT_3D('',#41396,#33244,#33245); #30339=AXIS2_PLACEMENT_3D('',#41397,#33246,#33247); #30340=AXIS2_PLACEMENT_3D('',#41399,#33248,#33249); #30341=AXIS2_PLACEMENT_3D('',#41401,#33250,#33251); #30342=AXIS2_PLACEMENT_3D('',#41402,#33252,#33253); #30343=AXIS2_PLACEMENT_3D('',#41404,#33254,#33255); #30344=AXIS2_PLACEMENT_3D('',#41405,#33256,#33257); #30345=AXIS2_PLACEMENT_3D('',#41409,#33259,#33260); #30346=AXIS2_PLACEMENT_3D('',#41410,#33261,#33262); #30347=AXIS2_PLACEMENT_3D('',#41411,#33263,#33264); #30348=AXIS2_PLACEMENT_3D('',#41414,#33265,#33266); #30349=AXIS2_PLACEMENT_3D('',#41415,#33267,#33268); #30350=AXIS2_PLACEMENT_3D('',#41416,#33269,#33270); #30351=AXIS2_PLACEMENT_3D('',#41417,#33271,#33272); #30352=AXIS2_PLACEMENT_3D('',#41419,#33274,#33275); #30353=AXIS2_PLACEMENT_3D('',#41422,#33276,#33277); #30354=AXIS2_PLACEMENT_3D('',#41424,#33279,#33280); #30355=AXIS2_PLACEMENT_3D('',#41425,#33281,#33282); #30356=AXIS2_PLACEMENT_3D('',#41426,#33283,#33284); #30357=AXIS2_PLACEMENT_3D('',#41427,#33285,#33286); #30358=AXIS2_PLACEMENT_3D('',#41429,#33287,#33288); #30359=AXIS2_PLACEMENT_3D('',#41431,#33289,#33290); #30360=AXIS2_PLACEMENT_3D('',#41432,#33291,#33292); #30361=AXIS2_PLACEMENT_3D('',#41435,#33293,#33294); #30362=AXIS2_PLACEMENT_3D('',#41438,#33296,#33297); #30363=AXIS2_PLACEMENT_3D('',#41439,#33298,#33299); #30364=AXIS2_PLACEMENT_3D('',#41440,#33300,#33301); #30365=AXIS2_PLACEMENT_3D('',#41442,#33302,#33303); #30366=AXIS2_PLACEMENT_3D('',#41445,#33305,#33306); #30367=AXIS2_PLACEMENT_3D('',#41446,#33307,#33308); #30368=AXIS2_PLACEMENT_3D('',#41448,#33309,#33310); #30369=AXIS2_PLACEMENT_3D('',#41450,#33312,#33313); #30370=AXIS2_PLACEMENT_3D('',#41451,#33314,#33315); #30371=AXIS2_PLACEMENT_3D('',#41452,#33316,#33317); #30372=AXIS2_PLACEMENT_3D('',#41455,#33318,#33319); #30373=AXIS2_PLACEMENT_3D('',#41456,#33320,#33321); #30374=AXIS2_PLACEMENT_3D('',#41458,#33322,#33323); #30375=AXIS2_PLACEMENT_3D('',#41459,#33324,#33325); #30376=AXIS2_PLACEMENT_3D('',#41461,#33326,#33327); #30377=AXIS2_PLACEMENT_3D('',#41464,#33329,#33330); #30378=AXIS2_PLACEMENT_3D('',#41465,#33331,#33332); #30379=AXIS2_PLACEMENT_3D('',#41467,#33333,#33334); #30380=AXIS2_PLACEMENT_3D('',#41470,#33336,#33337); #30381=AXIS2_PLACEMENT_3D('',#41471,#33338,#33339); #30382=AXIS2_PLACEMENT_3D('',#41473,#33340,#33341); #30383=AXIS2_PLACEMENT_3D('',#41475,#33343,#33344); #30384=AXIS2_PLACEMENT_3D('',#41476,#33345,#33346); #30385=AXIS2_PLACEMENT_3D('',#41477,#33347,#33348); #30386=AXIS2_PLACEMENT_3D('',#41480,#33349,#33350); #30387=AXIS2_PLACEMENT_3D('',#41482,#33351,#33352); #30388=AXIS2_PLACEMENT_3D('',#41483,#33353,#33354); #30389=AXIS2_PLACEMENT_3D('',#41485,#33355,#33356); #30390=AXIS2_PLACEMENT_3D('',#41487,#33357,#33358); #30391=AXIS2_PLACEMENT_3D('',#41488,#33359,#33360); #30392=AXIS2_PLACEMENT_3D('',#41491,#33361,#33362); #30393=AXIS2_PLACEMENT_3D('',#41493,#33363,#33364); #30394=AXIS2_PLACEMENT_3D('',#41494,#33365,#33366); #30395=AXIS2_PLACEMENT_3D('',#41496,#33367,#33368); #30396=AXIS2_PLACEMENT_3D('',#41498,#33369,#33370); #30397=AXIS2_PLACEMENT_3D('',#41499,#33371,#33372); #30398=AXIS2_PLACEMENT_3D('',#41502,#33373,#33374); #30399=AXIS2_PLACEMENT_3D('',#41504,#33375,#33376); #30400=AXIS2_PLACEMENT_3D('',#41505,#33377,#33378); #30401=AXIS2_PLACEMENT_3D('',#41507,#33379,#33380); #30402=AXIS2_PLACEMENT_3D('',#41509,#33381,#33382); #30403=AXIS2_PLACEMENT_3D('',#41510,#33383,#33384); #30404=AXIS2_PLACEMENT_3D('',#41513,#33385,#33386); #30405=AXIS2_PLACEMENT_3D('',#41515,#33387,#33388); #30406=AXIS2_PLACEMENT_3D('',#41516,#33389,#33390); #30407=AXIS2_PLACEMENT_3D('',#41518,#33391,#33392); #30408=AXIS2_PLACEMENT_3D('',#41520,#33393,#33394); #30409=AXIS2_PLACEMENT_3D('',#41521,#33395,#33396); #30410=AXIS2_PLACEMENT_3D('',#41524,#33397,#33398); #30411=AXIS2_PLACEMENT_3D('',#41526,#33399,#33400); #30412=AXIS2_PLACEMENT_3D('',#41527,#33401,#33402); #30413=AXIS2_PLACEMENT_3D('',#41529,#33403,#33404); #30414=AXIS2_PLACEMENT_3D('',#41531,#33405,#33406); #30415=AXIS2_PLACEMENT_3D('',#41532,#33407,#33408); #30416=AXIS2_PLACEMENT_3D('',#41534,#33409,#33410); #30417=AXIS2_PLACEMENT_3D('',#41536,#33411,#33412); #30418=AXIS2_PLACEMENT_3D('',#41538,#33413,#33414); #30419=AXIS2_PLACEMENT_3D('',#41540,#33415,#33416); #30420=AXIS2_PLACEMENT_3D('',#41541,#33417,#33418); #30421=AXIS2_PLACEMENT_3D('',#41542,#33419,#33420); #30422=AXIS2_PLACEMENT_3D('',#41545,#33421,#33422); #30423=AXIS2_PLACEMENT_3D('',#41547,#33423,#33424); #30424=AXIS2_PLACEMENT_3D('',#41548,#33425,#33426); #30425=AXIS2_PLACEMENT_3D('',#41550,#33427,#33428); #30426=AXIS2_PLACEMENT_3D('',#41552,#33429,#33430); #30427=AXIS2_PLACEMENT_3D('',#41553,#33431,#33432); #30428=AXIS2_PLACEMENT_3D('',#41556,#33433,#33434); #30429=AXIS2_PLACEMENT_3D('',#41558,#33435,#33436); #30430=AXIS2_PLACEMENT_3D('',#41559,#33437,#33438); #30431=AXIS2_PLACEMENT_3D('',#41561,#33439,#33440); #30432=AXIS2_PLACEMENT_3D('',#41563,#33441,#33442); #30433=AXIS2_PLACEMENT_3D('',#41564,#33443,#33444); #30434=AXIS2_PLACEMENT_3D('',#41567,#33445,#33446); #30435=AXIS2_PLACEMENT_3D('',#41569,#33447,#33448); #30436=AXIS2_PLACEMENT_3D('',#41570,#33449,#33450); #30437=AXIS2_PLACEMENT_3D('',#41572,#33451,#33452); #30438=AXIS2_PLACEMENT_3D('',#41574,#33453,#33454); #30439=AXIS2_PLACEMENT_3D('',#41575,#33455,#33456); #30440=AXIS2_PLACEMENT_3D('',#41578,#33457,#33458); #30441=AXIS2_PLACEMENT_3D('',#41580,#33459,#33460); #30442=AXIS2_PLACEMENT_3D('',#41581,#33461,#33462); #30443=AXIS2_PLACEMENT_3D('',#41583,#33463,#33464); #30444=AXIS2_PLACEMENT_3D('',#41585,#33465,#33466); #30445=AXIS2_PLACEMENT_3D('',#41586,#33467,#33468); #30446=AXIS2_PLACEMENT_3D('',#41589,#33469,#33470); #30447=AXIS2_PLACEMENT_3D('',#41591,#33471,#33472); #30448=AXIS2_PLACEMENT_3D('',#41592,#33473,#33474); #30449=AXIS2_PLACEMENT_3D('',#41594,#33475,#33476); #30450=AXIS2_PLACEMENT_3D('',#41596,#33477,#33478); #30451=AXIS2_PLACEMENT_3D('',#41597,#33479,#33480); #30452=AXIS2_PLACEMENT_3D('',#41600,#33481,#33482); #30453=AXIS2_PLACEMENT_3D('',#41602,#33483,#33484); #30454=AXIS2_PLACEMENT_3D('',#41603,#33485,#33486); #30455=AXIS2_PLACEMENT_3D('',#41604,#33487,#33488); #30456=AXIS2_PLACEMENT_3D('',#41606,#33489,#33490); #30457=AXIS2_PLACEMENT_3D('',#41607,#33491,#33492); #30458=AXIS2_PLACEMENT_3D('',#41610,#33493,#33494); #30459=AXIS2_PLACEMENT_3D('',#41612,#33495,#33496); #30460=AXIS2_PLACEMENT_3D('',#41613,#33497,#33498); #30461=AXIS2_PLACEMENT_3D('',#41616,#33499,#33500); #30462=AXIS2_PLACEMENT_3D('',#41618,#33501,#33502); #30463=AXIS2_PLACEMENT_3D('',#41619,#33503,#33504); #30464=AXIS2_PLACEMENT_3D('',#41622,#33505,#33506); #30465=AXIS2_PLACEMENT_3D('',#41624,#33507,#33508); #30466=AXIS2_PLACEMENT_3D('',#41625,#33509,#33510); #30467=AXIS2_PLACEMENT_3D('',#41628,#33511,#33512); #30468=AXIS2_PLACEMENT_3D('',#41630,#33513,#33514); #30469=AXIS2_PLACEMENT_3D('',#41631,#33515,#33516); #30470=AXIS2_PLACEMENT_3D('',#41634,#33517,#33518); #30471=AXIS2_PLACEMENT_3D('',#41636,#33519,#33520); #30472=AXIS2_PLACEMENT_3D('',#41637,#33521,#33522); #30473=AXIS2_PLACEMENT_3D('',#41640,#33523,#33524); #30474=AXIS2_PLACEMENT_3D('',#41641,#33525,#33526); #30475=AXIS2_PLACEMENT_3D('',#41643,#33527,#33528); #30476=AXIS2_PLACEMENT_3D('',#41644,#33529,#33530); #30477=AXIS2_PLACEMENT_3D('',#41647,#33531,#33532); #30478=AXIS2_PLACEMENT_3D('',#41649,#33533,#33534); #30479=AXIS2_PLACEMENT_3D('',#41650,#33535,#33536); #30480=AXIS2_PLACEMENT_3D('',#41653,#33537,#33538); #30481=AXIS2_PLACEMENT_3D('',#41655,#33539,#33540); #30482=AXIS2_PLACEMENT_3D('',#41656,#33541,#33542); #30483=AXIS2_PLACEMENT_3D('',#41659,#33543,#33544); #30484=AXIS2_PLACEMENT_3D('',#41661,#33545,#33546); #30485=AXIS2_PLACEMENT_3D('',#41662,#33547,#33548); #30486=AXIS2_PLACEMENT_3D('',#41665,#33549,#33550); #30487=AXIS2_PLACEMENT_3D('',#41667,#33551,#33552); #30488=AXIS2_PLACEMENT_3D('',#41668,#33553,#33554); #30489=AXIS2_PLACEMENT_3D('',#41671,#33555,#33556); #30490=AXIS2_PLACEMENT_3D('',#41673,#33557,#33558); #30491=AXIS2_PLACEMENT_3D('',#41674,#33559,#33560); #30492=AXIS2_PLACEMENT_3D('',#41677,#33561,#33562); #30493=AXIS2_PLACEMENT_3D('',#41678,#33563,#33564); #30494=AXIS2_PLACEMENT_3D('',#41680,#33565,#33566); #30495=AXIS2_PLACEMENT_3D('',#41681,#33567,#33568); #30496=AXIS2_PLACEMENT_3D('',#41682,#33569,#33570); #30497=AXIS2_PLACEMENT_3D('',#41683,#33571,#33572); #30498=AXIS2_PLACEMENT_3D('',#41685,#33573,#33574); #30499=AXIS2_PLACEMENT_3D('',#41687,#33575,#33576); #30500=AXIS2_PLACEMENT_3D('',#41688,#33577,#33578); #30501=AXIS2_PLACEMENT_3D('',#41691,#33579,#33580); #30502=AXIS2_PLACEMENT_3D('',#41692,#33581,#33582); #30503=AXIS2_PLACEMENT_3D('',#41694,#33583,#33584); #30504=AXIS2_PLACEMENT_3D('',#41696,#33585,#33586); #30505=AXIS2_PLACEMENT_3D('',#41697,#33587,#33588); #30506=AXIS2_PLACEMENT_3D('',#41698,#33589,#33590); #30507=AXIS2_PLACEMENT_3D('',#41701,#33591,#33592); #30508=AXIS2_PLACEMENT_3D('',#41702,#33593,#33594); #30509=AXIS2_PLACEMENT_3D('',#41704,#33595,#33596); #30510=AXIS2_PLACEMENT_3D('',#41706,#33597,#33598); #30511=AXIS2_PLACEMENT_3D('',#41707,#33599,#33600); #30512=AXIS2_PLACEMENT_3D('',#41708,#33601,#33602); #30513=AXIS2_PLACEMENT_3D('',#41710,#33604,#33605); #30514=AXIS2_PLACEMENT_3D('',#41712,#33607,#33608); #30515=AXIS2_PLACEMENT_3D('placement',#41714,#33610,#33611); #30516=AXIS2_PLACEMENT_3D('',#41715,#33612,#33613); #30517=AXIS2_PLACEMENT_3D('',#41718,#33614,#33615); #30518=AXIS2_PLACEMENT_3D('',#41722,#33617,#33618); #30519=AXIS2_PLACEMENT_3D('',#41724,#33620,#33621); #30520=AXIS2_PLACEMENT_3D('',#41730,#33625,#33626); #30521=AXIS2_PLACEMENT_3D('',#41736,#33629,#33630); #30522=AXIS2_PLACEMENT_3D('',#41742,#33633,#33634); #30523=AXIS2_PLACEMENT_3D('',#41745,#33636,#33637); #30524=AXIS2_PLACEMENT_3D('',#41747,#33638,#33639); #30525=AXIS2_PLACEMENT_3D('',#41749,#33640,#33641); #30526=AXIS2_PLACEMENT_3D('',#41750,#33642,#33643); #30527=AXIS2_PLACEMENT_3D('',#41753,#33644,#33645); #30528=AXIS2_PLACEMENT_3D('',#41756,#33648,#33649); #30529=AXIS2_PLACEMENT_3D('',#41760,#33652,#33653); #30530=AXIS2_PLACEMENT_3D('',#41762,#33654,#33655); #30531=AXIS2_PLACEMENT_3D('',#41764,#33657,#33658); #30532=AXIS2_PLACEMENT_3D('',#41766,#33659,#33660); #30533=AXIS2_PLACEMENT_3D('',#41768,#33662,#33663); #30534=AXIS2_PLACEMENT_3D('',#41772,#33666,#33667); #30535=AXIS2_PLACEMENT_3D('',#41776,#33670,#33671); #30536=AXIS2_PLACEMENT_3D('',#41778,#33672,#33673); #30537=AXIS2_PLACEMENT_3D('',#41780,#33675,#33676); #30538=AXIS2_PLACEMENT_3D('',#41784,#33679,#33680); #30539=AXIS2_PLACEMENT_3D('',#41786,#33682,#33683); #30540=AXIS2_PLACEMENT_3D('',#41787,#33684,#33685); #30541=AXIS2_PLACEMENT_3D('',#41788,#33686,#33687); #30542=AXIS2_PLACEMENT_3D('placement',#41789,#33688,#33689); #30543=AXIS2_PLACEMENT_3D('',#41790,#33690,#33691); #30544=AXIS2_PLACEMENT_3D('',#41795,#33693,#33694); #30545=AXIS2_PLACEMENT_3D('',#41799,#33696,#33697); #30546=AXIS2_PLACEMENT_3D('',#41803,#33699,#33700); #30547=AXIS2_PLACEMENT_3D('',#41807,#33702,#33703); #30548=AXIS2_PLACEMENT_3D('',#41811,#33705,#33706); #30549=AXIS2_PLACEMENT_3D('',#41815,#33708,#33709); #30550=AXIS2_PLACEMENT_3D('',#41819,#33711,#33712); #30551=AXIS2_PLACEMENT_3D('',#41823,#33714,#33715); #30552=AXIS2_PLACEMENT_3D('',#41827,#33717,#33718); #30553=AXIS2_PLACEMENT_3D('',#41831,#33720,#33721); #30554=AXIS2_PLACEMENT_3D('',#41835,#33723,#33724); #30555=AXIS2_PLACEMENT_3D('',#41839,#33726,#33727); #30556=AXIS2_PLACEMENT_3D('',#41843,#33729,#33730); #30557=AXIS2_PLACEMENT_3D('',#41847,#33732,#33733); #30558=AXIS2_PLACEMENT_3D('',#41851,#33735,#33736); #30559=AXIS2_PLACEMENT_3D('',#41855,#33738,#33739); #30560=AXIS2_PLACEMENT_3D('',#41859,#33741,#33742); #30561=AXIS2_PLACEMENT_3D('',#41863,#33744,#33745); #30562=AXIS2_PLACEMENT_3D('',#41867,#33747,#33748); #30563=AXIS2_PLACEMENT_3D('',#41871,#33750,#33751); #30564=AXIS2_PLACEMENT_3D('',#41875,#33753,#33754); #30565=AXIS2_PLACEMENT_3D('',#41879,#33756,#33757); #30566=AXIS2_PLACEMENT_3D('',#41883,#33759,#33760); #30567=AXIS2_PLACEMENT_3D('',#41886,#33762,#33763); #30568=AXIS2_PLACEMENT_3D('',#41888,#33764,#33765); #30569=AXIS2_PLACEMENT_3D('',#41889,#33766,#33767); #30570=AXIS2_PLACEMENT_3D('',#41895,#33771,#33772); #30571=AXIS2_PLACEMENT_3D('',#41898,#33773,#33774); #30572=AXIS2_PLACEMENT_3D('',#41901,#33777,#33778); #30573=AXIS2_PLACEMENT_3D('',#41904,#33779,#33780); #30574=AXIS2_PLACEMENT_3D('',#41907,#33783,#33784); #30575=AXIS2_PLACEMENT_3D('',#41910,#33785,#33786); #30576=AXIS2_PLACEMENT_3D('',#41913,#33789,#33790); #30577=AXIS2_PLACEMENT_3D('',#41916,#33791,#33792); #30578=AXIS2_PLACEMENT_3D('',#41919,#33795,#33796); #30579=AXIS2_PLACEMENT_3D('',#41922,#33797,#33798); #30580=AXIS2_PLACEMENT_3D('',#41925,#33801,#33802); #30581=AXIS2_PLACEMENT_3D('',#41928,#33803,#33804); #30582=AXIS2_PLACEMENT_3D('',#41931,#33807,#33808); #30583=AXIS2_PLACEMENT_3D('',#41934,#33809,#33810); #30584=AXIS2_PLACEMENT_3D('',#41937,#33813,#33814); #30585=AXIS2_PLACEMENT_3D('',#41940,#33815,#33816); #30586=AXIS2_PLACEMENT_3D('',#41943,#33819,#33820); #30587=AXIS2_PLACEMENT_3D('',#41947,#33822,#33823); #30588=AXIS2_PLACEMENT_3D('',#41949,#33825,#33826); #30589=AXIS2_PLACEMENT_3D('',#41953,#33828,#33829); #30590=AXIS2_PLACEMENT_3D('',#41955,#33831,#33832); #30591=AXIS2_PLACEMENT_3D('',#41957,#33833,#33834); #30592=AXIS2_PLACEMENT_3D('',#41959,#33836,#33837); #30593=AXIS2_PLACEMENT_3D('',#41964,#33840,#33841); #30594=AXIS2_PLACEMENT_3D('',#41965,#33842,#33843); #30595=AXIS2_PLACEMENT_3D('',#41967,#33844,#33845); #30596=AXIS2_PLACEMENT_3D('',#41972,#33848,#33849); #30597=AXIS2_PLACEMENT_3D('',#41977,#33852,#33853); #30598=AXIS2_PLACEMENT_3D('',#41982,#33856,#33857); #30599=AXIS2_PLACEMENT_3D('',#41987,#33860,#33861); #30600=AXIS2_PLACEMENT_3D('',#41992,#33864,#33865); #30601=AXIS2_PLACEMENT_3D('',#41997,#33868,#33869); #30602=AXIS2_PLACEMENT_3D('',#42002,#33872,#33873); #30603=AXIS2_PLACEMENT_3D('',#42007,#33876,#33877); #30604=AXIS2_PLACEMENT_3D('',#42012,#33880,#33881); #30605=AXIS2_PLACEMENT_3D('',#42017,#33884,#33885); #30606=AXIS2_PLACEMENT_3D('',#42022,#33888,#33889); #30607=AXIS2_PLACEMENT_3D('',#42026,#33891,#33892); #30608=AXIS2_PLACEMENT_3D('',#42030,#33894,#33895); #30609=AXIS2_PLACEMENT_3D('',#42034,#33897,#33898); #30610=AXIS2_PLACEMENT_3D('',#42038,#33900,#33901); #30611=AXIS2_PLACEMENT_3D('',#42041,#33903,#33904); #30612=AXIS2_PLACEMENT_3D('',#42042,#33905,#33906); #30613=AXIS2_PLACEMENT_3D('',#42151,#33961,#33962); #30614=AXIS2_PLACEMENT_3D('',#42170,#33972,#33973); #30615=AXIS2_PLACEMENT_3D('',#42279,#34028,#34029); #30616=AXIS2_PLACEMENT_3D('',#42296,#34038,#34039); #30617=AXIS2_PLACEMENT_3D('',#42321,#34052,#34053); #30618=AXIS2_PLACEMENT_3D('',#42348,#34067,#34068); #30619=AXIS2_PLACEMENT_3D('',#42397,#34093,#34094); #30620=AXIS2_PLACEMENT_3D('',#42410,#34101,#34102); #30621=AXIS2_PLACEMENT_3D('',#42433,#34114,#34115); #30622=AXIS2_PLACEMENT_3D('',#42542,#34170,#34171); #30623=AXIS2_PLACEMENT_3D('',#42559,#34180,#34181); #30624=AXIS2_PLACEMENT_3D('',#42561,#34183,#34184); #30625=AXIS2_PLACEMENT_3D('',#42563,#34186,#34187); #30626=AXIS2_PLACEMENT_3D('',#42564,#34188,#34189); #30627=AXIS2_PLACEMENT_3D('',#42566,#34191,#34192); #30628=AXIS2_PLACEMENT_3D('',#42568,#34194,#34195); #30629=AXIS2_PLACEMENT_3D('',#42569,#34196,#34197); #30630=AXIS2_PLACEMENT_3D('',#42571,#34199,#34200); #30631=AXIS2_PLACEMENT_3D('',#42573,#34202,#34203); #30632=AXIS2_PLACEMENT_3D('',#42574,#34204,#34205); #30633=AXIS2_PLACEMENT_3D('',#42576,#34207,#34208); #30634=AXIS2_PLACEMENT_3D('',#42578,#34210,#34211); #30635=AXIS2_PLACEMENT_3D('',#42579,#34212,#34213); #30636=AXIS2_PLACEMENT_3D('',#42581,#34215,#34216); #30637=AXIS2_PLACEMENT_3D('',#42583,#34218,#34219); #30638=AXIS2_PLACEMENT_3D('',#42584,#34220,#34221); #30639=AXIS2_PLACEMENT_3D('',#42586,#34223,#34224); #30640=AXIS2_PLACEMENT_3D('',#42588,#34226,#34227); #30641=AXIS2_PLACEMENT_3D('',#42589,#34228,#34229); #30642=AXIS2_PLACEMENT_3D('',#42591,#34231,#34232); #30643=AXIS2_PLACEMENT_3D('',#42593,#34234,#34235); #30644=AXIS2_PLACEMENT_3D('',#42594,#34236,#34237); #30645=AXIS2_PLACEMENT_3D('',#42596,#34239,#34240); #30646=AXIS2_PLACEMENT_3D('',#42598,#34242,#34243); #30647=AXIS2_PLACEMENT_3D('',#42599,#34244,#34245); #30648=AXIS2_PLACEMENT_3D('',#42601,#34247,#34248); #30649=AXIS2_PLACEMENT_3D('',#42603,#34250,#34251); #30650=AXIS2_PLACEMENT_3D('',#42604,#34252,#34253); #30651=AXIS2_PLACEMENT_3D('',#42606,#34255,#34256); #30652=AXIS2_PLACEMENT_3D('',#42608,#34258,#34259); #30653=AXIS2_PLACEMENT_3D('',#42609,#34260,#34261); #30654=AXIS2_PLACEMENT_3D('',#42611,#34263,#34264); #30655=AXIS2_PLACEMENT_3D('',#42613,#34266,#34267); #30656=AXIS2_PLACEMENT_3D('',#42614,#34268,#34269); #30657=AXIS2_PLACEMENT_3D('',#42616,#34271,#34272); #30658=AXIS2_PLACEMENT_3D('',#42617,#34273,#34274); #30659=AXIS2_PLACEMENT_3D('',#42621,#34276,#34277); #30660=AXIS2_PLACEMENT_3D('',#42623,#34279,#34280); #30661=AXIS2_PLACEMENT_3D('',#42627,#34283,#34284); #30662=AXIS2_PLACEMENT_3D('',#42629,#34285,#34286); #30663=AXIS2_PLACEMENT_3D('',#42631,#34288,#34289); #30664=AXIS2_PLACEMENT_3D('',#42635,#34292,#34293); #30665=AXIS2_PLACEMENT_3D('',#42637,#34294,#34295); #30666=AXIS2_PLACEMENT_3D('',#42639,#34297,#34298); #30667=AXIS2_PLACEMENT_3D('',#42643,#34301,#34302); #30668=AXIS2_PLACEMENT_3D('',#42645,#34303,#34304); #30669=AXIS2_PLACEMENT_3D('',#42647,#34306,#34307); #30670=AXIS2_PLACEMENT_3D('',#42649,#34309,#34310); #30671=AXIS2_PLACEMENT_3D('',#43130,#34551,#34552); #30672=AXIS2_PLACEMENT_3D('',#43151,#34563,#34564); #30673=AXIS2_PLACEMENT_3D('',#43158,#34568,#34569); #30674=AXIS2_PLACEMENT_3D('',#43161,#34572,#34573); #30675=AXIS2_PLACEMENT_3D('',#43163,#34575,#34576); #30676=AXIS2_PLACEMENT_3D('',#43165,#34578,#34579); #30677=AXIS2_PLACEMENT_3D('',#43167,#34581,#34582); #30678=AXIS2_PLACEMENT_3D('',#43169,#34584,#34585); #30679=AXIS2_PLACEMENT_3D('',#43171,#34587,#34588); #30680=AXIS2_PLACEMENT_3D('',#43173,#34590,#34591); #30681=AXIS2_PLACEMENT_3D('',#43175,#34593,#34594); #30682=AXIS2_PLACEMENT_3D('',#43177,#34596,#34597); #30683=AXIS2_PLACEMENT_3D('',#43179,#34599,#34600); #30684=AXIS2_PLACEMENT_3D('',#43181,#34602,#34603); #30685=AXIS2_PLACEMENT_3D('',#43183,#34605,#34606); #30686=AXIS2_PLACEMENT_3D('',#43185,#34608,#34609); #30687=AXIS2_PLACEMENT_3D('',#43187,#34611,#34612); #30688=AXIS2_PLACEMENT_3D('',#43189,#34614,#34615); #30689=AXIS2_PLACEMENT_3D('',#43191,#34617,#34618); #30690=AXIS2_PLACEMENT_3D('',#43193,#34620,#34621); #30691=AXIS2_PLACEMENT_3D('',#43195,#34623,#34624); #30692=AXIS2_PLACEMENT_3D('',#43197,#34626,#34627); #30693=AXIS2_PLACEMENT_3D('',#43199,#34629,#34630); #30694=AXIS2_PLACEMENT_3D('',#43201,#34632,#34633); #30695=AXIS2_PLACEMENT_3D('',#43203,#34635,#34636); #30696=AXIS2_PLACEMENT_3D('',#43205,#34638,#34639); #30697=AXIS2_PLACEMENT_3D('',#43207,#34641,#34642); #30698=AXIS2_PLACEMENT_3D('',#43209,#34644,#34645); #30699=AXIS2_PLACEMENT_3D('',#43211,#34647,#34648); #30700=AXIS2_PLACEMENT_3D('',#43213,#34650,#34651); #30701=AXIS2_PLACEMENT_3D('',#43215,#34653,#34654); #30702=AXIS2_PLACEMENT_3D('',#43217,#34656,#34657); #30703=AXIS2_PLACEMENT_3D('',#43219,#34659,#34660); #30704=AXIS2_PLACEMENT_3D('',#43221,#34662,#34663); #30705=AXIS2_PLACEMENT_3D('',#43223,#34665,#34666); #30706=AXIS2_PLACEMENT_3D('',#43225,#34668,#34669); #30707=AXIS2_PLACEMENT_3D('',#43227,#34671,#34672); #30708=AXIS2_PLACEMENT_3D('',#43229,#34674,#34675); #30709=AXIS2_PLACEMENT_3D('',#43231,#34677,#34678); #30710=AXIS2_PLACEMENT_3D('',#43233,#34680,#34681); #30711=AXIS2_PLACEMENT_3D('',#43235,#34683,#34684); #30712=AXIS2_PLACEMENT_3D('',#43237,#34686,#34687); #30713=AXIS2_PLACEMENT_3D('',#43239,#34689,#34690); #30714=AXIS2_PLACEMENT_3D('',#43241,#34692,#34693); #30715=AXIS2_PLACEMENT_3D('',#43243,#34695,#34696); #30716=AXIS2_PLACEMENT_3D('',#43245,#34698,#34699); #30717=AXIS2_PLACEMENT_3D('',#43247,#34701,#34702); #30718=AXIS2_PLACEMENT_3D('',#43249,#34704,#34705); #30719=AXIS2_PLACEMENT_3D('',#43251,#34707,#34708); #30720=AXIS2_PLACEMENT_3D('',#43253,#34710,#34711); #30721=AXIS2_PLACEMENT_3D('',#43255,#34713,#34714); #30722=AXIS2_PLACEMENT_3D('',#43257,#34716,#34717); #30723=AXIS2_PLACEMENT_3D('',#43259,#34719,#34720); #30724=AXIS2_PLACEMENT_3D('',#43261,#34722,#34723); #30725=AXIS2_PLACEMENT_3D('',#43263,#34725,#34726); #30726=AXIS2_PLACEMENT_3D('',#43265,#34728,#34729); #30727=AXIS2_PLACEMENT_3D('',#43266,#34730,#34731); #30728=AXIS2_PLACEMENT_3D('',#43269,#34734,#34735); #30729=AXIS2_PLACEMENT_3D('',#43271,#34737,#34738); #30730=AXIS2_PLACEMENT_3D('',#43273,#34740,#34741); #30731=AXIS2_PLACEMENT_3D('',#43275,#34743,#34744); #30732=AXIS2_PLACEMENT_3D('',#43277,#34746,#34747); #30733=AXIS2_PLACEMENT_3D('',#43279,#34749,#34750); #30734=AXIS2_PLACEMENT_3D('',#43281,#34752,#34753); #30735=AXIS2_PLACEMENT_3D('',#43283,#34755,#34756); #30736=AXIS2_PLACEMENT_3D('',#43284,#34757,#34758); #30737=AXIS2_PLACEMENT_3D('',#43287,#34761,#34762); #30738=AXIS2_PLACEMENT_3D('',#43289,#34764,#34765); #30739=AXIS2_PLACEMENT_3D('',#43291,#34767,#34768); #30740=AXIS2_PLACEMENT_3D('',#43293,#34770,#34771); #30741=AXIS2_PLACEMENT_3D('',#43295,#34773,#34774); #30742=AXIS2_PLACEMENT_3D('',#43297,#34776,#34777); #30743=AXIS2_PLACEMENT_3D('',#43299,#34779,#34780); #30744=AXIS2_PLACEMENT_3D('',#43301,#34782,#34783); #30745=AXIS2_PLACEMENT_3D('',#43303,#34785,#34786); #30746=AXIS2_PLACEMENT_3D('',#43305,#34788,#34789); #30747=AXIS2_PLACEMENT_3D('',#43307,#34791,#34792); #30748=AXIS2_PLACEMENT_3D('',#43309,#34794,#34795); #30749=AXIS2_PLACEMENT_3D('',#43311,#34797,#34798); #30750=AXIS2_PLACEMENT_3D('',#43313,#34800,#34801); #30751=AXIS2_PLACEMENT_3D('',#43315,#34803,#34804); #30752=AXIS2_PLACEMENT_3D('',#43317,#34806,#34807); #30753=AXIS2_PLACEMENT_3D('',#43319,#34809,#34810); #30754=AXIS2_PLACEMENT_3D('',#43321,#34812,#34813); #30755=AXIS2_PLACEMENT_3D('',#43323,#34815,#34816); #30756=AXIS2_PLACEMENT_3D('',#43325,#34818,#34819); #30757=AXIS2_PLACEMENT_3D('',#43327,#34821,#34822); #30758=AXIS2_PLACEMENT_3D('',#43329,#34824,#34825); #30759=AXIS2_PLACEMENT_3D('',#43331,#34827,#34828); #30760=AXIS2_PLACEMENT_3D('',#43333,#34830,#34831); #30761=AXIS2_PLACEMENT_3D('',#43335,#34833,#34834); #30762=AXIS2_PLACEMENT_3D('',#43337,#34836,#34837); #30763=AXIS2_PLACEMENT_3D('',#43339,#34839,#34840); #30764=AXIS2_PLACEMENT_3D('',#43341,#34842,#34843); #30765=AXIS2_PLACEMENT_3D('',#43343,#34845,#34846); #30766=AXIS2_PLACEMENT_3D('',#43345,#34848,#34849); #30767=AXIS2_PLACEMENT_3D('',#43347,#34851,#34852); #30768=AXIS2_PLACEMENT_3D('',#43349,#34854,#34855); #30769=AXIS2_PLACEMENT_3D('',#43351,#34857,#34858); #30770=AXIS2_PLACEMENT_3D('',#43353,#34860,#34861); #30771=AXIS2_PLACEMENT_3D('',#43355,#34863,#34864); #30772=AXIS2_PLACEMENT_3D('',#43357,#34866,#34867); #30773=AXIS2_PLACEMENT_3D('',#43359,#34869,#34870); #30774=AXIS2_PLACEMENT_3D('',#43361,#34872,#34873); #30775=AXIS2_PLACEMENT_3D('',#43363,#34875,#34876); #30776=AXIS2_PLACEMENT_3D('',#43365,#34878,#34879); #30777=AXIS2_PLACEMENT_3D('',#43367,#34881,#34882); #30778=AXIS2_PLACEMENT_3D('',#43369,#34884,#34885); #30779=AXIS2_PLACEMENT_3D('',#43371,#34887,#34888); #30780=AXIS2_PLACEMENT_3D('',#43373,#34890,#34891); #30781=AXIS2_PLACEMENT_3D('',#43375,#34893,#34894); #30782=AXIS2_PLACEMENT_3D('',#43377,#34896,#34897); #30783=AXIS2_PLACEMENT_3D('',#43379,#34899,#34900); #30784=AXIS2_PLACEMENT_3D('',#43381,#34902,#34903); #30785=AXIS2_PLACEMENT_3D('',#43383,#34905,#34906); #30786=AXIS2_PLACEMENT_3D('',#43385,#34908,#34909); #30787=AXIS2_PLACEMENT_3D('',#43387,#34911,#34912); #30788=AXIS2_PLACEMENT_3D('',#43389,#34914,#34915); #30789=AXIS2_PLACEMENT_3D('',#43391,#34917,#34918); #30790=AXIS2_PLACEMENT_3D('',#43392,#34919,#34920); #30791=AXIS2_PLACEMENT_3D('',#43395,#34923,#34924); #30792=AXIS2_PLACEMENT_3D('',#43397,#34926,#34927); #30793=AXIS2_PLACEMENT_3D('',#43399,#34929,#34930); #30794=AXIS2_PLACEMENT_3D('',#43401,#34932,#34933); #30795=AXIS2_PLACEMENT_3D('',#43403,#34935,#34936); #30796=AXIS2_PLACEMENT_3D('',#43405,#34938,#34939); #30797=AXIS2_PLACEMENT_3D('',#43407,#34941,#34942); #30798=AXIS2_PLACEMENT_3D('',#43408,#34943,#34944); #30799=AXIS2_PLACEMENT_3D('',#43411,#34947,#34948); #30800=AXIS2_PLACEMENT_3D('',#43413,#34950,#34951); #30801=AXIS2_PLACEMENT_3D('',#43415,#34953,#34954); #30802=AXIS2_PLACEMENT_3D('',#43417,#34956,#34957); #30803=AXIS2_PLACEMENT_3D('',#43419,#34959,#34960); #30804=AXIS2_PLACEMENT_3D('',#43421,#34962,#34963); #30805=AXIS2_PLACEMENT_3D('',#43423,#34965,#34966); #30806=AXIS2_PLACEMENT_3D('',#43425,#34968,#34969); #30807=AXIS2_PLACEMENT_3D('',#43427,#34971,#34972); #30808=AXIS2_PLACEMENT_3D('',#43429,#34974,#34975); #30809=AXIS2_PLACEMENT_3D('',#43431,#34977,#34978); #30810=AXIS2_PLACEMENT_3D('',#43432,#34979,#34980); #30811=AXIS2_PLACEMENT_3D('',#43435,#34983,#34984); #30812=AXIS2_PLACEMENT_3D('',#43437,#34986,#34987); #30813=AXIS2_PLACEMENT_3D('',#43439,#34989,#34990); #30814=AXIS2_PLACEMENT_3D('',#43441,#34992,#34993); #30815=AXIS2_PLACEMENT_3D('',#43443,#34995,#34996); #30816=AXIS2_PLACEMENT_3D('',#43445,#34998,#34999); #30817=AXIS2_PLACEMENT_3D('',#43447,#35001,#35002); #30818=AXIS2_PLACEMENT_3D('',#43449,#35004,#35005); #30819=AXIS2_PLACEMENT_3D('',#43451,#35007,#35008); #30820=AXIS2_PLACEMENT_3D('',#43453,#35010,#35011); #30821=AXIS2_PLACEMENT_3D('',#43455,#35013,#35014); #30822=AXIS2_PLACEMENT_3D('',#43457,#35016,#35017); #30823=AXIS2_PLACEMENT_3D('',#43458,#35018,#35019); #30824=AXIS2_PLACEMENT_3D('',#43461,#35022,#35023); #30825=AXIS2_PLACEMENT_3D('',#43463,#35025,#35026); #30826=AXIS2_PLACEMENT_3D('',#43465,#35028,#35029); #30827=AXIS2_PLACEMENT_3D('',#43467,#35031,#35032); #30828=AXIS2_PLACEMENT_3D('',#43469,#35034,#35035); #30829=AXIS2_PLACEMENT_3D('',#43471,#35037,#35038); #30830=AXIS2_PLACEMENT_3D('',#43473,#35040,#35041); #30831=AXIS2_PLACEMENT_3D('',#43475,#35043,#35044); #30832=AXIS2_PLACEMENT_3D('',#43477,#35046,#35047); #30833=AXIS2_PLACEMENT_3D('',#43479,#35049,#35050); #30834=AXIS2_PLACEMENT_3D('',#43481,#35052,#35053); #30835=AXIS2_PLACEMENT_3D('',#43483,#35055,#35056); #30836=AXIS2_PLACEMENT_3D('',#43485,#35058,#35059); #30837=AXIS2_PLACEMENT_3D('',#43486,#35060,#35061); #30838=AXIS2_PLACEMENT_3D('',#43489,#35064,#35065); #30839=AXIS2_PLACEMENT_3D('',#43491,#35067,#35068); #30840=AXIS2_PLACEMENT_3D('',#43493,#35070,#35071); #30841=AXIS2_PLACEMENT_3D('',#43495,#35073,#35074); #30842=AXIS2_PLACEMENT_3D('',#43497,#35076,#35077); #30843=AXIS2_PLACEMENT_3D('',#43499,#35079,#35080); #30844=AXIS2_PLACEMENT_3D('',#43501,#35082,#35083); #30845=AXIS2_PLACEMENT_3D('',#43503,#35085,#35086); #30846=AXIS2_PLACEMENT_3D('',#43505,#35088,#35089); #30847=AXIS2_PLACEMENT_3D('',#43506,#35090,#35091); #30848=AXIS2_PLACEMENT_3D('',#43509,#35094,#35095); #30849=AXIS2_PLACEMENT_3D('',#43511,#35097,#35098); #30850=AXIS2_PLACEMENT_3D('',#43513,#35100,#35101); #30851=AXIS2_PLACEMENT_3D('',#43515,#35103,#35104); #30852=AXIS2_PLACEMENT_3D('',#43517,#35106,#35107); #30853=AXIS2_PLACEMENT_3D('',#43518,#35108,#35109); #30854=AXIS2_PLACEMENT_3D('',#43521,#35112,#35113); #30855=AXIS2_PLACEMENT_3D('',#43523,#35115,#35116); #30856=AXIS2_PLACEMENT_3D('',#43525,#35118,#35119); #30857=AXIS2_PLACEMENT_3D('',#43527,#35121,#35122); #30858=AXIS2_PLACEMENT_3D('',#43529,#35124,#35125); #30859=AXIS2_PLACEMENT_3D('',#43531,#35127,#35128); #30860=AXIS2_PLACEMENT_3D('',#43533,#35130,#35131); #30861=AXIS2_PLACEMENT_3D('',#43534,#35132,#35133); #30862=AXIS2_PLACEMENT_3D('',#43537,#35136,#35137); #30863=AXIS2_PLACEMENT_3D('',#43539,#35139,#35140); #30864=AXIS2_PLACEMENT_3D('',#43540,#35141,#35142); #30865=AXIS2_PLACEMENT_3D('',#43543,#35145,#35146); #30866=AXIS2_PLACEMENT_3D('',#43545,#35148,#35149); #30867=AXIS2_PLACEMENT_3D('',#43547,#35151,#35152); #30868=AXIS2_PLACEMENT_3D('',#43549,#35154,#35155); #30869=AXIS2_PLACEMENT_3D('',#43551,#35157,#35158); #30870=AXIS2_PLACEMENT_3D('',#43553,#35160,#35161); #30871=AXIS2_PLACEMENT_3D('',#43555,#35163,#35164); #30872=AXIS2_PLACEMENT_3D('',#43557,#35166,#35167); #30873=AXIS2_PLACEMENT_3D('',#43559,#35169,#35170); #30874=AXIS2_PLACEMENT_3D('',#43561,#35172,#35173); #30875=AXIS2_PLACEMENT_3D('',#43563,#35175,#35176); #30876=AXIS2_PLACEMENT_3D('',#43565,#35178,#35179); #30877=AXIS2_PLACEMENT_3D('',#43567,#35181,#35182); #30878=AXIS2_PLACEMENT_3D('',#43569,#35184,#35185); #30879=AXIS2_PLACEMENT_3D('',#43571,#35187,#35188); #30880=AXIS2_PLACEMENT_3D('',#43573,#35190,#35191); #30881=AXIS2_PLACEMENT_3D('',#43575,#35193,#35194); #30882=AXIS2_PLACEMENT_3D('',#43577,#35196,#35197); #30883=AXIS2_PLACEMENT_3D('',#43579,#35199,#35200); #30884=AXIS2_PLACEMENT_3D('',#43581,#35202,#35203); #30885=AXIS2_PLACEMENT_3D('',#43583,#35205,#35206); #30886=AXIS2_PLACEMENT_3D('',#43585,#35208,#35209); #30887=AXIS2_PLACEMENT_3D('',#43587,#35211,#35212); #30888=AXIS2_PLACEMENT_3D('',#43589,#35214,#35215); #30889=AXIS2_PLACEMENT_3D('',#43591,#35217,#35218); #30890=AXIS2_PLACEMENT_3D('',#43593,#35220,#35221); #30891=AXIS2_PLACEMENT_3D('',#43595,#35223,#35224); #30892=AXIS2_PLACEMENT_3D('',#43597,#35226,#35227); #30893=AXIS2_PLACEMENT_3D('',#43599,#35229,#35230); #30894=AXIS2_PLACEMENT_3D('',#43601,#35232,#35233); #30895=AXIS2_PLACEMENT_3D('',#43603,#35235,#35236); #30896=AXIS2_PLACEMENT_3D('',#43605,#35238,#35239); #30897=AXIS2_PLACEMENT_3D('',#43607,#35241,#35242); #30898=AXIS2_PLACEMENT_3D('',#43609,#35244,#35245); #30899=AXIS2_PLACEMENT_3D('',#43611,#35247,#35248); #30900=AXIS2_PLACEMENT_3D('',#43613,#35250,#35251); #30901=AXIS2_PLACEMENT_3D('',#43615,#35253,#35254); #30902=AXIS2_PLACEMENT_3D('',#43617,#35256,#35257); #30903=AXIS2_PLACEMENT_3D('',#43619,#35259,#35260); #30904=AXIS2_PLACEMENT_3D('',#43621,#35262,#35263); #30905=AXIS2_PLACEMENT_3D('',#43623,#35265,#35266); #30906=AXIS2_PLACEMENT_3D('',#43625,#35268,#35269); #30907=AXIS2_PLACEMENT_3D('',#43627,#35271,#35272); #30908=AXIS2_PLACEMENT_3D('',#43629,#35274,#35275); #30909=AXIS2_PLACEMENT_3D('',#43631,#35277,#35278); #30910=AXIS2_PLACEMENT_3D('',#43633,#35280,#35281); #30911=AXIS2_PLACEMENT_3D('',#43635,#35283,#35284); #30912=AXIS2_PLACEMENT_3D('',#43637,#35286,#35287); #30913=AXIS2_PLACEMENT_3D('',#43639,#35289,#35290); #30914=AXIS2_PLACEMENT_3D('',#43641,#35292,#35293); #30915=AXIS2_PLACEMENT_3D('',#43643,#35295,#35296); #30916=AXIS2_PLACEMENT_3D('',#43645,#35298,#35299); #30917=AXIS2_PLACEMENT_3D('',#43647,#35301,#35302); #30918=AXIS2_PLACEMENT_3D('',#43648,#35303,#35304); #30919=AXIS2_PLACEMENT_3D('',#43651,#35307,#35308); #30920=AXIS2_PLACEMENT_3D('',#43653,#35310,#35311); #30921=AXIS2_PLACEMENT_3D('',#43655,#35313,#35314); #30922=AXIS2_PLACEMENT_3D('',#43657,#35316,#35317); #30923=AXIS2_PLACEMENT_3D('',#43659,#35319,#35320); #30924=AXIS2_PLACEMENT_3D('',#43661,#35322,#35323); #30925=AXIS2_PLACEMENT_3D('',#43663,#35325,#35326); #30926=AXIS2_PLACEMENT_3D('',#43664,#35327,#35328); #30927=AXIS2_PLACEMENT_3D('',#43667,#35329,#35330); #30928=AXIS2_PLACEMENT_3D('',#43668,#35331,#35332); #30929=AXIS2_PLACEMENT_3D('',#43669,#35333,#35334); #30930=AXIS2_PLACEMENT_3D('',#43670,#35335,#35336); #30931=AXIS2_PLACEMENT_3D('',#43673,#35337,#35338); #30932=AXIS2_PLACEMENT_3D('',#43674,#35339,#35340); #30933=AXIS2_PLACEMENT_3D('',#43678,#35342,#35343); #30934=AXIS2_PLACEMENT_3D('',#43679,#35344,#35345); #30935=AXIS2_PLACEMENT_3D('',#43680,#35346,#35347); #30936=AXIS2_PLACEMENT_3D('',#43683,#35348,#35349); #30937=AXIS2_PLACEMENT_3D('',#43685,#35351,#35352); #30938=AXIS2_PLACEMENT_3D('',#43686,#35353,#35354); #30939=AXIS2_PLACEMENT_3D('',#43688,#35355,#35356); #30940=AXIS2_PLACEMENT_3D('',#43689,#35357,#35358); #30941=AXIS2_PLACEMENT_3D('',#43690,#35359,#35360); #30942=AXIS2_PLACEMENT_3D('',#43693,#35361,#35362); #30943=AXIS2_PLACEMENT_3D('',#43699,#35366,#35367); #30944=AXIS2_PLACEMENT_3D('',#43743,#35368,#35369); #30945=AXIS2_PLACEMENT_3D('',#43753,#35373,#35374); #30946=AXIS2_PLACEMENT_3D('',#43755,#35375,#35376); #30947=AXIS2_PLACEMENT_3D('',#43795,#35377,#35378); #30948=AXIS2_PLACEMENT_3D('',#43797,#35379,#35380); #30949=AXIS2_PLACEMENT_3D('',#43801,#35383,#35384); #30950=AXIS2_PLACEMENT_3D('',#43803,#35386,#35387); #30951=AXIS2_PLACEMENT_3D('',#43805,#35389,#35390); #30952=AXIS2_PLACEMENT_3D('',#43806,#35391,#35392); #30953=AXIS2_PLACEMENT_3D('',#43807,#35393,#35394); #30954=AXIS2_PLACEMENT_3D('',#43808,#35395,#35396); #30955=AXIS2_PLACEMENT_3D('',#43809,#35397,#35398); #30956=AXIS2_PLACEMENT_3D('placement',#43810,#35399,#35400); #30957=AXIS2_PLACEMENT_3D('',#43811,#35401,#35402); #30958=AXIS2_PLACEMENT_3D('',#43820,#35407,#35408); #30959=AXIS2_PLACEMENT_3D('',#43822,#35409,#35410); #30960=AXIS2_PLACEMENT_3D('',#43825,#35412,#35413); #30961=AXIS2_PLACEMENT_3D('',#43826,#35414,#35415); #30962=AXIS2_PLACEMENT_3D('',#43832,#35419,#35420); #30963=AXIS2_PLACEMENT_3D('',#43833,#35421,#35422); #30964=AXIS2_PLACEMENT_3D('',#43834,#35423,#35424); #30965=AXIS2_PLACEMENT_3D('',#43835,#35425,#35426); #30966=AXIS2_PLACEMENT_3D('',#43836,#35427,#35428); #30967=AXIS2_PLACEMENT_3D('placement',#43837,#35429,#35430); #30968=AXIS2_PLACEMENT_3D('',#43838,#35431,#35432); #30969=AXIS2_PLACEMENT_3D('',#43840,#35433,#35434); #30970=AXIS2_PLACEMENT_3D('',#43843,#35436,#35437); #30971=AXIS2_PLACEMENT_3D('',#43845,#35438,#35439); #30972=AXIS2_PLACEMENT_3D('',#43847,#35441,#35442); #30973=AXIS2_PLACEMENT_3D('',#43849,#35443,#35444); #30974=AXIS2_PLACEMENT_3D('',#43852,#35446,#35447); #30975=AXIS2_PLACEMENT_3D('',#43853,#35448,#35449); #30976=AXIS2_PLACEMENT_3D('',#43855,#35450,#35451); #30977=AXIS2_PLACEMENT_3D('',#43905,#35455,#35456); #30978=AXIS2_PLACEMENT_3D('',#43906,#35457,#35458); #30979=AXIS2_PLACEMENT_3D('',#43908,#35459,#35460); #30980=AXIS2_PLACEMENT_3D('',#43911,#35462,#35463); #30981=AXIS2_PLACEMENT_3D('',#43912,#35464,#35465); #30982=AXIS2_PLACEMENT_3D('',#43914,#35466,#35467); #30983=AXIS2_PLACEMENT_3D('',#43916,#35469,#35470); #30984=AXIS2_PLACEMENT_3D('',#43917,#35471,#35472); #30985=AXIS2_PLACEMENT_3D('',#43919,#35473,#35474); #30986=AXIS2_PLACEMENT_3D('',#43922,#35476,#35477); #30987=AXIS2_PLACEMENT_3D('',#43923,#35478,#35479); #30988=AXIS2_PLACEMENT_3D('',#43925,#35480,#35481); #30989=AXIS2_PLACEMENT_3D('',#43927,#35483,#35484); #30990=AXIS2_PLACEMENT_3D('',#43928,#35485,#35486); #30991=AXIS2_PLACEMENT_3D('',#43931,#35487,#35488); #30992=AXIS2_PLACEMENT_3D('',#43935,#35490,#35491); #30993=AXIS2_PLACEMENT_3D('',#43937,#35493,#35494); #30994=AXIS2_PLACEMENT_3D('',#43940,#35497,#35498); #30995=AXIS2_PLACEMENT_3D('',#43942,#35500,#35501); #30996=AXIS2_PLACEMENT_3D('',#43944,#35503,#35504); #30997=AXIS2_PLACEMENT_3D('',#43945,#35505,#35506); #30998=AXIS2_PLACEMENT_3D('',#43948,#35507,#35508); #30999=AXIS2_PLACEMENT_3D('',#43974,#35511,#35512); #31000=AXIS2_PLACEMENT_3D('',#43980,#35516,#35517); #31001=AXIS2_PLACEMENT_3D('',#43983,#35519,#35520); #31002=AXIS2_PLACEMENT_3D('',#43984,#35521,#35522); #31003=AXIS2_PLACEMENT_3D('',#44008,#35524,#35525); #31004=AXIS2_PLACEMENT_3D('',#44010,#35527,#35528); #31005=AXIS2_PLACEMENT_3D('',#44012,#35529,#35530); #31006=AXIS2_PLACEMENT_3D('',#44015,#35532,#35533); #31007=AXIS2_PLACEMENT_3D('',#44016,#35534,#35535); #31008=AXIS2_PLACEMENT_3D('',#44018,#35536,#35537); #31009=AXIS2_PLACEMENT_3D('',#44019,#35538,#35539); #31010=AXIS2_PLACEMENT_3D('',#44021,#35540,#35541); #31011=AXIS2_PLACEMENT_3D('',#44022,#35542,#35543); #31012=AXIS2_PLACEMENT_3D('',#44024,#35544,#35545); #31013=AXIS2_PLACEMENT_3D('',#44026,#35547,#35548); #31014=AXIS2_PLACEMENT_3D('',#44028,#35549,#35550); #31015=AXIS2_PLACEMENT_3D('',#44031,#35552,#35553); #31016=AXIS2_PLACEMENT_3D('',#44032,#35554,#35555); #31017=AXIS2_PLACEMENT_3D('',#44034,#35556,#35557); #31018=AXIS2_PLACEMENT_3D('',#44035,#35558,#35559); #31019=AXIS2_PLACEMENT_3D('',#44037,#35560,#35561); #31020=AXIS2_PLACEMENT_3D('',#44038,#35562,#35563); #31021=AXIS2_PLACEMENT_3D('',#44040,#35565,#35566); #31022=AXIS2_PLACEMENT_3D('',#44042,#35567,#35568); #31023=AXIS2_PLACEMENT_3D('',#44043,#35569,#35570); #31024=AXIS2_PLACEMENT_3D('',#44044,#35571,#35572); #31025=AXIS2_PLACEMENT_3D('placement',#44046,#35574,#35575); #31026=AXIS2_PLACEMENT_3D('',#44047,#35576,#35577); #31027=AXIS2_PLACEMENT_3D('',#44049,#35578,#35579); #31028=AXIS2_PLACEMENT_3D('',#44051,#35580,#35581); #31029=AXIS2_PLACEMENT_3D('',#44053,#35582,#35583); #31030=AXIS2_PLACEMENT_3D('',#44054,#35584,#35585); #31031=AXIS2_PLACEMENT_3D('',#44055,#35586,#35587); #31032=AXIS2_PLACEMENT_3D('',#44058,#35588,#35589); #31033=AXIS2_PLACEMENT_3D('',#44059,#35590,#35591); #31034=AXIS2_PLACEMENT_3D('',#44061,#35593,#35594); #31035=AXIS2_PLACEMENT_3D('',#44063,#35595,#35596); #31036=AXIS2_PLACEMENT_3D('',#44064,#35597,#35598); #31037=AXIS2_PLACEMENT_3D('',#44066,#35599,#35600); #31038=AXIS2_PLACEMENT_3D('',#44068,#35602,#35603); #31039=AXIS2_PLACEMENT_3D('',#44069,#35604,#35605); #31040=AXIS2_PLACEMENT_3D('',#44072,#35606,#35607); #31041=AXIS2_PLACEMENT_3D('',#44073,#35608,#35609); #31042=AXIS2_PLACEMENT_3D('',#44075,#35610,#35611); #31043=AXIS2_PLACEMENT_3D('',#44076,#35612,#35613); #31044=AXIS2_PLACEMENT_3D('',#44077,#35614,#35615); #31045=AXIS2_PLACEMENT_3D('',#44090,#35622,#35623); #31046=AXIS2_PLACEMENT_3D('',#44092,#35625,#35626); #31047=AXIS2_PLACEMENT_3D('',#44100,#35629,#35630); #31048=AXIS2_PLACEMENT_3D('',#44106,#35632,#35633); #31049=AXIS2_PLACEMENT_3D('',#44112,#35635,#35636); #31050=AXIS2_PLACEMENT_3D('',#44118,#35638,#35639); #31051=AXIS2_PLACEMENT_3D('',#44128,#35641,#35642); #31052=AXIS2_PLACEMENT_3D('',#44132,#35643,#35644); #31053=AXIS2_PLACEMENT_3D('placement',#44135,#35646,#35647); #31054=AXIS2_PLACEMENT_3D('',#44136,#35648,#35649); #31055=AXIS2_PLACEMENT_3D('',#44138,#35650,#35651); #31056=AXIS2_PLACEMENT_3D('',#44140,#35652,#35653); #31057=AXIS2_PLACEMENT_3D('',#44142,#35654,#35655); #31058=AXIS2_PLACEMENT_3D('',#44143,#35656,#35657); #31059=AXIS2_PLACEMENT_3D('',#44144,#35658,#35659); #31060=AXIS2_PLACEMENT_3D('',#44147,#35660,#35661); #31061=AXIS2_PLACEMENT_3D('',#44148,#35662,#35663); #31062=AXIS2_PLACEMENT_3D('',#44150,#35665,#35666); #31063=AXIS2_PLACEMENT_3D('',#44152,#35667,#35668); #31064=AXIS2_PLACEMENT_3D('',#44153,#35669,#35670); #31065=AXIS2_PLACEMENT_3D('',#44155,#35671,#35672); #31066=AXIS2_PLACEMENT_3D('',#44157,#35674,#35675); #31067=AXIS2_PLACEMENT_3D('',#44158,#35676,#35677); #31068=AXIS2_PLACEMENT_3D('',#44161,#35678,#35679); #31069=AXIS2_PLACEMENT_3D('',#44162,#35680,#35681); #31070=AXIS2_PLACEMENT_3D('',#44164,#35682,#35683); #31071=AXIS2_PLACEMENT_3D('',#44165,#35684,#35685); #31072=AXIS2_PLACEMENT_3D('',#44166,#35686,#35687); #31073=AXIS2_PLACEMENT_3D('',#44179,#35694,#35695); #31074=AXIS2_PLACEMENT_3D('',#44181,#35697,#35698); #31075=AXIS2_PLACEMENT_3D('',#44189,#35701,#35702); #31076=AXIS2_PLACEMENT_3D('',#44195,#35704,#35705); #31077=AXIS2_PLACEMENT_3D('',#44201,#35707,#35708); #31078=AXIS2_PLACEMENT_3D('',#44207,#35710,#35711); #31079=AXIS2_PLACEMENT_3D('',#44217,#35713,#35714); #31080=AXIS2_PLACEMENT_3D('',#44221,#35715,#35716); #31081=AXIS2_PLACEMENT_3D('placement',#44224,#35718,#35719); #31082=AXIS2_PLACEMENT_3D('',#44225,#35720,#35721); #31083=AXIS2_PLACEMENT_3D('',#44227,#35722,#35723); #31084=AXIS2_PLACEMENT_3D('',#44230,#35725,#35726); #31085=AXIS2_PLACEMENT_3D('',#44231,#35727,#35728); #31086=AXIS2_PLACEMENT_3D('',#44233,#35729,#35730); #31087=AXIS2_PLACEMENT_3D('',#44236,#35732,#35733); #31088=AXIS2_PLACEMENT_3D('',#44237,#35734,#35735); #31089=AXIS2_PLACEMENT_3D('',#44266,#35750,#35751); #31090=AXIS2_PLACEMENT_3D('',#44272,#35755,#35756); #31091=AXIS2_PLACEMENT_3D('',#44276,#35759,#35760); #31092=AXIS2_PLACEMENT_3D('',#44280,#35763,#35764); #31093=AXIS2_PLACEMENT_3D('',#44284,#35767,#35768); #31094=AXIS2_PLACEMENT_3D('',#44288,#35771,#35772); #31095=AXIS2_PLACEMENT_3D('',#44292,#35775,#35776); #31096=AXIS2_PLACEMENT_3D('',#44296,#35779,#35780); #31097=AXIS2_PLACEMENT_3D('',#44300,#35783,#35784); #31098=AXIS2_PLACEMENT_3D('',#44304,#35787,#35788); #31099=AXIS2_PLACEMENT_3D('',#44308,#35791,#35792); #31100=AXIS2_PLACEMENT_3D('',#44312,#35795,#35796); #31101=AXIS2_PLACEMENT_3D('',#44316,#35799,#35800); #31102=AXIS2_PLACEMENT_3D('',#44320,#35803,#35804); #31103=AXIS2_PLACEMENT_3D('',#44322,#35806,#35807); #31104=AXIS2_PLACEMENT_3D('placement',#44323,#35808,#35809); #31105=AXIS2_PLACEMENT_3D('',#44324,#35810,#35811); #31106=AXIS2_PLACEMENT_3D('',#44326,#35812,#35813); #31107=AXIS2_PLACEMENT_3D('',#44328,#35814,#35815); #31108=AXIS2_PLACEMENT_3D('',#44329,#35816,#35817); #31109=AXIS2_PLACEMENT_3D('',#44331,#35818,#35819); #31110=AXIS2_PLACEMENT_3D('',#44333,#35821,#35822); #31111=AXIS2_PLACEMENT_3D('',#44336,#35824,#35825); #31112=AXIS2_PLACEMENT_3D('',#44337,#35826,#35827); #31113=AXIS2_PLACEMENT_3D('',#44339,#35828,#35829); #31114=AXIS2_PLACEMENT_3D('',#44341,#35830,#35831); #31115=AXIS2_PLACEMENT_3D('',#44342,#35832,#35833); #31116=AXIS2_PLACEMENT_3D('',#44344,#35834,#35835); #31117=AXIS2_PLACEMENT_3D('',#44346,#35837,#35838); #31118=AXIS2_PLACEMENT_3D('',#44349,#35840,#35841); #31119=AXIS2_PLACEMENT_3D('',#44350,#35842,#35843); #31120=AXIS2_PLACEMENT_3D('',#44352,#35844,#35845); #31121=AXIS2_PLACEMENT_3D('',#44354,#35846,#35847); #31122=AXIS2_PLACEMENT_3D('',#44355,#35848,#35849); #31123=AXIS2_PLACEMENT_3D('',#44357,#35850,#35851); #31124=AXIS2_PLACEMENT_3D('',#44359,#35853,#35854); #31125=AXIS2_PLACEMENT_3D('',#44362,#35856,#35857); #31126=AXIS2_PLACEMENT_3D('',#44363,#35858,#35859); #31127=AXIS2_PLACEMENT_3D('',#44365,#35860,#35861); #31128=AXIS2_PLACEMENT_3D('',#44367,#35862,#35863); #31129=AXIS2_PLACEMENT_3D('',#44368,#35864,#35865); #31130=AXIS2_PLACEMENT_3D('',#44370,#35866,#35867); #31131=AXIS2_PLACEMENT_3D('',#44372,#35869,#35870); #31132=AXIS2_PLACEMENT_3D('',#44375,#35872,#35873); #31133=AXIS2_PLACEMENT_3D('',#44376,#35874,#35875); #31134=AXIS2_PLACEMENT_3D('',#44385,#35880,#35881); #31135=AXIS2_PLACEMENT_3D('',#44387,#35882,#35883); #31136=AXIS2_PLACEMENT_3D('',#44389,#35884,#35885); #31137=AXIS2_PLACEMENT_3D('',#44391,#35887,#35888); #31138=AXIS2_PLACEMENT_3D('',#44397,#35892,#35893); #31139=AXIS2_PLACEMENT_3D('',#44400,#35894,#35895); #31140=AXIS2_PLACEMENT_3D('',#44407,#35899,#35900); #31141=AXIS2_PLACEMENT_3D('',#44410,#35902,#35903); #31142=AXIS2_PLACEMENT_3D('',#44411,#35904,#35905); #31143=AXIS2_PLACEMENT_3D('',#44417,#35909,#35910); #31144=AXIS2_PLACEMENT_3D('',#44419,#35911,#35912); #31145=AXIS2_PLACEMENT_3D('',#44421,#35914,#35915); #31146=AXIS2_PLACEMENT_3D('',#44425,#35918,#35919); #31147=AXIS2_PLACEMENT_3D('',#44427,#35920,#35921); #31148=AXIS2_PLACEMENT_3D('',#44429,#35923,#35924); #31149=AXIS2_PLACEMENT_3D('',#44431,#35925,#35926); #31150=AXIS2_PLACEMENT_3D('',#44433,#35928,#35929); #31151=AXIS2_PLACEMENT_3D('',#44442,#35934,#35935); #31152=AXIS2_PLACEMENT_3D('',#44448,#35939,#35940); #31153=AXIS2_PLACEMENT_3D('',#44451,#35943,#35944); #31154=AXIS2_PLACEMENT_3D('',#44457,#35948,#35949); #31155=AXIS2_PLACEMENT_3D('',#44459,#35950,#35951); #31156=AXIS2_PLACEMENT_3D('',#44462,#35953,#35954); #31157=AXIS2_PLACEMENT_3D('',#44463,#35955,#35956); #31158=AXIS2_PLACEMENT_3D('',#44469,#35960,#35961); #31159=AXIS2_PLACEMENT_3D('',#44471,#35962,#35963); #31160=AXIS2_PLACEMENT_3D('',#44474,#35965,#35966); #31161=AXIS2_PLACEMENT_3D('',#44475,#35967,#35968); #31162=AXIS2_PLACEMENT_3D('',#44476,#35969,#35970); #31163=AXIS2_PLACEMENT_3D('',#44477,#35971,#35972); #31164=AXIS2_PLACEMENT_3D('',#44478,#35973,#35974); #31165=AXIS2_PLACEMENT_3D('',#44479,#35975,#35976); #31166=AXIS2_PLACEMENT_3D('placement',#44480,#35977,#35978); #31167=AXIS2_PLACEMENT_3D('',#44481,#35979,#35980); #31168=AXIS2_PLACEMENT_3D('',#44483,#35981,#35982); #31169=AXIS2_PLACEMENT_3D('',#44485,#35983,#35984); #31170=AXIS2_PLACEMENT_3D('',#44486,#35985,#35986); #31171=AXIS2_PLACEMENT_3D('',#44488,#35987,#35988); #31172=AXIS2_PLACEMENT_3D('',#44490,#35990,#35991); #31173=AXIS2_PLACEMENT_3D('',#44493,#35993,#35994); #31174=AXIS2_PLACEMENT_3D('',#44494,#35995,#35996); #31175=AXIS2_PLACEMENT_3D('',#44496,#35997,#35998); #31176=AXIS2_PLACEMENT_3D('',#44498,#35999,#36000); #31177=AXIS2_PLACEMENT_3D('',#44499,#36001,#36002); #31178=AXIS2_PLACEMENT_3D('',#44501,#36003,#36004); #31179=AXIS2_PLACEMENT_3D('',#44503,#36006,#36007); #31180=AXIS2_PLACEMENT_3D('',#44506,#36009,#36010); #31181=AXIS2_PLACEMENT_3D('',#44507,#36011,#36012); #31182=AXIS2_PLACEMENT_3D('',#44509,#36013,#36014); #31183=AXIS2_PLACEMENT_3D('',#44511,#36015,#36016); #31184=AXIS2_PLACEMENT_3D('',#44512,#36017,#36018); #31185=AXIS2_PLACEMENT_3D('',#44514,#36019,#36020); #31186=AXIS2_PLACEMENT_3D('',#44516,#36022,#36023); #31187=AXIS2_PLACEMENT_3D('',#44519,#36025,#36026); #31188=AXIS2_PLACEMENT_3D('',#44520,#36027,#36028); #31189=AXIS2_PLACEMENT_3D('',#44522,#36029,#36030); #31190=AXIS2_PLACEMENT_3D('',#44524,#36031,#36032); #31191=AXIS2_PLACEMENT_3D('',#44525,#36033,#36034); #31192=AXIS2_PLACEMENT_3D('',#44527,#36035,#36036); #31193=AXIS2_PLACEMENT_3D('',#44529,#36038,#36039); #31194=AXIS2_PLACEMENT_3D('',#44532,#36041,#36042); #31195=AXIS2_PLACEMENT_3D('',#44533,#36043,#36044); #31196=AXIS2_PLACEMENT_3D('',#44542,#36049,#36050); #31197=AXIS2_PLACEMENT_3D('',#44544,#36051,#36052); #31198=AXIS2_PLACEMENT_3D('',#44547,#36054,#36055); #31199=AXIS2_PLACEMENT_3D('',#44548,#36056,#36057); #31200=AXIS2_PLACEMENT_3D('',#44554,#36061,#36062); #31201=AXIS2_PLACEMENT_3D('',#44557,#36063,#36064); #31202=AXIS2_PLACEMENT_3D('',#44559,#36065,#36066); #31203=AXIS2_PLACEMENT_3D('',#44563,#36068,#36069); #31204=AXIS2_PLACEMENT_3D('',#44568,#36073,#36074); #31205=AXIS2_PLACEMENT_3D('',#44574,#36078,#36079); #31206=AXIS2_PLACEMENT_3D('',#44577,#36081,#36082); #31207=AXIS2_PLACEMENT_3D('',#44578,#36083,#36084); #31208=AXIS2_PLACEMENT_3D('',#44582,#36087,#36088); #31209=AXIS2_PLACEMENT_3D('',#44585,#36090,#36091); #31210=AXIS2_PLACEMENT_3D('',#44586,#36092,#36093); #31211=AXIS2_PLACEMENT_3D('',#44589,#36095,#36096); #31212=AXIS2_PLACEMENT_3D('',#44590,#36097,#36098); #31213=AXIS2_PLACEMENT_3D('',#44599,#36103,#36104); #31214=AXIS2_PLACEMENT_3D('',#44605,#36108,#36109); #31215=AXIS2_PLACEMENT_3D('',#44608,#36112,#36113); #31216=AXIS2_PLACEMENT_3D('',#44614,#36117,#36118); #31217=AXIS2_PLACEMENT_3D('',#44616,#36119,#36120); #31218=AXIS2_PLACEMENT_3D('',#44618,#36121,#36122); #31219=AXIS2_PLACEMENT_3D('',#44620,#36124,#36125); #31220=AXIS2_PLACEMENT_3D('',#44626,#36129,#36130); #31221=AXIS2_PLACEMENT_3D('',#44628,#36131,#36132); #31222=AXIS2_PLACEMENT_3D('',#44630,#36133,#36134); #31223=AXIS2_PLACEMENT_3D('',#44632,#36136,#36137); #31224=AXIS2_PLACEMENT_3D('',#44633,#36138,#36139); #31225=AXIS2_PLACEMENT_3D('',#44634,#36140,#36141); #31226=AXIS2_PLACEMENT_3D('',#44635,#36142,#36143); #31227=AXIS2_PLACEMENT_3D('',#44636,#36144,#36145); #31228=AXIS2_PLACEMENT_3D('placement',#44637,#36146,#36147); #31229=AXIS2_PLACEMENT_3D('',#44638,#36148,#36149); #31230=AXIS2_PLACEMENT_3D('',#44640,#36150,#36151); #31231=AXIS2_PLACEMENT_3D('',#44643,#36153,#36154); #31232=AXIS2_PLACEMENT_3D('',#44645,#36155,#36156); #31233=AXIS2_PLACEMENT_3D('',#44647,#36158,#36159); #31234=AXIS2_PLACEMENT_3D('',#44649,#36160,#36161); #31235=AXIS2_PLACEMENT_3D('',#44652,#36163,#36164); #31236=AXIS2_PLACEMENT_3D('',#44654,#36165,#36166); #31237=AXIS2_PLACEMENT_3D('',#44656,#36168,#36169); #31238=AXIS2_PLACEMENT_3D('',#44669,#36176,#36177); #31239=AXIS2_PLACEMENT_3D('',#44682,#36184,#36185); #31240=AXIS2_PLACEMENT_3D('',#44685,#36188,#36189); #31241=AXIS2_PLACEMENT_3D('',#44691,#36193,#36194); #31242=AXIS2_PLACEMENT_3D('',#44695,#36197,#36198); #31243=AXIS2_PLACEMENT_3D('',#44712,#36207,#36208); #31244=AXIS2_PLACEMENT_3D('',#44714,#36209,#36210); #31245=AXIS2_PLACEMENT_3D('',#44716,#36211,#36212); #31246=AXIS2_PLACEMENT_3D('',#44718,#36213,#36214); #31247=AXIS2_PLACEMENT_3D('',#44720,#36215,#36216); #31248=AXIS2_PLACEMENT_3D('',#44722,#36217,#36218); #31249=AXIS2_PLACEMENT_3D('',#44724,#36219,#36220); #31250=AXIS2_PLACEMENT_3D('',#44726,#36221,#36222); #31251=AXIS2_PLACEMENT_3D('',#44727,#36223,#36224); #31252=AXIS2_PLACEMENT_3D('',#44731,#36227,#36228); #31253=AXIS2_PLACEMENT_3D('',#44733,#36229,#36230); #31254=AXIS2_PLACEMENT_3D('',#44735,#36231,#36232); #31255=AXIS2_PLACEMENT_3D('',#44736,#36233,#36234); #31256=AXIS2_PLACEMENT_3D('',#44738,#36235,#36236); #31257=AXIS2_PLACEMENT_3D('',#44740,#36238,#36239); #31258=AXIS2_PLACEMENT_3D('',#44742,#36241,#36242); #31259=AXIS2_PLACEMENT_3D('',#44744,#36243,#36244); #31260=AXIS2_PLACEMENT_3D('',#44746,#36245,#36246); #31261=AXIS2_PLACEMENT_3D('',#44747,#36247,#36248); #31262=AXIS2_PLACEMENT_3D('',#44749,#36249,#36250); #31263=AXIS2_PLACEMENT_3D('',#44751,#36252,#36253); #31264=AXIS2_PLACEMENT_3D('',#44753,#36255,#36256); #31265=AXIS2_PLACEMENT_3D('',#44755,#36257,#36258); #31266=AXIS2_PLACEMENT_3D('',#44757,#36259,#36260); #31267=AXIS2_PLACEMENT_3D('',#44758,#36261,#36262); #31268=AXIS2_PLACEMENT_3D('',#44760,#36263,#36264); #31269=AXIS2_PLACEMENT_3D('',#44762,#36266,#36267); #31270=AXIS2_PLACEMENT_3D('',#44764,#36269,#36270); #31271=AXIS2_PLACEMENT_3D('',#44766,#36271,#36272); #31272=AXIS2_PLACEMENT_3D('',#44768,#36273,#36274); #31273=AXIS2_PLACEMENT_3D('',#44769,#36275,#36276); #31274=AXIS2_PLACEMENT_3D('',#44771,#36277,#36278); #31275=AXIS2_PLACEMENT_3D('',#44773,#36280,#36281); #31276=AXIS2_PLACEMENT_3D('',#44775,#36283,#36284); #31277=AXIS2_PLACEMENT_3D('',#44785,#36290,#36291); #31278=AXIS2_PLACEMENT_3D('',#44789,#36294,#36295); #31279=AXIS2_PLACEMENT_3D('',#44797,#36300,#36301); #31280=AXIS2_PLACEMENT_3D('',#44803,#36305,#36306); #31281=AXIS2_PLACEMENT_3D('',#44809,#36310,#36311); #31282=AXIS2_PLACEMENT_3D('',#44813,#36314,#36315); #31283=AXIS2_PLACEMENT_3D('',#44817,#36318,#36319); #31284=AXIS2_PLACEMENT_3D('',#44819,#36321,#36322); #31285=AXIS2_PLACEMENT_3D('',#44825,#36326,#36327); #31286=AXIS2_PLACEMENT_3D('',#44829,#36330,#36331); #31287=AXIS2_PLACEMENT_3D('',#44831,#36333,#36334); #31288=AXIS2_PLACEMENT_3D('',#44834,#36336,#36337); #31289=AXIS2_PLACEMENT_3D('',#44836,#36338,#36339); #31290=AXIS2_PLACEMENT_3D('',#44837,#36340,#36341); #31291=AXIS2_PLACEMENT_3D('',#44840,#36343,#36344); #31292=AXIS2_PLACEMENT_3D('',#44842,#36345,#36346); #31293=AXIS2_PLACEMENT_3D('',#44844,#36347,#36348); #31294=AXIS2_PLACEMENT_3D('',#44846,#36349,#36350); #31295=AXIS2_PLACEMENT_3D('',#44847,#36351,#36352); #31296=AXIS2_PLACEMENT_3D('',#44849,#36354,#36355); #31297=AXIS2_PLACEMENT_3D('',#44851,#36356,#36357); #31298=AXIS2_PLACEMENT_3D('',#44853,#36358,#36359); #31299=AXIS2_PLACEMENT_3D('',#44854,#36360,#36361); #31300=AXIS2_PLACEMENT_3D('',#44858,#36363,#36364); #31301=AXIS2_PLACEMENT_3D('',#44859,#36365,#36366); #31302=AXIS2_PLACEMENT_3D('',#44860,#36367,#36368); #31303=AXIS2_PLACEMENT_3D('',#44863,#36370,#36371); #31304=AXIS2_PLACEMENT_3D('',#44864,#36372,#36373); #31305=AXIS2_PLACEMENT_3D('',#44868,#36375,#36376); #31306=AXIS2_PLACEMENT_3D('',#44869,#36377,#36378); #31307=AXIS2_PLACEMENT_3D('',#44870,#36379,#36380); #31308=AXIS2_PLACEMENT_3D('',#44873,#36382,#36383); #31309=AXIS2_PLACEMENT_3D('',#44874,#36384,#36385); #31310=AXIS2_PLACEMENT_3D('',#44878,#36387,#36388); #31311=AXIS2_PLACEMENT_3D('',#44879,#36389,#36390); #31312=AXIS2_PLACEMENT_3D('',#44880,#36391,#36392); #31313=AXIS2_PLACEMENT_3D('',#44883,#36394,#36395); #31314=AXIS2_PLACEMENT_3D('',#44884,#36396,#36397); #31315=AXIS2_PLACEMENT_3D('',#44888,#36399,#36400); #31316=AXIS2_PLACEMENT_3D('',#44889,#36401,#36402); #31317=AXIS2_PLACEMENT_3D('',#44890,#36403,#36404); #31318=AXIS2_PLACEMENT_3D('',#44893,#36406,#36407); #31319=AXIS2_PLACEMENT_3D('',#44894,#36408,#36409); #31320=AXIS2_PLACEMENT_3D('',#44896,#36410,#36411); #31321=AXIS2_PLACEMENT_3D('',#44899,#36413,#36414); #31322=AXIS2_PLACEMENT_3D('',#44901,#36415,#36416); #31323=AXIS2_PLACEMENT_3D('',#44902,#36417,#36418); #31324=AXIS2_PLACEMENT_3D('',#44904,#36420,#36421); #31325=AXIS2_PLACEMENT_3D('',#44906,#36422,#36423); #31326=AXIS2_PLACEMENT_3D('',#44909,#36425,#36426); #31327=AXIS2_PLACEMENT_3D('',#44911,#36427,#36428); #31328=AXIS2_PLACEMENT_3D('',#44912,#36429,#36430); #31329=AXIS2_PLACEMENT_3D('',#44914,#36432,#36433); #31330=AXIS2_PLACEMENT_3D('',#44916,#36434,#36435); #31331=AXIS2_PLACEMENT_3D('',#44919,#36437,#36438); #31332=AXIS2_PLACEMENT_3D('',#44921,#36439,#36440); #31333=AXIS2_PLACEMENT_3D('',#44922,#36441,#36442); #31334=AXIS2_PLACEMENT_3D('',#44924,#36444,#36445); #31335=AXIS2_PLACEMENT_3D('',#44926,#36446,#36447); #31336=AXIS2_PLACEMENT_3D('',#44929,#36449,#36450); #31337=AXIS2_PLACEMENT_3D('',#44931,#36451,#36452); #31338=AXIS2_PLACEMENT_3D('',#44932,#36453,#36454); #31339=AXIS2_PLACEMENT_3D('',#44934,#36456,#36457); #31340=AXIS2_PLACEMENT_3D('',#44936,#36458,#36459); #31341=AXIS2_PLACEMENT_3D('',#44938,#36460,#36461); #31342=AXIS2_PLACEMENT_3D('',#44939,#36462,#36463); #31343=AXIS2_PLACEMENT_3D('',#44941,#36465,#36466); #31344=AXIS2_PLACEMENT_3D('',#44943,#36468,#36469); #31345=AXIS2_PLACEMENT_3D('',#44945,#36470,#36471); #31346=AXIS2_PLACEMENT_3D('',#44947,#36472,#36473); #31347=AXIS2_PLACEMENT_3D('',#44948,#36474,#36475); #31348=AXIS2_PLACEMENT_3D('',#44950,#36477,#36478); #31349=AXIS2_PLACEMENT_3D('',#44952,#36480,#36481); #31350=AXIS2_PLACEMENT_3D('',#44954,#36482,#36483); #31351=AXIS2_PLACEMENT_3D('',#44956,#36484,#36485); #31352=AXIS2_PLACEMENT_3D('',#44957,#36486,#36487); #31353=AXIS2_PLACEMENT_3D('',#44959,#36489,#36490); #31354=AXIS2_PLACEMENT_3D('',#44961,#36492,#36493); #31355=AXIS2_PLACEMENT_3D('',#44963,#36494,#36495); #31356=AXIS2_PLACEMENT_3D('',#44965,#36496,#36497); #31357=AXIS2_PLACEMENT_3D('',#44966,#36498,#36499); #31358=AXIS2_PLACEMENT_3D('',#44968,#36501,#36502); #31359=AXIS2_PLACEMENT_3D('placement',#44970,#36504,#36505); #31360=AXIS2_PLACEMENT_3D('',#44971,#36506,#36507); #31361=AXIS2_PLACEMENT_3D('',#44973,#36508,#36509); #31362=AXIS2_PLACEMENT_3D('',#44975,#36510,#36511); #31363=AXIS2_PLACEMENT_3D('',#44977,#36512,#36513); #31364=AXIS2_PLACEMENT_3D('',#44978,#36514,#36515); #31365=AXIS2_PLACEMENT_3D('',#44979,#36516,#36517); #31366=AXIS2_PLACEMENT_3D('',#44982,#36518,#36519); #31367=AXIS2_PLACEMENT_3D('',#44983,#36520,#36521); #31368=AXIS2_PLACEMENT_3D('',#44985,#36523,#36524); #31369=AXIS2_PLACEMENT_3D('',#44987,#36525,#36526); #31370=AXIS2_PLACEMENT_3D('',#44988,#36527,#36528); #31371=AXIS2_PLACEMENT_3D('',#44990,#36529,#36530); #31372=AXIS2_PLACEMENT_3D('',#44992,#36532,#36533); #31373=AXIS2_PLACEMENT_3D('',#44993,#36534,#36535); #31374=AXIS2_PLACEMENT_3D('',#44996,#36536,#36537); #31375=AXIS2_PLACEMENT_3D('',#44997,#36538,#36539); #31376=AXIS2_PLACEMENT_3D('',#44999,#36540,#36541); #31377=AXIS2_PLACEMENT_3D('',#45000,#36542,#36543); #31378=AXIS2_PLACEMENT_3D('',#45001,#36544,#36545); #31379=AXIS2_PLACEMENT_3D('',#45014,#36552,#36553); #31380=AXIS2_PLACEMENT_3D('',#45016,#36555,#36556); #31381=AXIS2_PLACEMENT_3D('',#45024,#36559,#36560); #31382=AXIS2_PLACEMENT_3D('',#45030,#36562,#36563); #31383=AXIS2_PLACEMENT_3D('',#45036,#36565,#36566); #31384=AXIS2_PLACEMENT_3D('',#45042,#36568,#36569); #31385=AXIS2_PLACEMENT_3D('',#45052,#36571,#36572); #31386=AXIS2_PLACEMENT_3D('',#45056,#36573,#36574); #31387=AXIS2_PLACEMENT_3D('placement',#45059,#36576,#36577); #31388=AXIS2_PLACEMENT_3D('',#45060,#36578,#36579); #31389=AXIS2_PLACEMENT_3D('',#45063,#36580,#36581); #31390=AXIS2_PLACEMENT_3D('',#45067,#36583,#36584); #31391=AXIS2_PLACEMENT_3D('',#45069,#36586,#36587); #31392=AXIS2_PLACEMENT_3D('',#45075,#36591,#36592); #31393=AXIS2_PLACEMENT_3D('',#45077,#36593,#36594); #31394=AXIS2_PLACEMENT_3D('',#45079,#36595,#36596); #31395=AXIS2_PLACEMENT_3D('',#45081,#36598,#36599); #31396=AXIS2_PLACEMENT_3D('',#45084,#36602,#36603); #31397=AXIS2_PLACEMENT_3D('',#45087,#36604,#36605); #31398=AXIS2_PLACEMENT_3D('',#45091,#36607,#36608); #31399=AXIS2_PLACEMENT_3D('',#45093,#36610,#36611); #31400=AXIS2_PLACEMENT_3D('',#45099,#36615,#36616); #31401=AXIS2_PLACEMENT_3D('',#45101,#36617,#36618); #31402=AXIS2_PLACEMENT_3D('',#45103,#36619,#36620); #31403=AXIS2_PLACEMENT_3D('',#45105,#36622,#36623); #31404=AXIS2_PLACEMENT_3D('',#45108,#36626,#36627); #31405=AXIS2_PLACEMENT_3D('',#45111,#36628,#36629); #31406=AXIS2_PLACEMENT_3D('',#45115,#36631,#36632); #31407=AXIS2_PLACEMENT_3D('',#45117,#36634,#36635); #31408=AXIS2_PLACEMENT_3D('',#45123,#36639,#36640); #31409=AXIS2_PLACEMENT_3D('',#45125,#36641,#36642); #31410=AXIS2_PLACEMENT_3D('',#45127,#36643,#36644); #31411=AXIS2_PLACEMENT_3D('',#45129,#36646,#36647); #31412=AXIS2_PLACEMENT_3D('',#45132,#36650,#36651); #31413=AXIS2_PLACEMENT_3D('',#45135,#36652,#36653); #31414=AXIS2_PLACEMENT_3D('',#45139,#36655,#36656); #31415=AXIS2_PLACEMENT_3D('',#45141,#36658,#36659); #31416=AXIS2_PLACEMENT_3D('',#45147,#36663,#36664); #31417=AXIS2_PLACEMENT_3D('',#45149,#36665,#36666); #31418=AXIS2_PLACEMENT_3D('',#45151,#36667,#36668); #31419=AXIS2_PLACEMENT_3D('',#45153,#36670,#36671); #31420=AXIS2_PLACEMENT_3D('',#45159,#36675,#36676); #31421=AXIS2_PLACEMENT_3D('',#45161,#36677,#36678); #31422=AXIS2_PLACEMENT_3D('',#45163,#36679,#36680); #31423=AXIS2_PLACEMENT_3D('',#45165,#36682,#36683); #31424=AXIS2_PLACEMENT_3D('',#45171,#36687,#36688); #31425=AXIS2_PLACEMENT_3D('',#45173,#36689,#36690); #31426=AXIS2_PLACEMENT_3D('',#45175,#36691,#36692); #31427=AXIS2_PLACEMENT_3D('',#45177,#36694,#36695); #31428=AXIS2_PLACEMENT_3D('',#45180,#36698,#36699); #31429=AXIS2_PLACEMENT_3D('',#45181,#36700,#36701); #31430=AXIS2_PLACEMENT_3D('',#45184,#36702,#36703); #31431=AXIS2_PLACEMENT_3D('',#45188,#36705,#36706); #31432=AXIS2_PLACEMENT_3D('',#45190,#36708,#36709); #31433=AXIS2_PLACEMENT_3D('',#45196,#36713,#36714); #31434=AXIS2_PLACEMENT_3D('',#45198,#36715,#36716); #31435=AXIS2_PLACEMENT_3D('',#45200,#36717,#36718); #31436=AXIS2_PLACEMENT_3D('',#45202,#36720,#36721); #31437=AXIS2_PLACEMENT_3D('',#45208,#36725,#36726); #31438=AXIS2_PLACEMENT_3D('',#45210,#36727,#36728); #31439=AXIS2_PLACEMENT_3D('',#45212,#36729,#36730); #31440=AXIS2_PLACEMENT_3D('',#45214,#36732,#36733); #31441=AXIS2_PLACEMENT_3D('',#45220,#36737,#36738); #31442=AXIS2_PLACEMENT_3D('',#45222,#36739,#36740); #31443=AXIS2_PLACEMENT_3D('',#45224,#36741,#36742); #31444=AXIS2_PLACEMENT_3D('',#45226,#36744,#36745); #31445=AXIS2_PLACEMENT_3D('',#45229,#36748,#36749); #31446=AXIS2_PLACEMENT_3D('',#45230,#36750,#36751); #31447=AXIS2_PLACEMENT_3D('',#45233,#36752,#36753); #31448=AXIS2_PLACEMENT_3D('',#45237,#36755,#36756); #31449=AXIS2_PLACEMENT_3D('',#45239,#36758,#36759); #31450=AXIS2_PLACEMENT_3D('',#45245,#36763,#36764); #31451=AXIS2_PLACEMENT_3D('',#45247,#36765,#36766); #31452=AXIS2_PLACEMENT_3D('',#45249,#36767,#36768); #31453=AXIS2_PLACEMENT_3D('',#45251,#36770,#36771); #31454=AXIS2_PLACEMENT_3D('',#45257,#36775,#36776); #31455=AXIS2_PLACEMENT_3D('',#45259,#36777,#36778); #31456=AXIS2_PLACEMENT_3D('',#45261,#36779,#36780); #31457=AXIS2_PLACEMENT_3D('',#45263,#36782,#36783); #31458=AXIS2_PLACEMENT_3D('',#45269,#36787,#36788); #31459=AXIS2_PLACEMENT_3D('',#45271,#36789,#36790); #31460=AXIS2_PLACEMENT_3D('',#45273,#36791,#36792); #31461=AXIS2_PLACEMENT_3D('',#45275,#36794,#36795); #31462=AXIS2_PLACEMENT_3D('',#45278,#36798,#36799); #31463=AXIS2_PLACEMENT_3D('',#45279,#36800,#36801); #31464=AXIS2_PLACEMENT_3D('',#45282,#36802,#36803); #31465=AXIS2_PLACEMENT_3D('',#45286,#36805,#36806); #31466=AXIS2_PLACEMENT_3D('',#45288,#36808,#36809); #31467=AXIS2_PLACEMENT_3D('',#45294,#36813,#36814); #31468=AXIS2_PLACEMENT_3D('',#45296,#36815,#36816); #31469=AXIS2_PLACEMENT_3D('',#45299,#36818,#36819); #31470=AXIS2_PLACEMENT_3D('',#45300,#36820,#36821); #31471=AXIS2_PLACEMENT_3D('',#45306,#36825,#36826); #31472=AXIS2_PLACEMENT_3D('',#45308,#36827,#36828); #31473=AXIS2_PLACEMENT_3D('',#45311,#36830,#36831); #31474=AXIS2_PLACEMENT_3D('',#45312,#36832,#36833); #31475=AXIS2_PLACEMENT_3D('',#45318,#36837,#36838); #31476=AXIS2_PLACEMENT_3D('',#45320,#36839,#36840); #31477=AXIS2_PLACEMENT_3D('',#45323,#36842,#36843); #31478=AXIS2_PLACEMENT_3D('',#45324,#36844,#36845); #31479=AXIS2_PLACEMENT_3D('',#45327,#36848,#36849); #31480=AXIS2_PLACEMENT_3D('',#45328,#36850,#36851); #31481=AXIS2_PLACEMENT_3D('',#45331,#36852,#36853); #31482=AXIS2_PLACEMENT_3D('',#45335,#36855,#36856); #31483=AXIS2_PLACEMENT_3D('',#45337,#36858,#36859); #31484=AXIS2_PLACEMENT_3D('',#45343,#36863,#36864); #31485=AXIS2_PLACEMENT_3D('',#45345,#36865,#36866); #31486=AXIS2_PLACEMENT_3D('',#45348,#36868,#36869); #31487=AXIS2_PLACEMENT_3D('',#45349,#36870,#36871); #31488=AXIS2_PLACEMENT_3D('',#45355,#36875,#36876); #31489=AXIS2_PLACEMENT_3D('',#45357,#36877,#36878); #31490=AXIS2_PLACEMENT_3D('',#45360,#36880,#36881); #31491=AXIS2_PLACEMENT_3D('',#45361,#36882,#36883); #31492=AXIS2_PLACEMENT_3D('',#45367,#36887,#36888); #31493=AXIS2_PLACEMENT_3D('',#45369,#36889,#36890); #31494=AXIS2_PLACEMENT_3D('',#45372,#36892,#36893); #31495=AXIS2_PLACEMENT_3D('',#45373,#36894,#36895); #31496=AXIS2_PLACEMENT_3D('',#45376,#36898,#36899); #31497=AXIS2_PLACEMENT_3D('',#45377,#36900,#36901); #31498=AXIS2_PLACEMENT_3D('',#45380,#36902,#36903); #31499=AXIS2_PLACEMENT_3D('',#45384,#36905,#36906); #31500=AXIS2_PLACEMENT_3D('',#45386,#36908,#36909); #31501=AXIS2_PLACEMENT_3D('',#45392,#36913,#36914); #31502=AXIS2_PLACEMENT_3D('',#45394,#36915,#36916); #31503=AXIS2_PLACEMENT_3D('',#45397,#36918,#36919); #31504=AXIS2_PLACEMENT_3D('',#45398,#36920,#36921); #31505=AXIS2_PLACEMENT_3D('',#45404,#36925,#36926); #31506=AXIS2_PLACEMENT_3D('',#45406,#36927,#36928); #31507=AXIS2_PLACEMENT_3D('',#45409,#36930,#36931); #31508=AXIS2_PLACEMENT_3D('',#45410,#36932,#36933); #31509=AXIS2_PLACEMENT_3D('',#45416,#36937,#36938); #31510=AXIS2_PLACEMENT_3D('',#45418,#36939,#36940); #31511=AXIS2_PLACEMENT_3D('',#45421,#36942,#36943); #31512=AXIS2_PLACEMENT_3D('',#45422,#36944,#36945); #31513=AXIS2_PLACEMENT_3D('',#45425,#36948,#36949); #31514=AXIS2_PLACEMENT_3D('',#45426,#36950,#36951); #31515=AXIS2_PLACEMENT_3D('',#45431,#36953,#36954); #31516=AXIS2_PLACEMENT_3D('',#45435,#36956,#36957); #31517=AXIS2_PLACEMENT_3D('',#45439,#36959,#36960); #31518=AXIS2_PLACEMENT_3D('',#45443,#36962,#36963); #31519=AXIS2_PLACEMENT_3D('',#45447,#36965,#36966); #31520=AXIS2_PLACEMENT_3D('',#45450,#36968,#36969); #31521=AXIS2_PLACEMENT_3D('',#45451,#36970,#36971); #31522=AXIS2_PLACEMENT_3D('',#45455,#36973,#36974); #31523=AXIS2_PLACEMENT_3D('',#45457,#36976,#36977); #31524=AXIS2_PLACEMENT_3D('',#45461,#36980,#36981); #31525=AXIS2_PLACEMENT_3D('',#45463,#36982,#36983); #31526=AXIS2_PLACEMENT_3D('',#45465,#36985,#36986); #31527=AXIS2_PLACEMENT_3D('',#45469,#36989,#36990); #31528=AXIS2_PLACEMENT_3D('',#45471,#36991,#36992); #31529=AXIS2_PLACEMENT_3D('',#45473,#36994,#36995); #31530=AXIS2_PLACEMENT_3D('',#45477,#36998,#36999); #31531=AXIS2_PLACEMENT_3D('',#45479,#37000,#37001); #31532=AXIS2_PLACEMENT_3D('',#45481,#37003,#37004); #31533=AXIS2_PLACEMENT_3D('',#45485,#37007,#37008); #31534=AXIS2_PLACEMENT_3D('',#45487,#37009,#37010); #31535=AXIS2_PLACEMENT_3D('',#45489,#37012,#37013); #31536=AXIS2_PLACEMENT_3D('',#45493,#37016,#37017); #31537=AXIS2_PLACEMENT_3D('',#45495,#37018,#37019); #31538=AXIS2_PLACEMENT_3D('',#45497,#37021,#37022); #31539=AXIS2_PLACEMENT_3D('',#45499,#37024,#37025); #31540=AXIS2_PLACEMENT_3D('placement',#45500,#37026,#37027); #31541=AXIS2_PLACEMENT_3D('',#45501,#37028,#37029); #31542=AXIS2_PLACEMENT_3D('',#45512,#37033,#37034); #31543=AXIS2_PLACEMENT_3D('',#45520,#37037,#37038); #31544=AXIS2_PLACEMENT_3D('',#45528,#37041,#37042); #31545=AXIS2_PLACEMENT_3D('',#45536,#37045,#37046); #31546=AXIS2_PLACEMENT_3D('',#45544,#37049,#37050); #31547=AXIS2_PLACEMENT_3D('',#45552,#37053,#37054); #31548=AXIS2_PLACEMENT_3D('',#45564,#37057,#37058); #31549=AXIS2_PLACEMENT_3D('',#45569,#37060,#37061); #31550=AXIS2_PLACEMENT_3D('',#45571,#37062,#37063); #31551=AXIS2_PLACEMENT_3D('',#45574,#37065,#37066); #31552=AXIS2_PLACEMENT_3D('',#45575,#37067,#37068); #31553=AXIS2_PLACEMENT_3D('',#45576,#37069,#37070); #31554=AXIS2_PLACEMENT_3D('',#45578,#37071,#37072); #31555=AXIS2_PLACEMENT_3D('',#45580,#37074,#37075); #31556=AXIS2_PLACEMENT_3D('placement',#45581,#37076,#37077); #31557=AXIS2_PLACEMENT_3D('',#45582,#37078,#37079); #31558=AXIS2_PLACEMENT_3D('',#45584,#37080,#37081); #31559=AXIS2_PLACEMENT_3D('',#45587,#37083,#37084); #31560=AXIS2_PLACEMENT_3D('',#45588,#37085,#37086); #31561=AXIS2_PLACEMENT_3D('',#45590,#37087,#37088); #31562=AXIS2_PLACEMENT_3D('',#45593,#37090,#37091); #31563=AXIS2_PLACEMENT_3D('',#45594,#37092,#37093); #31564=AXIS2_PLACEMENT_3D('',#45595,#37094,#37095); #31565=AXIS2_PLACEMENT_3D('placement',#45596,#37096,#37097); #31566=AXIS2_PLACEMENT_3D('',#45597,#37098,#37099); #31567=AXIS2_PLACEMENT_3D('',#45599,#37100,#37101); #31568=AXIS2_PLACEMENT_3D('',#45601,#37102,#37103); #31569=AXIS2_PLACEMENT_3D('',#45603,#37104,#37105); #31570=AXIS2_PLACEMENT_3D('',#45604,#37106,#37107); #31571=AXIS2_PLACEMENT_3D('',#45605,#37108,#37109); #31572=AXIS2_PLACEMENT_3D('',#45608,#37110,#37111); #31573=AXIS2_PLACEMENT_3D('',#45609,#37112,#37113); #31574=AXIS2_PLACEMENT_3D('',#45611,#37115,#37116); #31575=AXIS2_PLACEMENT_3D('',#45613,#37117,#37118); #31576=AXIS2_PLACEMENT_3D('',#45614,#37119,#37120); #31577=AXIS2_PLACEMENT_3D('',#45616,#37121,#37122); #31578=AXIS2_PLACEMENT_3D('',#45618,#37124,#37125); #31579=AXIS2_PLACEMENT_3D('',#45619,#37126,#37127); #31580=AXIS2_PLACEMENT_3D('',#45622,#37128,#37129); #31581=AXIS2_PLACEMENT_3D('',#45623,#37130,#37131); #31582=AXIS2_PLACEMENT_3D('',#45625,#37132,#37133); #31583=AXIS2_PLACEMENT_3D('',#45626,#37134,#37135); #31584=AXIS2_PLACEMENT_3D('',#45627,#37136,#37137); #31585=AXIS2_PLACEMENT_3D('',#45640,#37144,#37145); #31586=AXIS2_PLACEMENT_3D('',#45642,#37147,#37148); #31587=AXIS2_PLACEMENT_3D('',#45650,#37151,#37152); #31588=AXIS2_PLACEMENT_3D('',#45656,#37154,#37155); #31589=AXIS2_PLACEMENT_3D('',#45662,#37157,#37158); #31590=AXIS2_PLACEMENT_3D('',#45668,#37160,#37161); #31591=AXIS2_PLACEMENT_3D('',#45678,#37163,#37164); #31592=AXIS2_PLACEMENT_3D('',#45682,#37165,#37166); #31593=AXIS2_PLACEMENT_3D('placement',#45685,#37168,#37169); #31594=AXIS2_PLACEMENT_3D('',#45686,#37170,#37171); #31595=AXIS2_PLACEMENT_3D('',#45695,#37176,#37177); #31596=AXIS2_PLACEMENT_3D('',#45701,#37181,#37182); #31597=AXIS2_PLACEMENT_3D('',#45707,#37186,#37187); #31598=AXIS2_PLACEMENT_3D('',#45713,#37191,#37192); #31599=AXIS2_PLACEMENT_3D('',#45719,#37196,#37197); #31600=AXIS2_PLACEMENT_3D('',#45722,#37200,#37201); #31601=AXIS2_PLACEMENT_3D('',#45723,#37202,#37203); #31602=AXIS2_PLACEMENT_3D('',#45725,#37204,#37205); #31603=AXIS2_PLACEMENT_3D('',#45727,#37206,#37207); #31604=AXIS2_PLACEMENT_3D('',#45729,#37208,#37209); #31605=AXIS2_PLACEMENT_3D('',#45730,#37210,#37211); #31606=AXIS2_PLACEMENT_3D('',#45731,#37212,#37213); #31607=AXIS2_PLACEMENT_3D('',#45734,#37214,#37215); #31608=AXIS2_PLACEMENT_3D('',#45736,#37217,#37218); #31609=AXIS2_PLACEMENT_3D('',#45737,#37219,#37220); #31610=AXIS2_PLACEMENT_3D('',#45739,#37221,#37222); #31611=AXIS2_PLACEMENT_3D('',#45741,#37223,#37224); #31612=AXIS2_PLACEMENT_3D('',#45742,#37225,#37226); #31613=AXIS2_PLACEMENT_3D('',#45743,#37227,#37228); #31614=AXIS2_PLACEMENT_3D('',#45745,#37229,#37230); #31615=AXIS2_PLACEMENT_3D('',#45747,#37232,#37233); #31616=AXIS2_PLACEMENT_3D('',#45749,#37234,#37235); #31617=AXIS2_PLACEMENT_3D('',#45751,#37237,#37238); #31618=AXIS2_PLACEMENT_3D('',#45753,#37239,#37240); #31619=AXIS2_PLACEMENT_3D('',#45755,#37242,#37243); #31620=AXIS2_PLACEMENT_3D('',#45756,#37244,#37245); #31621=AXIS2_PLACEMENT_3D('',#45759,#37246,#37247); #31622=AXIS2_PLACEMENT_3D('',#45760,#37248,#37249); #31623=AXIS2_PLACEMENT_3D('',#45762,#37250,#37251); #31624=AXIS2_PLACEMENT_3D('',#45763,#37252,#37253); #31625=AXIS2_PLACEMENT_3D('',#45764,#37254,#37255); #31626=AXIS2_PLACEMENT_3D('',#45766,#37256,#37257); #31627=AXIS2_PLACEMENT_3D('',#45768,#37259,#37260); #31628=AXIS2_PLACEMENT_3D('',#45770,#37261,#37262); #31629=AXIS2_PLACEMENT_3D('',#45771,#37263,#37264); #31630=AXIS2_PLACEMENT_3D('',#45773,#37265,#37266); #31631=AXIS2_PLACEMENT_3D('',#45775,#37267,#37268); #31632=AXIS2_PLACEMENT_3D('',#45776,#37269,#37270); #31633=AXIS2_PLACEMENT_3D('',#45777,#37271,#37272); #31634=AXIS2_PLACEMENT_3D('',#45780,#37273,#37274); #31635=AXIS2_PLACEMENT_3D('',#45782,#37276,#37277); #31636=AXIS2_PLACEMENT_3D('',#45783,#37278,#37279); #31637=AXIS2_PLACEMENT_3D('',#45785,#37280,#37281); #31638=AXIS2_PLACEMENT_3D('',#45787,#37282,#37283); #31639=AXIS2_PLACEMENT_3D('',#45788,#37284,#37285); #31640=AXIS2_PLACEMENT_3D('',#45789,#37286,#37287); #31641=AXIS2_PLACEMENT_3D('',#45791,#37288,#37289); #31642=AXIS2_PLACEMENT_3D('',#45793,#37291,#37292); #31643=AXIS2_PLACEMENT_3D('',#45795,#37293,#37294); #31644=AXIS2_PLACEMENT_3D('',#45797,#37296,#37297); #31645=AXIS2_PLACEMENT_3D('',#45798,#37298,#37299); #31646=AXIS2_PLACEMENT_3D('placement',#45799,#37300,#37301); #31647=AXIS2_PLACEMENT_3D('',#45800,#37302,#37303); #31648=AXIS2_PLACEMENT_3D('',#45809,#37308,#37309); #31649=AXIS2_PLACEMENT_3D('',#45811,#37310,#37311); #31650=AXIS2_PLACEMENT_3D('',#45814,#37313,#37314); #31651=AXIS2_PLACEMENT_3D('',#45815,#37315,#37316); #31652=AXIS2_PLACEMENT_3D('',#45821,#37320,#37321); #31653=AXIS2_PLACEMENT_3D('',#45822,#37322,#37323); #31654=AXIS2_PLACEMENT_3D('',#45823,#37324,#37325); #31655=AXIS2_PLACEMENT_3D('',#45824,#37326,#37327); #31656=AXIS2_PLACEMENT_3D('',#45825,#37328,#37329); #31657=AXIS2_PLACEMENT_3D('placement',#45826,#37330,#37331); #31658=AXIS2_PLACEMENT_3D('',#45827,#37332,#37333); #31659=AXIS2_PLACEMENT_3D('',#45844,#37338,#37339); #31660=AXIS2_PLACEMENT_3D('',#45845,#37340,#37341); #31661=AXIS2_PLACEMENT_3D('',#45846,#37342,#37343); #31662=AXIS2_PLACEMENT_3D('',#45848,#37344,#37345); #31663=AXIS2_PLACEMENT_3D('',#45852,#37347,#37348); #31664=AXIS2_PLACEMENT_3D('',#45855,#37350,#37351); #31665=AXIS2_PLACEMENT_3D('',#45857,#37352,#37353); #31666=AXIS2_PLACEMENT_3D('',#45860,#37355,#37356); #31667=AXIS2_PLACEMENT_3D('',#45861,#37357,#37358); #31668=AXIS2_PLACEMENT_3D('',#45863,#37359,#37360); #31669=AXIS2_PLACEMENT_3D('',#45867,#37361,#37362); #31670=AXIS2_PLACEMENT_3D('',#45872,#37363,#37364); #31671=AXIS2_PLACEMENT_3D('',#45873,#37365,#37366); #31672=AXIS2_PLACEMENT_3D('',#45876,#37369,#37370); #31673=AXIS2_PLACEMENT_3D('',#45877,#37371,#37372); #31674=AXIS2_PLACEMENT_3D('',#45879,#37373,#37374); #31675=AXIS2_PLACEMENT_3D('',#45880,#37375,#37376); #31676=AXIS2_PLACEMENT_3D('',#45883,#37378,#37379); #31677=AXIS2_PLACEMENT_3D('',#45884,#37380,#37381); #31678=AXIS2_PLACEMENT_3D('',#45886,#37382,#37383); #31679=AXIS2_PLACEMENT_3D('',#45887,#37384,#37385); #31680=AXIS2_PLACEMENT_3D('',#45889,#37386,#37387); #31681=AXIS2_PLACEMENT_3D('',#45892,#37389,#37390); #31682=AXIS2_PLACEMENT_3D('',#45894,#37392,#37393); #31683=AXIS2_PLACEMENT_3D('',#45896,#37394,#37395); #31684=AXIS2_PLACEMENT_3D('',#45899,#37397,#37398); #31685=AXIS2_PLACEMENT_3D('',#45900,#37399,#37400); #31686=AXIS2_PLACEMENT_3D('',#45902,#37402,#37403); #31687=AXIS2_PLACEMENT_3D('placement',#45903,#37404,#37405); #31688=AXIS2_PLACEMENT_3D('',#45904,#37406,#37407); #31689=AXIS2_PLACEMENT_3D('',#45906,#37408,#37409); #31690=AXIS2_PLACEMENT_3D('',#45909,#37411,#37412); #31691=AXIS2_PLACEMENT_3D('',#45910,#37413,#37414); #31692=AXIS2_PLACEMENT_3D('',#45912,#37415,#37416); #31693=AXIS2_PLACEMENT_3D('',#45915,#37418,#37419); #31694=AXIS2_PLACEMENT_3D('',#45916,#37420,#37421); #31695=AXIS2_PLACEMENT_3D('',#45918,#37422,#37423); #31696=AXIS2_PLACEMENT_3D('',#45921,#37425,#37426); #31697=AXIS2_PLACEMENT_3D('',#45922,#37427,#37428); #31698=AXIS2_PLACEMENT_3D('',#45924,#37429,#37430); #31699=AXIS2_PLACEMENT_3D('',#45927,#37432,#37433); #31700=AXIS2_PLACEMENT_3D('',#45928,#37434,#37435); #31701=AXIS2_PLACEMENT_3D('',#45931,#37436,#37437); #31702=AXIS2_PLACEMENT_3D('',#45935,#37439,#37440); #31703=AXIS2_PLACEMENT_3D('',#45945,#37445,#37446); #31704=AXIS2_PLACEMENT_3D('',#45947,#37447,#37448); #31705=AXIS2_PLACEMENT_3D('',#45951,#37450,#37451); #31706=AXIS2_PLACEMENT_3D('',#45954,#37453,#37454); #31707=AXIS2_PLACEMENT_3D('',#45955,#37455,#37456); #31708=AXIS2_PLACEMENT_3D('',#45961,#37460,#37461); #31709=AXIS2_PLACEMENT_3D('',#45963,#37462,#37463); #31710=AXIS2_PLACEMENT_3D('',#45965,#37465,#37466); #31711=AXIS2_PLACEMENT_3D('',#45969,#37469,#37470); #31712=AXIS2_PLACEMENT_3D('',#45973,#37473,#37474); #31713=AXIS2_PLACEMENT_3D('',#45977,#37477,#37478); #31714=AXIS2_PLACEMENT_3D('',#45981,#37481,#37482); #31715=AXIS2_PLACEMENT_3D('',#45983,#37483,#37484); #31716=AXIS2_PLACEMENT_3D('',#45985,#37486,#37487); #31717=AXIS2_PLACEMENT_3D('',#45987,#37488,#37489); #31718=AXIS2_PLACEMENT_3D('',#45989,#37491,#37492); #31719=AXIS2_PLACEMENT_3D('',#45993,#37495,#37496); #31720=AXIS2_PLACEMENT_3D('',#45995,#37497,#37498); #31721=AXIS2_PLACEMENT_3D('',#45997,#37500,#37501); #31722=AXIS2_PLACEMENT_3D('',#46001,#37504,#37505); #31723=AXIS2_PLACEMENT_3D('',#46003,#37506,#37507); #31724=AXIS2_PLACEMENT_3D('',#46005,#37509,#37510); #31725=AXIS2_PLACEMENT_3D('',#46006,#37511,#37512); #31726=AXIS2_PLACEMENT_3D('',#46007,#37513,#37514); #31727=AXIS2_PLACEMENT_3D('placement',#46008,#37515,#37516); #31728=AXIS2_PLACEMENT_3D('',#46009,#37517,#37518); #31729=AXIS2_PLACEMENT_3D('',#46011,#37519,#37520); #31730=AXIS2_PLACEMENT_3D('',#46014,#37522,#37523); #31731=AXIS2_PLACEMENT_3D('',#46015,#37524,#37525); #31732=AXIS2_PLACEMENT_3D('',#46017,#37526,#37527); #31733=AXIS2_PLACEMENT_3D('',#46020,#37529,#37530); #31734=AXIS2_PLACEMENT_3D('',#46021,#37531,#37532); #31735=AXIS2_PLACEMENT_3D('',#46023,#37533,#37534); #31736=AXIS2_PLACEMENT_3D('',#46026,#37536,#37537); #31737=AXIS2_PLACEMENT_3D('',#46027,#37538,#37539); #31738=AXIS2_PLACEMENT_3D('',#46029,#37540,#37541); #31739=AXIS2_PLACEMENT_3D('',#46032,#37543,#37544); #31740=AXIS2_PLACEMENT_3D('',#46033,#37545,#37546); #31741=AXIS2_PLACEMENT_3D('',#46036,#37547,#37548); #31742=AXIS2_PLACEMENT_3D('',#46040,#37550,#37551); #31743=AXIS2_PLACEMENT_3D('',#46050,#37556,#37557); #31744=AXIS2_PLACEMENT_3D('',#46052,#37558,#37559); #31745=AXIS2_PLACEMENT_3D('',#46056,#37561,#37562); #31746=AXIS2_PLACEMENT_3D('',#46059,#37564,#37565); #31747=AXIS2_PLACEMENT_3D('',#46060,#37566,#37567); #31748=AXIS2_PLACEMENT_3D('',#46066,#37571,#37572); #31749=AXIS2_PLACEMENT_3D('',#46068,#37573,#37574); #31750=AXIS2_PLACEMENT_3D('',#46070,#37576,#37577); #31751=AXIS2_PLACEMENT_3D('',#46074,#37580,#37581); #31752=AXIS2_PLACEMENT_3D('',#46078,#37584,#37585); #31753=AXIS2_PLACEMENT_3D('',#46082,#37588,#37589); #31754=AXIS2_PLACEMENT_3D('',#46086,#37592,#37593); #31755=AXIS2_PLACEMENT_3D('',#46088,#37594,#37595); #31756=AXIS2_PLACEMENT_3D('',#46090,#37597,#37598); #31757=AXIS2_PLACEMENT_3D('',#46092,#37599,#37600); #31758=AXIS2_PLACEMENT_3D('',#46094,#37602,#37603); #31759=AXIS2_PLACEMENT_3D('',#46098,#37606,#37607); #31760=AXIS2_PLACEMENT_3D('',#46100,#37608,#37609); #31761=AXIS2_PLACEMENT_3D('',#46102,#37611,#37612); #31762=AXIS2_PLACEMENT_3D('',#46106,#37615,#37616); #31763=AXIS2_PLACEMENT_3D('',#46108,#37617,#37618); #31764=AXIS2_PLACEMENT_3D('',#46110,#37620,#37621); #31765=AXIS2_PLACEMENT_3D('',#46111,#37622,#37623); #31766=AXIS2_PLACEMENT_3D('',#46112,#37624,#37625); #31767=AXIS2_PLACEMENT_3D('placement',#46113,#37626,#37627); #31768=AXIS2_PLACEMENT_3D('',#46114,#37628,#37629); #31769=AXIS2_PLACEMENT_3D('',#46116,#37630,#37631); #31770=AXIS2_PLACEMENT_3D('',#46118,#37632,#37633); #31771=AXIS2_PLACEMENT_3D('',#46120,#37634,#37635); #31772=AXIS2_PLACEMENT_3D('',#46121,#37636,#37637); #31773=AXIS2_PLACEMENT_3D('',#46122,#37638,#37639); #31774=AXIS2_PLACEMENT_3D('',#46125,#37640,#37641); #31775=AXIS2_PLACEMENT_3D('',#46126,#37642,#37643); #31776=AXIS2_PLACEMENT_3D('',#46128,#37645,#37646); #31777=AXIS2_PLACEMENT_3D('',#46130,#37647,#37648); #31778=AXIS2_PLACEMENT_3D('',#46131,#37649,#37650); #31779=AXIS2_PLACEMENT_3D('',#46133,#37651,#37652); #31780=AXIS2_PLACEMENT_3D('',#46135,#37654,#37655); #31781=AXIS2_PLACEMENT_3D('',#46136,#37656,#37657); #31782=AXIS2_PLACEMENT_3D('',#46139,#37658,#37659); #31783=AXIS2_PLACEMENT_3D('',#46140,#37660,#37661); #31784=AXIS2_PLACEMENT_3D('',#46142,#37662,#37663); #31785=AXIS2_PLACEMENT_3D('',#46143,#37664,#37665); #31786=AXIS2_PLACEMENT_3D('',#46144,#37666,#37667); #31787=AXIS2_PLACEMENT_3D('',#46157,#37674,#37675); #31788=AXIS2_PLACEMENT_3D('',#46159,#37677,#37678); #31789=AXIS2_PLACEMENT_3D('',#46167,#37681,#37682); #31790=AXIS2_PLACEMENT_3D('',#46173,#37684,#37685); #31791=AXIS2_PLACEMENT_3D('',#46179,#37687,#37688); #31792=AXIS2_PLACEMENT_3D('',#46185,#37690,#37691); #31793=AXIS2_PLACEMENT_3D('',#46195,#37693,#37694); #31794=AXIS2_PLACEMENT_3D('',#46199,#37695,#37696); #31795=AXIS2_PLACEMENT_3D('placement',#46202,#37698,#37699); #31796=AXIS2_PLACEMENT_3D('',#46203,#37700,#37701); #31797=AXIS2_PLACEMENT_3D('',#46230,#37704,#37705); #31798=AXIS2_PLACEMENT_3D('',#46232,#37706,#37707); #31799=AXIS2_PLACEMENT_3D('',#46239,#37711,#37712); #31800=AXIS2_PLACEMENT_3D('',#46240,#37713,#37714); #31801=AXIS2_PLACEMENT_3D('',#46255,#37716,#37717); #31802=AXIS2_PLACEMENT_3D('',#46257,#37719,#37720); #31803=AXIS2_PLACEMENT_3D('',#46284,#37723,#37724); #31804=AXIS2_PLACEMENT_3D('',#46290,#37728,#37729); #31805=AXIS2_PLACEMENT_3D('',#46292,#37730,#37731); #31806=AXIS2_PLACEMENT_3D('',#46296,#37733,#37734); #31807=AXIS2_PLACEMENT_3D('',#46307,#37735,#37736); #31808=AXIS2_PLACEMENT_3D('',#46322,#37739,#37740); #31809=AXIS2_PLACEMENT_3D('',#46324,#37741,#37742); #31810=AXIS2_PLACEMENT_3D('',#46328,#37744,#37745); #31811=AXIS2_PLACEMENT_3D('',#46336,#37749,#37750); #31812=AXIS2_PLACEMENT_3D('',#46338,#37752,#37753); #31813=AXIS2_PLACEMENT_3D('',#46351,#37755,#37756); #31814=AXIS2_PLACEMENT_3D('',#46355,#37758,#37759); #31815=AXIS2_PLACEMENT_3D('',#46363,#37763,#37764); #31816=AXIS2_PLACEMENT_3D('',#46366,#37766,#37767); #31817=AXIS2_PLACEMENT_3D('',#46367,#37768,#37769); #31818=AXIS2_PLACEMENT_3D('',#46369,#37770,#37771); #31819=AXIS2_PLACEMENT_3D('',#46371,#37772,#37773); #31820=AXIS2_PLACEMENT_3D('',#46373,#37775,#37776); #31821=AXIS2_PLACEMENT_3D('',#46379,#37780,#37781); #31822=AXIS2_PLACEMENT_3D('',#46383,#37783,#37784); #31823=AXIS2_PLACEMENT_3D('',#46385,#37786,#37787); #31824=AXIS2_PLACEMENT_3D('',#46386,#37788,#37789); #31825=AXIS2_PLACEMENT_3D('',#46387,#37790,#37791); #31826=AXIS2_PLACEMENT_3D('',#46388,#37792,#37793); #31827=AXIS2_PLACEMENT_3D('',#46391,#37794,#37795); #31828=AXIS2_PLACEMENT_3D('',#46403,#37796,#37797); #31829=AXIS2_PLACEMENT_3D('',#46405,#37798,#37799); #31830=AXIS2_PLACEMENT_3D('',#46428,#37800,#37801); #31831=AXIS2_PLACEMENT_3D('',#46432,#37803,#37804); #31832=AXIS2_PLACEMENT_3D('',#46443,#37805,#37806); #31833=AXIS2_PLACEMENT_3D('',#46445,#37808,#37809); #31834=AXIS2_PLACEMENT_3D('',#46447,#37810,#37811); #31835=AXIS2_PLACEMENT_3D('',#46450,#37814,#37815); #31836=AXIS2_PLACEMENT_3D('',#46452,#37817,#37818); #31837=AXIS2_PLACEMENT_3D('',#46453,#37819,#37820); #31838=AXIS2_PLACEMENT_3D('',#46455,#37822,#37823); #31839=AXIS2_PLACEMENT_3D('',#46457,#37825,#37826); #31840=AXIS2_PLACEMENT_3D('',#46459,#37828,#37829); #31841=AXIS2_PLACEMENT_3D('',#46461,#37831,#37832); #31842=AXIS2_PLACEMENT_3D('',#46462,#37833,#37834); #31843=AXIS2_PLACEMENT_3D('',#46464,#37836,#37837); #31844=AXIS2_PLACEMENT_3D('',#46466,#37839,#37840); #31845=AXIS2_PLACEMENT_3D('',#46472,#37844,#37845); #31846=AXIS2_PLACEMENT_3D('',#46478,#37849,#37850); #31847=AXIS2_PLACEMENT_3D('',#46480,#37851,#37852); #31848=AXIS2_PLACEMENT_3D('',#46514,#37855,#37856); #31849=AXIS2_PLACEMENT_3D('',#46515,#37857,#37858); #31850=AXIS2_PLACEMENT_3D('',#46517,#37859,#37860); #31851=AXIS2_PLACEMENT_3D('',#46532,#37862,#37863); #31852=AXIS2_PLACEMENT_3D('',#46534,#37864,#37865); #31853=AXIS2_PLACEMENT_3D('',#46536,#37866,#37867); #31854=AXIS2_PLACEMENT_3D('',#46537,#37868,#37869); #31855=AXIS2_PLACEMENT_3D('',#46539,#37870,#37871); #31856=AXIS2_PLACEMENT_3D('',#46541,#37872,#37873); #31857=AXIS2_PLACEMENT_3D('',#46543,#37874,#37875); #31858=AXIS2_PLACEMENT_3D('',#46545,#37876,#37877); #31859=AXIS2_PLACEMENT_3D('',#46556,#37878,#37879); #31860=AXIS2_PLACEMENT_3D('',#46560,#37881,#37882); #31861=AXIS2_PLACEMENT_3D('',#46564,#37884,#37885); #31862=AXIS2_PLACEMENT_3D('',#46566,#37887,#37888); #31863=AXIS2_PLACEMENT_3D('',#46570,#37891,#37892); #31864=AXIS2_PLACEMENT_3D('',#46574,#37895,#37896); #31865=AXIS2_PLACEMENT_3D('',#46578,#37899,#37900); #31866=AXIS2_PLACEMENT_3D('',#46580,#37902,#37903); #31867=AXIS2_PLACEMENT_3D('',#46583,#37904,#37905); #31868=AXIS2_PLACEMENT_3D('',#46587,#37907,#37908); #31869=AXIS2_PLACEMENT_3D('',#46590,#37910,#37911); #31870=AXIS2_PLACEMENT_3D('',#46591,#37912,#37913); #31871=AXIS2_PLACEMENT_3D('',#46594,#37915,#37916); #31872=AXIS2_PLACEMENT_3D('',#46595,#37917,#37918); #31873=AXIS2_PLACEMENT_3D('',#46599,#37921,#37922); #31874=AXIS2_PLACEMENT_3D('',#46603,#37924,#37925); #31875=AXIS2_PLACEMENT_3D('',#46607,#37927,#37928); #31876=AXIS2_PLACEMENT_3D('',#46611,#37930,#37931); #31877=AXIS2_PLACEMENT_3D('',#46615,#37933,#37934); #31878=AXIS2_PLACEMENT_3D('',#46621,#37937,#37938); #31879=AXIS2_PLACEMENT_3D('',#46626,#37941,#37942); #31880=AXIS2_PLACEMENT_3D('',#46637,#37948,#37949); #31881=AXIS2_PLACEMENT_3D('',#46639,#37951,#37952); #31882=AXIS2_PLACEMENT_3D('',#46651,#37959,#37960); #31883=AXIS2_PLACEMENT_3D('',#46653,#37961,#37962); #31884=AXIS2_PLACEMENT_3D('',#46654,#37963,#37964); #31885=AXIS2_PLACEMENT_3D('',#46655,#37965,#37966); #31886=AXIS2_PLACEMENT_3D('',#46656,#37967,#37968); #31887=AXIS2_PLACEMENT_3D('',#46659,#37970,#37971); #31888=AXIS2_PLACEMENT_3D('',#46663,#37974,#37975); #31889=AXIS2_PLACEMENT_3D('',#46664,#37976,#37977); #31890=AXIS2_PLACEMENT_3D('',#46668,#37979,#37980); #31891=AXIS2_PLACEMENT_3D('',#46670,#37981,#37982); #31892=AXIS2_PLACEMENT_3D('',#46672,#37984,#37985); #31893=AXIS2_PLACEMENT_3D('',#46673,#37986,#37987); #31894=AXIS2_PLACEMENT_3D('',#46676,#37989,#37990); #31895=AXIS2_PLACEMENT_3D('',#46677,#37991,#37992); #31896=AXIS2_PLACEMENT_3D('',#46680,#37993,#37994); #31897=AXIS2_PLACEMENT_3D('',#46684,#37996,#37997); #31898=AXIS2_PLACEMENT_3D('',#46686,#37998,#37999); #31899=AXIS2_PLACEMENT_3D('',#46688,#38001,#38002); #31900=AXIS2_PLACEMENT_3D('',#46692,#38005,#38006); #31901=AXIS2_PLACEMENT_3D('',#46699,#38011,#38012); #31902=AXIS2_PLACEMENT_3D('',#46702,#38014,#38015); #31903=AXIS2_PLACEMENT_3D('',#46703,#38016,#38017); #31904=AXIS2_PLACEMENT_3D('',#46705,#38019,#38020); #31905=AXIS2_PLACEMENT_3D('',#46707,#38022,#38023); #31906=AXIS2_PLACEMENT_3D('',#46709,#38025,#38026); #31907=AXIS2_PLACEMENT_3D('',#46710,#38027,#38028); #31908=AXIS2_PLACEMENT_3D('',#46714,#38031,#38032); #31909=AXIS2_PLACEMENT_3D('',#46715,#38033,#38034); #31910=AXIS2_PLACEMENT_3D('',#46716,#38035,#38036); #31911=AXIS2_PLACEMENT_3D('',#46718,#38037,#38038); #31912=AXIS2_PLACEMENT_3D('',#46720,#38040,#38041); #31913=AXIS2_PLACEMENT_3D('',#46724,#38044,#38045); #31914=AXIS2_PLACEMENT_3D('',#46726,#38046,#38047); #31915=AXIS2_PLACEMENT_3D('',#46728,#38049,#38050); #31916=AXIS2_PLACEMENT_3D('',#46732,#38053,#38054); #31917=AXIS2_PLACEMENT_3D('',#46734,#38055,#38056); #31918=AXIS2_PLACEMENT_3D('',#46736,#38058,#38059); #31919=AXIS2_PLACEMENT_3D('',#46740,#38062,#38063); #31920=AXIS2_PLACEMENT_3D('',#46741,#38064,#38065); #31921=AXIS2_PLACEMENT_3D('',#46742,#38066,#38067); #31922=AXIS2_PLACEMENT_3D('',#46743,#38068,#38069); #31923=AXIS2_PLACEMENT_3D('',#46744,#38070,#38071); #31924=AXIS2_PLACEMENT_3D('placement',#46745,#38072,#38073); #31925=AXIS2_PLACEMENT_3D('',#46746,#38074,#38075); #31926=AXIS2_PLACEMENT_3D('',#46748,#38076,#38077); #31927=AXIS2_PLACEMENT_3D('',#46751,#38079,#38080); #31928=AXIS2_PLACEMENT_3D('',#46752,#38081,#38082); #31929=AXIS2_PLACEMENT_3D('',#46754,#38083,#38084); #31930=AXIS2_PLACEMENT_3D('',#46757,#38086,#38087); #31931=AXIS2_PLACEMENT_3D('',#46758,#38088,#38089); #31932=AXIS2_PLACEMENT_3D('',#46760,#38090,#38091); #31933=AXIS2_PLACEMENT_3D('',#46763,#38093,#38094); #31934=AXIS2_PLACEMENT_3D('',#46764,#38095,#38096); #31935=AXIS2_PLACEMENT_3D('',#46766,#38097,#38098); #31936=AXIS2_PLACEMENT_3D('',#46769,#38100,#38101); #31937=AXIS2_PLACEMENT_3D('',#46770,#38102,#38103); #31938=AXIS2_PLACEMENT_3D('',#46773,#38104,#38105); #31939=AXIS2_PLACEMENT_3D('',#46777,#38107,#38108); #31940=AXIS2_PLACEMENT_3D('',#46787,#38113,#38114); #31941=AXIS2_PLACEMENT_3D('',#46789,#38115,#38116); #31942=AXIS2_PLACEMENT_3D('',#46793,#38118,#38119); #31943=AXIS2_PLACEMENT_3D('',#46796,#38121,#38122); #31944=AXIS2_PLACEMENT_3D('',#46797,#38123,#38124); #31945=AXIS2_PLACEMENT_3D('',#46803,#38128,#38129); #31946=AXIS2_PLACEMENT_3D('',#46805,#38130,#38131); #31947=AXIS2_PLACEMENT_3D('',#46807,#38133,#38134); #31948=AXIS2_PLACEMENT_3D('',#46811,#38137,#38138); #31949=AXIS2_PLACEMENT_3D('',#46815,#38141,#38142); #31950=AXIS2_PLACEMENT_3D('',#46819,#38145,#38146); #31951=AXIS2_PLACEMENT_3D('',#46823,#38149,#38150); #31952=AXIS2_PLACEMENT_3D('',#46825,#38151,#38152); #31953=AXIS2_PLACEMENT_3D('',#46827,#38154,#38155); #31954=AXIS2_PLACEMENT_3D('',#46829,#38156,#38157); #31955=AXIS2_PLACEMENT_3D('',#46831,#38159,#38160); #31956=AXIS2_PLACEMENT_3D('',#46835,#38163,#38164); #31957=AXIS2_PLACEMENT_3D('',#46837,#38165,#38166); #31958=AXIS2_PLACEMENT_3D('',#46839,#38168,#38169); #31959=AXIS2_PLACEMENT_3D('',#46843,#38172,#38173); #31960=AXIS2_PLACEMENT_3D('',#46845,#38174,#38175); #31961=AXIS2_PLACEMENT_3D('',#46847,#38177,#38178); #31962=AXIS2_PLACEMENT_3D('',#46848,#38179,#38180); #31963=AXIS2_PLACEMENT_3D('',#46849,#38181,#38182); #31964=AXIS2_PLACEMENT_3D('placement',#46850,#38183,#38184); #31965=AXIS2_PLACEMENT_3D('',#46851,#38185,#38186); #31966=AXIS2_PLACEMENT_3D('',#46860,#38191,#38192); #31967=AXIS2_PLACEMENT_3D('',#46866,#38196,#38197); #31968=AXIS2_PLACEMENT_3D('',#46872,#38201,#38202); #31969=AXIS2_PLACEMENT_3D('',#46875,#38205,#38206); #31970=AXIS2_PLACEMENT_3D('',#46876,#38207,#38208); #31971=AXIS2_PLACEMENT_3D('',#46885,#38213,#38214); #31972=AXIS2_PLACEMENT_3D('',#46891,#38218,#38219); #31973=AXIS2_PLACEMENT_3D('',#46897,#38223,#38224); #31974=AXIS2_PLACEMENT_3D('',#46903,#38228,#38229); #31975=AXIS2_PLACEMENT_3D('',#46909,#38233,#38234); #31976=AXIS2_PLACEMENT_3D('',#46915,#38238,#38239); #31977=AXIS2_PLACEMENT_3D('',#46921,#38243,#38244); #31978=AXIS2_PLACEMENT_3D('',#46927,#38248,#38249); #31979=AXIS2_PLACEMENT_3D('',#46933,#38253,#38254); #31980=AXIS2_PLACEMENT_3D('',#46939,#38258,#38259); #31981=AXIS2_PLACEMENT_3D('',#46945,#38263,#38264); #31982=AXIS2_PLACEMENT_3D('',#46951,#38268,#38269); #31983=AXIS2_PLACEMENT_3D('',#46957,#38273,#38274); #31984=AXIS2_PLACEMENT_3D('',#46963,#38278,#38279); #31985=AXIS2_PLACEMENT_3D('',#46969,#38283,#38284); #31986=AXIS2_PLACEMENT_3D('',#46975,#38288,#38289); #31987=AXIS2_PLACEMENT_3D('',#46981,#38293,#38294); #31988=AXIS2_PLACEMENT_3D('',#46987,#38298,#38299); #31989=AXIS2_PLACEMENT_3D('',#46993,#38303,#38304); #31990=AXIS2_PLACEMENT_3D('',#46999,#38308,#38309); #31991=AXIS2_PLACEMENT_3D('',#47005,#38313,#38314); #31992=AXIS2_PLACEMENT_3D('',#47011,#38318,#38319); #31993=AXIS2_PLACEMENT_3D('',#47017,#38323,#38324); #31994=AXIS2_PLACEMENT_3D('',#47023,#38328,#38329); #31995=AXIS2_PLACEMENT_3D('',#47029,#38333,#38334); #31996=AXIS2_PLACEMENT_3D('',#47035,#38338,#38339); #31997=AXIS2_PLACEMENT_3D('',#47041,#38343,#38344); #31998=AXIS2_PLACEMENT_3D('',#47047,#38348,#38349); #31999=AXIS2_PLACEMENT_3D('',#47053,#38353,#38354); #32000=AXIS2_PLACEMENT_3D('',#47059,#38358,#38359); #32001=AXIS2_PLACEMENT_3D('',#47065,#38363,#38364); #32002=AXIS2_PLACEMENT_3D('',#47071,#38368,#38369); #32003=AXIS2_PLACEMENT_3D('',#47077,#38373,#38374); #32004=AXIS2_PLACEMENT_3D('',#47145,#38409,#38410); #32005=AXIS2_PLACEMENT_3D('',#47149,#38413,#38414); #32006=AXIS2_PLACEMENT_3D('',#47153,#38417,#38418); #32007=AXIS2_PLACEMENT_3D('',#47157,#38421,#38422); #32008=AXIS2_PLACEMENT_3D('',#47161,#38425,#38426); #32009=AXIS2_PLACEMENT_3D('',#47165,#38429,#38430); #32010=AXIS2_PLACEMENT_3D('',#47169,#38433,#38434); #32011=AXIS2_PLACEMENT_3D('',#47173,#38437,#38438); #32012=AXIS2_PLACEMENT_3D('',#47177,#38441,#38442); #32013=AXIS2_PLACEMENT_3D('',#47181,#38445,#38446); #32014=AXIS2_PLACEMENT_3D('',#47185,#38449,#38450); #32015=AXIS2_PLACEMENT_3D('',#47189,#38453,#38454); #32016=AXIS2_PLACEMENT_3D('',#47193,#38457,#38458); #32017=AXIS2_PLACEMENT_3D('',#47197,#38461,#38462); #32018=AXIS2_PLACEMENT_3D('',#47201,#38465,#38466); #32019=AXIS2_PLACEMENT_3D('',#47205,#38469,#38470); #32020=AXIS2_PLACEMENT_3D('',#47209,#38473,#38474); #32021=AXIS2_PLACEMENT_3D('',#47213,#38477,#38478); #32022=AXIS2_PLACEMENT_3D('',#47217,#38481,#38482); #32023=AXIS2_PLACEMENT_3D('',#47221,#38485,#38486); #32024=AXIS2_PLACEMENT_3D('',#47225,#38489,#38490); #32025=AXIS2_PLACEMENT_3D('',#47229,#38493,#38494); #32026=AXIS2_PLACEMENT_3D('',#47233,#38497,#38498); #32027=AXIS2_PLACEMENT_3D('',#47237,#38501,#38502); #32028=AXIS2_PLACEMENT_3D('',#47241,#38505,#38506); #32029=AXIS2_PLACEMENT_3D('',#47245,#38509,#38510); #32030=AXIS2_PLACEMENT_3D('',#47249,#38513,#38514); #32031=AXIS2_PLACEMENT_3D('',#47253,#38517,#38518); #32032=AXIS2_PLACEMENT_3D('',#47257,#38521,#38522); #32033=AXIS2_PLACEMENT_3D('',#47261,#38525,#38526); #32034=AXIS2_PLACEMENT_3D('',#47265,#38529,#38530); #32035=AXIS2_PLACEMENT_3D('',#47269,#38533,#38534); #32036=AXIS2_PLACEMENT_3D('',#47273,#38537,#38538); #32037=AXIS2_PLACEMENT_3D('',#47277,#38541,#38542); #32038=AXIS2_PLACEMENT_3D('',#47279,#38544,#38545); #32039=AXIS2_PLACEMENT_3D('',#47288,#38550,#38551); #32040=AXIS2_PLACEMENT_3D('',#47297,#38556,#38557); #32041=AXIS2_PLACEMENT_3D('',#47303,#38561,#38562); #32042=AXIS2_PLACEMENT_3D('',#47309,#38566,#38567); #32043=AXIS2_PLACEMENT_3D('',#47315,#38571,#38572); #32044=AXIS2_PLACEMENT_3D('',#47321,#38576,#38577); #32045=AXIS2_PLACEMENT_3D('',#47327,#38581,#38582); #32046=AXIS2_PLACEMENT_3D('',#47330,#38585,#38586); #32047=AXIS2_PLACEMENT_3D('',#47336,#38590,#38591); #32048=AXIS2_PLACEMENT_3D('',#47342,#38595,#38596); #32049=AXIS2_PLACEMENT_3D('',#47348,#38600,#38601); #32050=AXIS2_PLACEMENT_3D('',#47354,#38605,#38606); #32051=AXIS2_PLACEMENT_3D('',#47360,#38610,#38611); #32052=AXIS2_PLACEMENT_3D('',#47363,#38614,#38615); #32053=AXIS2_PLACEMENT_3D('',#47364,#38616,#38617); #32054=AXIS2_PLACEMENT_3D('',#47373,#38622,#38623); #32055=AXIS2_PLACEMENT_3D('',#47379,#38627,#38628); #32056=AXIS2_PLACEMENT_3D('',#47385,#38632,#38633); #32057=AXIS2_PLACEMENT_3D('',#47391,#38637,#38638); #32058=AXIS2_PLACEMENT_3D('',#47397,#38642,#38643); #32059=AXIS2_PLACEMENT_3D('',#47403,#38647,#38648); #32060=AXIS2_PLACEMENT_3D('',#47409,#38652,#38653); #32061=AXIS2_PLACEMENT_3D('',#47415,#38657,#38658); #32062=AXIS2_PLACEMENT_3D('',#47421,#38662,#38663); #32063=AXIS2_PLACEMENT_3D('',#47427,#38667,#38668); #32064=AXIS2_PLACEMENT_3D('',#47433,#38672,#38673); #32065=AXIS2_PLACEMENT_3D('',#47439,#38677,#38678); #32066=AXIS2_PLACEMENT_3D('',#47445,#38682,#38683); #32067=AXIS2_PLACEMENT_3D('',#47451,#38687,#38688); #32068=AXIS2_PLACEMENT_3D('',#47454,#38691,#38692); #32069=AXIS2_PLACEMENT_3D('',#47455,#38693,#38694); #32070=AXIS2_PLACEMENT_3D('',#47464,#38699,#38700); #32071=AXIS2_PLACEMENT_3D('',#47470,#38704,#38705); #32072=AXIS2_PLACEMENT_3D('',#47476,#38709,#38710); #32073=AXIS2_PLACEMENT_3D('',#47482,#38714,#38715); #32074=AXIS2_PLACEMENT_3D('',#47488,#38719,#38720); #32075=AXIS2_PLACEMENT_3D('',#47494,#38724,#38725); #32076=AXIS2_PLACEMENT_3D('',#47500,#38729,#38730); #32077=AXIS2_PLACEMENT_3D('',#47506,#38734,#38735); #32078=AXIS2_PLACEMENT_3D('',#47512,#38739,#38740); #32079=AXIS2_PLACEMENT_3D('',#47518,#38744,#38745); #32080=AXIS2_PLACEMENT_3D('',#47524,#38749,#38750); #32081=AXIS2_PLACEMENT_3D('',#47530,#38754,#38755); #32082=AXIS2_PLACEMENT_3D('',#47536,#38759,#38760); #32083=AXIS2_PLACEMENT_3D('',#47542,#38764,#38765); #32084=AXIS2_PLACEMENT_3D('',#47548,#38769,#38770); #32085=AXIS2_PLACEMENT_3D('',#47554,#38774,#38775); #32086=AXIS2_PLACEMENT_3D('',#47560,#38779,#38780); #32087=AXIS2_PLACEMENT_3D('',#47566,#38784,#38785); #32088=AXIS2_PLACEMENT_3D('',#47572,#38789,#38790); #32089=AXIS2_PLACEMENT_3D('',#47578,#38794,#38795); #32090=AXIS2_PLACEMENT_3D('',#47584,#38799,#38800); #32091=AXIS2_PLACEMENT_3D('',#47590,#38804,#38805); #32092=AXIS2_PLACEMENT_3D('',#47596,#38809,#38810); #32093=AXIS2_PLACEMENT_3D('',#47602,#38814,#38815); #32094=AXIS2_PLACEMENT_3D('',#47608,#38819,#38820); #32095=AXIS2_PLACEMENT_3D('',#47614,#38824,#38825); #32096=AXIS2_PLACEMENT_3D('',#47620,#38829,#38830); #32097=AXIS2_PLACEMENT_3D('',#47629,#38835,#38836); #32098=AXIS2_PLACEMENT_3D('',#47635,#38840,#38841); #32099=AXIS2_PLACEMENT_3D('',#47641,#38845,#38846); #32100=AXIS2_PLACEMENT_3D('',#47647,#38850,#38851); #32101=AXIS2_PLACEMENT_3D('',#47653,#38855,#38856); #32102=AXIS2_PLACEMENT_3D('',#47659,#38860,#38861); #32103=AXIS2_PLACEMENT_3D('',#47665,#38865,#38866); #32104=AXIS2_PLACEMENT_3D('',#47671,#38870,#38871); #32105=AXIS2_PLACEMENT_3D('',#47677,#38875,#38876); #32106=AXIS2_PLACEMENT_3D('',#47683,#38880,#38881); #32107=AXIS2_PLACEMENT_3D('',#47689,#38885,#38886); #32108=AXIS2_PLACEMENT_3D('',#47695,#38890,#38891); #32109=AXIS2_PLACEMENT_3D('',#47701,#38895,#38896); #32110=AXIS2_PLACEMENT_3D('',#47707,#38900,#38901); #32111=AXIS2_PLACEMENT_3D('',#47713,#38905,#38906); #32112=AXIS2_PLACEMENT_3D('',#47719,#38910,#38911); #32113=AXIS2_PLACEMENT_3D('',#47725,#38915,#38916); #32114=AXIS2_PLACEMENT_3D('',#47731,#38920,#38921); #32115=AXIS2_PLACEMENT_3D('',#47737,#38925,#38926); #32116=AXIS2_PLACEMENT_3D('',#47743,#38930,#38931); #32117=AXIS2_PLACEMENT_3D('',#47749,#38935,#38936); #32118=AXIS2_PLACEMENT_3D('',#47755,#38940,#38941); #32119=AXIS2_PLACEMENT_3D('',#47761,#38945,#38946); #32120=AXIS2_PLACEMENT_3D('',#47767,#38950,#38951); #32121=AXIS2_PLACEMENT_3D('',#47773,#38955,#38956); #32122=AXIS2_PLACEMENT_3D('',#47779,#38960,#38961); #32123=AXIS2_PLACEMENT_3D('',#47785,#38965,#38966); #32124=AXIS2_PLACEMENT_3D('',#47791,#38970,#38971); #32125=AXIS2_PLACEMENT_3D('',#47797,#38975,#38976); #32126=AXIS2_PLACEMENT_3D('',#47803,#38980,#38981); #32127=AXIS2_PLACEMENT_3D('',#47809,#38985,#38986); #32128=AXIS2_PLACEMENT_3D('',#47812,#38989,#38990); #32129=AXIS2_PLACEMENT_3D('',#47818,#38994,#38995); #32130=AXIS2_PLACEMENT_3D('',#47824,#38999,#39000); #32131=AXIS2_PLACEMENT_3D('',#47830,#39004,#39005); #32132=AXIS2_PLACEMENT_3D('',#47836,#39009,#39010); #32133=AXIS2_PLACEMENT_3D('',#47842,#39014,#39015); #32134=AXIS2_PLACEMENT_3D('',#47848,#39019,#39020); #32135=AXIS2_PLACEMENT_3D('',#47854,#39024,#39025); #32136=AXIS2_PLACEMENT_3D('',#47860,#39029,#39030); #32137=AXIS2_PLACEMENT_3D('',#47866,#39034,#39035); #32138=AXIS2_PLACEMENT_3D('',#47872,#39039,#39040); #32139=AXIS2_PLACEMENT_3D('',#47875,#39043,#39044); #32140=AXIS2_PLACEMENT_3D('',#47876,#39045,#39046); #32141=AXIS2_PLACEMENT_3D('',#47885,#39051,#39052); #32142=AXIS2_PLACEMENT_3D('',#47891,#39056,#39057); #32143=AXIS2_PLACEMENT_3D('',#47897,#39061,#39062); #32144=AXIS2_PLACEMENT_3D('',#47903,#39066,#39067); #32145=AXIS2_PLACEMENT_3D('',#47909,#39071,#39072); #32146=AXIS2_PLACEMENT_3D('',#47915,#39076,#39077); #32147=AXIS2_PLACEMENT_3D('',#47921,#39081,#39082); #32148=AXIS2_PLACEMENT_3D('',#47927,#39086,#39087); #32149=AXIS2_PLACEMENT_3D('',#47933,#39091,#39092); #32150=AXIS2_PLACEMENT_3D('',#47939,#39096,#39097); #32151=AXIS2_PLACEMENT_3D('',#47945,#39101,#39102); #32152=AXIS2_PLACEMENT_3D('',#47951,#39106,#39107); #32153=AXIS2_PLACEMENT_3D('',#47957,#39111,#39112); #32154=AXIS2_PLACEMENT_3D('',#47963,#39116,#39117); #32155=AXIS2_PLACEMENT_3D('',#47969,#39121,#39122); #32156=AXIS2_PLACEMENT_3D('',#47975,#39126,#39127); #32157=AXIS2_PLACEMENT_3D('',#47981,#39131,#39132); #32158=AXIS2_PLACEMENT_3D('',#47987,#39136,#39137); #32159=AXIS2_PLACEMENT_3D('',#47993,#39141,#39142); #32160=AXIS2_PLACEMENT_3D('',#47999,#39146,#39147); #32161=AXIS2_PLACEMENT_3D('',#48005,#39151,#39152); #32162=AXIS2_PLACEMENT_3D('',#48011,#39156,#39157); #32163=AXIS2_PLACEMENT_3D('',#48017,#39161,#39162); #32164=AXIS2_PLACEMENT_3D('',#48023,#39166,#39167); #32165=AXIS2_PLACEMENT_3D('',#48029,#39171,#39172); #32166=AXIS2_PLACEMENT_3D('',#48035,#39176,#39177); #32167=AXIS2_PLACEMENT_3D('',#48041,#39181,#39182); #32168=AXIS2_PLACEMENT_3D('',#48047,#39186,#39187); #32169=AXIS2_PLACEMENT_3D('',#48053,#39191,#39192); #32170=AXIS2_PLACEMENT_3D('',#48059,#39196,#39197); #32171=AXIS2_PLACEMENT_3D('',#48065,#39201,#39202); #32172=AXIS2_PLACEMENT_3D('',#48071,#39206,#39207); #32173=AXIS2_PLACEMENT_3D('',#48077,#39211,#39212); #32174=AXIS2_PLACEMENT_3D('',#48083,#39216,#39217); #32175=AXIS2_PLACEMENT_3D('',#48089,#39221,#39222); #32176=AXIS2_PLACEMENT_3D('',#48095,#39226,#39227); #32177=AXIS2_PLACEMENT_3D('',#48101,#39231,#39232); #32178=AXIS2_PLACEMENT_3D('',#48107,#39236,#39237); #32179=AXIS2_PLACEMENT_3D('',#48113,#39241,#39242); #32180=AXIS2_PLACEMENT_3D('',#48119,#39246,#39247); #32181=AXIS2_PLACEMENT_3D('',#48125,#39251,#39252); #32182=AXIS2_PLACEMENT_3D('',#48131,#39256,#39257); #32183=AXIS2_PLACEMENT_3D('',#48137,#39261,#39262); #32184=AXIS2_PLACEMENT_3D('',#48143,#39266,#39267); #32185=AXIS2_PLACEMENT_3D('',#48149,#39271,#39272); #32186=AXIS2_PLACEMENT_3D('',#48155,#39276,#39277); #32187=AXIS2_PLACEMENT_3D('',#48161,#39281,#39282); #32188=AXIS2_PLACEMENT_3D('',#48167,#39286,#39287); #32189=AXIS2_PLACEMENT_3D('',#48173,#39291,#39292); #32190=AXIS2_PLACEMENT_3D('',#48176,#39295,#39296); #32191=AXIS2_PLACEMENT_3D('',#48177,#39297,#39298); #32192=AXIS2_PLACEMENT_3D('',#48186,#39303,#39304); #32193=AXIS2_PLACEMENT_3D('',#48192,#39308,#39309); #32194=AXIS2_PLACEMENT_3D('',#48198,#39313,#39314); #32195=AXIS2_PLACEMENT_3D('',#48204,#39318,#39319); #32196=AXIS2_PLACEMENT_3D('',#48210,#39323,#39324); #32197=AXIS2_PLACEMENT_3D('',#48216,#39328,#39329); #32198=AXIS2_PLACEMENT_3D('',#48222,#39333,#39334); #32199=AXIS2_PLACEMENT_3D('',#48228,#39338,#39339); #32200=AXIS2_PLACEMENT_3D('',#48237,#39344,#39345); #32201=AXIS2_PLACEMENT_3D('',#48243,#39349,#39350); #32202=AXIS2_PLACEMENT_3D('',#48249,#39354,#39355); #32203=AXIS2_PLACEMENT_3D('',#48255,#39359,#39360); #32204=AXIS2_PLACEMENT_3D('',#48261,#39364,#39365); #32205=AXIS2_PLACEMENT_3D('',#48267,#39369,#39370); #32206=AXIS2_PLACEMENT_3D('',#48273,#39374,#39375); #32207=AXIS2_PLACEMENT_3D('',#48279,#39379,#39380); #32208=AXIS2_PLACEMENT_3D('',#48288,#39385,#39386); #32209=AXIS2_PLACEMENT_3D('',#48294,#39390,#39391); #32210=AXIS2_PLACEMENT_3D('',#48300,#39395,#39396); #32211=AXIS2_PLACEMENT_3D('',#48306,#39400,#39401); #32212=AXIS2_PLACEMENT_3D('',#48312,#39405,#39406); #32213=AXIS2_PLACEMENT_3D('',#48318,#39410,#39411); #32214=AXIS2_PLACEMENT_3D('',#48324,#39415,#39416); #32215=AXIS2_PLACEMENT_3D('',#48330,#39420,#39421); #32216=AXIS2_PLACEMENT_3D('',#48336,#39425,#39426); #32217=AXIS2_PLACEMENT_3D('',#48342,#39430,#39431); #32218=AXIS2_PLACEMENT_3D('',#48348,#39435,#39436); #32219=AXIS2_PLACEMENT_3D('',#48354,#39440,#39441); #32220=AXIS2_PLACEMENT_3D('',#48360,#39445,#39446); #32221=AXIS2_PLACEMENT_3D('',#48366,#39450,#39451); #32222=AXIS2_PLACEMENT_3D('',#48372,#39455,#39456); #32223=AXIS2_PLACEMENT_3D('',#48378,#39460,#39461); #32224=AXIS2_PLACEMENT_3D('',#48384,#39465,#39466); #32225=AXIS2_PLACEMENT_3D('',#48390,#39470,#39471); #32226=AXIS2_PLACEMENT_3D('',#48396,#39475,#39476); #32227=AXIS2_PLACEMENT_3D('',#48402,#39480,#39481); #32228=AXIS2_PLACEMENT_3D('',#48408,#39485,#39486); #32229=AXIS2_PLACEMENT_3D('',#48414,#39490,#39491); #32230=AXIS2_PLACEMENT_3D('',#48420,#39495,#39496); #32231=AXIS2_PLACEMENT_3D('',#48426,#39500,#39501); #32232=AXIS2_PLACEMENT_3D('',#48435,#39506,#39507); #32233=AXIS2_PLACEMENT_3D('',#48441,#39511,#39512); #32234=AXIS2_PLACEMENT_3D('',#48447,#39516,#39517); #32235=AXIS2_PLACEMENT_3D('',#48453,#39521,#39522); #32236=AXIS2_PLACEMENT_3D('',#48459,#39526,#39527); #32237=AXIS2_PLACEMENT_3D('',#48465,#39531,#39532); #32238=AXIS2_PLACEMENT_3D('',#48471,#39536,#39537); #32239=AXIS2_PLACEMENT_3D('',#48477,#39541,#39542); #32240=AXIS2_PLACEMENT_3D('',#48483,#39546,#39547); #32241=AXIS2_PLACEMENT_3D('',#48489,#39551,#39552); #32242=AXIS2_PLACEMENT_3D('',#48495,#39556,#39557); #32243=AXIS2_PLACEMENT_3D('',#48501,#39561,#39562); #32244=AXIS2_PLACEMENT_3D('',#48504,#39565,#39566); #32245=AXIS2_PLACEMENT_3D('',#48510,#39570,#39571); #32246=AXIS2_PLACEMENT_3D('',#48519,#39576,#39577); #32247=AXIS2_PLACEMENT_3D('',#48525,#39581,#39582); #32248=AXIS2_PLACEMENT_3D('',#48531,#39586,#39587); #32249=AXIS2_PLACEMENT_3D('',#48537,#39591,#39592); #32250=AXIS2_PLACEMENT_3D('',#48543,#39596,#39597); #32251=AXIS2_PLACEMENT_3D('',#48549,#39601,#39602); #32252=AXIS2_PLACEMENT_3D('',#48555,#39606,#39607); #32253=AXIS2_PLACEMENT_3D('',#48558,#39610,#39611); #32254=AXIS2_PLACEMENT_3D('',#48564,#39615,#39616); #32255=AXIS2_PLACEMENT_3D('',#48570,#39620,#39621); #32256=AXIS2_PLACEMENT_3D('',#48576,#39625,#39626); #32257=AXIS2_PLACEMENT_3D('',#48582,#39630,#39631); #32258=AXIS2_PLACEMENT_3D('',#48588,#39635,#39636); #32259=AXIS2_PLACEMENT_3D('',#48594,#39640,#39641); #32260=AXIS2_PLACEMENT_3D('',#48600,#39645,#39646); #32261=AXIS2_PLACEMENT_3D('',#48603,#39649,#39650); #32262=AXIS2_PLACEMENT_3D('',#48612,#39655,#39656); #32263=AXIS2_PLACEMENT_3D('',#48618,#39660,#39661); #32264=AXIS2_PLACEMENT_3D('',#48624,#39665,#39666); #32265=AXIS2_PLACEMENT_3D('',#48627,#39669,#39670); #32266=AXIS2_PLACEMENT_3D('',#48633,#39674,#39675); #32267=AXIS2_PLACEMENT_3D('',#48639,#39679,#39680); #32268=AXIS2_PLACEMENT_3D('',#48642,#39683,#39684); #32269=AXIS2_PLACEMENT_3D('',#48648,#39688,#39689); #32270=AXIS2_PLACEMENT_3D('',#48654,#39693,#39694); #32271=AXIS2_PLACEMENT_3D('',#48657,#39697,#39698); #32272=AXIS2_PLACEMENT_3D('',#48658,#39699,#39700); #32273=AXIS2_PLACEMENT_3D('',#48667,#39705,#39706); #32274=AXIS2_PLACEMENT_3D('',#48673,#39710,#39711); #32275=AXIS2_PLACEMENT_3D('',#48679,#39715,#39716); #32276=AXIS2_PLACEMENT_3D('',#48685,#39720,#39721); #32277=AXIS2_PLACEMENT_3D('',#48691,#39725,#39726); #32278=AXIS2_PLACEMENT_3D('',#48697,#39730,#39731); #32279=AXIS2_PLACEMENT_3D('',#48703,#39735,#39736); #32280=AXIS2_PLACEMENT_3D('',#48709,#39740,#39741); #32281=AXIS2_PLACEMENT_3D('',#48715,#39745,#39746); #32282=AXIS2_PLACEMENT_3D('',#48721,#39750,#39751); #32283=AXIS2_PLACEMENT_3D('',#48727,#39755,#39756); #32284=AXIS2_PLACEMENT_3D('',#48733,#39760,#39761); #32285=AXIS2_PLACEMENT_3D('',#48739,#39765,#39766); #32286=AXIS2_PLACEMENT_3D('',#48745,#39770,#39771); #32287=AXIS2_PLACEMENT_3D('',#48751,#39775,#39776); #32288=AXIS2_PLACEMENT_3D('',#48757,#39780,#39781); #32289=AXIS2_PLACEMENT_3D('',#48763,#39785,#39786); #32290=AXIS2_PLACEMENT_3D('',#48769,#39790,#39791); #32291=AXIS2_PLACEMENT_3D('',#48775,#39795,#39796); #32292=AXIS2_PLACEMENT_3D('',#48781,#39800,#39801); #32293=AXIS2_PLACEMENT_3D('',#48790,#39806,#39807); #32294=AXIS2_PLACEMENT_3D('',#48796,#39811,#39812); #32295=AXIS2_PLACEMENT_3D('',#48802,#39816,#39817); #32296=AXIS2_PLACEMENT_3D('',#48808,#39821,#39822); #32297=AXIS2_PLACEMENT_3D('',#48814,#39826,#39827); #32298=AXIS2_PLACEMENT_3D('',#48820,#39831,#39832); #32299=AXIS2_PLACEMENT_3D('',#48826,#39836,#39837); #32300=AXIS2_PLACEMENT_3D('',#48832,#39841,#39842); #32301=AXIS2_PLACEMENT_3D('',#48838,#39846,#39847); #32302=AXIS2_PLACEMENT_3D('',#48844,#39851,#39852); #32303=AXIS2_PLACEMENT_3D('',#48850,#39856,#39857); #32304=AXIS2_PLACEMENT_3D('',#48856,#39861,#39862); #32305=AXIS2_PLACEMENT_3D('',#48862,#39866,#39867); #32306=AXIS2_PLACEMENT_3D('',#48868,#39871,#39872); #32307=AXIS2_PLACEMENT_3D('',#48874,#39876,#39877); #32308=AXIS2_PLACEMENT_3D('',#48880,#39881,#39882); #32309=AXIS2_PLACEMENT_3D('',#48886,#39886,#39887); #32310=AXIS2_PLACEMENT_3D('',#48892,#39891,#39892); #32311=AXIS2_PLACEMENT_3D('',#48898,#39896,#39897); #32312=AXIS2_PLACEMENT_3D('',#48904,#39901,#39902); #32313=AXIS2_PLACEMENT_3D('',#48910,#39906,#39907); #32314=AXIS2_PLACEMENT_3D('',#48916,#39911,#39912); #32315=AXIS2_PLACEMENT_3D('',#48922,#39916,#39917); #32316=AXIS2_PLACEMENT_3D('',#48928,#39921,#39922); #32317=AXIS2_PLACEMENT_3D('',#48934,#39926,#39927); #32318=AXIS2_PLACEMENT_3D('',#48940,#39931,#39932); #32319=AXIS2_PLACEMENT_3D('',#48946,#39936,#39937); #32320=AXIS2_PLACEMENT_3D('',#48952,#39941,#39942); #32321=AXIS2_PLACEMENT_3D('',#48958,#39946,#39947); #32322=AXIS2_PLACEMENT_3D('',#48964,#39951,#39952); #32323=AXIS2_PLACEMENT_3D('',#48970,#39956,#39957); #32324=AXIS2_PLACEMENT_3D('',#48976,#39961,#39962); #32325=AXIS2_PLACEMENT_3D('',#48982,#39966,#39967); #32326=AXIS2_PLACEMENT_3D('',#48988,#39971,#39972); #32327=AXIS2_PLACEMENT_3D('',#48994,#39976,#39977); #32328=AXIS2_PLACEMENT_3D('',#49000,#39981,#39982); #32329=AXIS2_PLACEMENT_3D('',#49006,#39986,#39987); #32330=AXIS2_PLACEMENT_3D('',#49009,#39990,#39991); #32331=AXIS2_PLACEMENT_3D('',#49015,#39995,#39996); #32332=AXIS2_PLACEMENT_3D('',#49021,#40000,#40001); #32333=AXIS2_PLACEMENT_3D('',#49027,#40005,#40006); #32334=AXIS2_PLACEMENT_3D('',#49033,#40010,#40011); #32335=AXIS2_PLACEMENT_3D('',#49039,#40015,#40016); #32336=AXIS2_PLACEMENT_3D('',#49045,#40020,#40021); #32337=AXIS2_PLACEMENT_3D('',#49051,#40025,#40026); #32338=AXIS2_PLACEMENT_3D('',#49057,#40030,#40031); #32339=AXIS2_PLACEMENT_3D('',#49063,#40035,#40036); #32340=AXIS2_PLACEMENT_3D('',#49066,#40039,#40040); #32341=AXIS2_PLACEMENT_3D('',#49067,#40041,#40042); #32342=AXIS2_PLACEMENT_3D('',#49068,#40043,#40044); #32343=AXIS2_PLACEMENT_3D('',#49093,#40057,#40058); #32344=AXIS2_PLACEMENT_3D('',#49094,#40059,#40060); #32345=AXIS2_PLACEMENT_3D('',#49095,#40061,#40062); #32346=AXIS2_PLACEMENT_3D('',#49096,#40063,#40064); #32347=AXIS2_PLACEMENT_3D('',#49099,#40065,#40066); #32348=AXIS2_PLACEMENT_3D('',#49101,#40067,#40068); #32349=AXIS2_PLACEMENT_3D('',#49103,#40069,#40070); #32350=AXIS2_PLACEMENT_3D('',#49104,#40071,#40072); #32351=AXIS2_PLACEMENT_3D('',#49105,#40073,#40074); #32352=AXIS2_PLACEMENT_3D('',#49107,#40075,#40076); #32353=AXIS2_PLACEMENT_3D('',#49108,#40077,#40078); #32354=AXIS2_PLACEMENT_3D('',#49109,#40079,#40080); #32355=AXIS2_PLACEMENT_3D('',#49111,#40081,#40082); #32356=AXIS2_PLACEMENT_3D('',#49112,#40083,#40084); #32357=AXIS2_PLACEMENT_3D('',#49113,#40085,#40086); #32358=AXIS2_PLACEMENT_3D('',#49117,#40088,#40089); #32359=AXIS2_PLACEMENT_3D('',#49119,#40091,#40092); #32360=AXIS2_PLACEMENT_3D('',#49122,#40094,#40095); #32361=AXIS2_PLACEMENT_3D('',#49123,#40096,#40097); #32362=AXIS2_PLACEMENT_3D('',#49126,#40099,#40100); #32363=AXIS2_PLACEMENT_3D('',#49127,#40101,#40102); #32364=AXIS2_PLACEMENT_3D('',#49130,#40103,#40104); #32365=AXIS2_PLACEMENT_3D('',#49134,#40106,#40107); #32366=AXIS2_PLACEMENT_3D('',#49136,#40109,#40110); #32367=AXIS2_PLACEMENT_3D('',#49139,#40111,#40112); #32368=AXIS2_PLACEMENT_3D('',#49142,#40114,#40115); #32369=AXIS2_PLACEMENT_3D('',#49144,#40117,#40118); #32370=AXIS2_PLACEMENT_3D('',#49147,#40119,#40120); #32371=AXIS2_PLACEMENT_3D('',#49148,#40121,#40122); #32372=AXIS2_PLACEMENT_3D('',#49150,#40123,#40124); #32373=AXIS2_PLACEMENT_3D('',#49151,#40125,#40126); #32374=AXIS2_PLACEMENT_3D('',#49152,#40127,#40128); #32375=AXIS2_PLACEMENT_3D('',#49153,#40129,#40130); #32376=AXIS2_PLACEMENT_3D('',#49154,#40131,#40132); #32377=AXIS2_PLACEMENT_3D('',#49155,#40133,#40134); #32378=AXIS2_PLACEMENT_3D('',#49156,#40135,#40136); #32379=AXIS2_PLACEMENT_3D('',#49159,#40137,#40138); #32380=AXIS2_PLACEMENT_3D('',#49162,#40140,#40141); #32381=AXIS2_PLACEMENT_3D('',#49164,#40143,#40144); #32382=AXIS2_PLACEMENT_3D('',#49165,#40145,#40146); #32383=AXIS2_PLACEMENT_3D('',#49166,#40147,#40148); #32384=AXIS2_PLACEMENT_3D('',#49168,#40150,#40151); #32385=AXIS2_PLACEMENT_3D('',#49172,#40153,#40154); #32386=AXIS2_PLACEMENT_3D('',#49174,#40156,#40157); #32387=AXIS2_PLACEMENT_3D('',#49180,#40161,#40162); #32388=AXIS2_PLACEMENT_3D('',#49183,#40164,#40165); #32389=AXIS2_PLACEMENT_3D('',#49184,#40166,#40167); #32390=AXIS2_PLACEMENT_3D('',#49187,#40169,#40170); #32391=AXIS2_PLACEMENT_3D('',#49188,#40171,#40172); #32392=AXIS2_PLACEMENT_3D('',#49191,#40174,#40175); #32393=AXIS2_PLACEMENT_3D('',#49192,#40176,#40177); #32394=AXIS2_PLACEMENT_3D('',#49194,#40179,#40180); #32395=AXIS2_PLACEMENT_3D('',#49196,#40181,#40182); #32396=AXIS2_PLACEMENT_3D('',#49198,#40183,#40184); #32397=AXIS2_PLACEMENT_3D('',#49199,#40185,#40186); #32398=AXIS2_PLACEMENT_3D('',#49201,#40187,#40188); #32399=AXIS2_PLACEMENT_3D('',#49203,#40190,#40191); #32400=AXIS2_PLACEMENT_3D('',#49206,#40193,#40194); #32401=AXIS2_PLACEMENT_3D('',#49207,#40195,#40196); #32402=AXIS2_PLACEMENT_3D('',#49217,#40200,#40201); #32403=AXIS2_PLACEMENT_3D('',#49222,#40203,#40204); #32404=AXIS2_PLACEMENT_3D('',#49224,#40206,#40207); #32405=AXIS2_PLACEMENT_3D('',#49225,#40208,#40209); #32406=AXIS2_PLACEMENT_3D('',#49231,#40213,#40214); #32407=AXIS2_PLACEMENT_3D('',#49237,#40217,#40218); #32408=AXIS2_PLACEMENT_3D('',#49239,#40220,#40221); #32409=AXIS2_PLACEMENT_3D('',#49241,#40222,#40223); #32410=AXIS2_PLACEMENT_3D('',#49245,#40226,#40227); #32411=AXIS2_PLACEMENT_3D('',#49247,#40228,#40229); #32412=AXIS2_PLACEMENT_3D('',#49249,#40230,#40231); #32413=AXIS2_PLACEMENT_3D('',#49251,#40233,#40234); #32414=AXIS2_PLACEMENT_3D('',#49254,#40235,#40236); #32415=AXIS2_PLACEMENT_3D('',#49258,#40238,#40239); #32416=AXIS2_PLACEMENT_3D('',#49287,#40241,#40242); #32417=AXIS2_PLACEMENT_3D('',#49288,#40243,#40244); #32418=AXIS2_PLACEMENT_3D('',#49289,#40245,#40246); #32419=AXIS2_PLACEMENT_3D('',#49290,#40247,#40248); #32420=AXIS2_PLACEMENT_3D('',#49293,#40249,#40250); #32421=AXIS2_PLACEMENT_3D('',#49296,#40253,#40254); #32422=AXIS2_PLACEMENT_3D('',#49299,#40255,#40256); #32423=AXIS2_PLACEMENT_3D('',#49300,#40257,#40258); #32424=AXIS2_PLACEMENT_3D('',#49301,#40259,#40260); #32425=AXIS2_PLACEMENT_3D('',#49302,#40261,#40262); #32426=AXIS2_PLACEMENT_3D('',#49307,#40265,#40266); #32427=AXIS2_PLACEMENT_3D('',#49308,#40267,#40268); #32428=AXIS2_PLACEMENT_3D('',#49316,#40273,#40274); #32429=AXIS2_PLACEMENT_3D('',#49319,#40277,#40278); #32430=AXIS2_PLACEMENT_3D('',#49321,#40280,#40281); #32431=AXIS2_PLACEMENT_3D('',#49324,#40283,#40284); #32432=AXIS2_PLACEMENT_3D('',#49325,#40285,#40286); #32433=AXIS2_PLACEMENT_3D('',#49329,#40289,#40290); #32434=AXIS2_PLACEMENT_3D('',#49340,#40295,#40296); #32435=AXIS2_PLACEMENT_3D('',#49346,#40300,#40301); #32436=AXIS2_PLACEMENT_3D('',#49354,#40304,#40305); #32437=AXIS2_PLACEMENT_3D('',#49355,#40306,#40307); #32438=AXIS2_PLACEMENT_3D('',#49359,#40310,#40311); #32439=AXIS2_PLACEMENT_3D('',#49365,#40315,#40316); #32440=AXIS2_PLACEMENT_3D('',#49369,#40319,#40320); #32441=AXIS2_PLACEMENT_3D('',#49372,#40323,#40324); #32442=AXIS2_PLACEMENT_3D('',#49373,#40325,#40326); #32443=AXIS2_PLACEMENT_3D('',#49374,#40327,#40328); #32444=AXIS2_PLACEMENT_3D('',#49375,#40329,#40330); #32445=AXIS2_PLACEMENT_3D('',#49376,#40331,#40332); #32446=AXIS2_PLACEMENT_3D('',#49378,#40334,#40335); #32447=AXIS2_PLACEMENT_3D('',#49380,#40337,#40338); #32448=AXIS2_PLACEMENT_3D('',#49384,#40340,#40341); #32449=AXIS2_PLACEMENT_3D('',#49386,#40343,#40344); #32450=AXIS2_PLACEMENT_3D('',#49390,#40347,#40348); #32451=AXIS2_PLACEMENT_3D('',#49397,#40352,#40353); #32452=AXIS2_PLACEMENT_3D('',#49399,#40354,#40355); #32453=AXIS2_PLACEMENT_3D('',#49400,#40356,#40357); #32454=AXIS2_PLACEMENT_3D('',#49403,#40358,#40359); #32455=AXIS2_PLACEMENT_3D('',#49407,#40361,#40362); #32456=AXIS2_PLACEMENT_3D('',#49411,#40364,#40365); #32457=AXIS2_PLACEMENT_3D('',#49415,#40367,#40368); #32458=AXIS2_PLACEMENT_3D('',#49416,#40369,#40370); #32459=AXIS2_PLACEMENT_3D('',#49418,#40371,#40372); #32460=AXIS2_PLACEMENT_3D('',#49419,#40373,#40374); #32461=AXIS2_PLACEMENT_3D('',#49426,#40378,#40379); #32462=AXIS2_PLACEMENT_3D('',#49427,#40380,#40381); #32463=AXIS2_PLACEMENT_3D('',#49431,#40384,#40385); #32464=AXIS2_PLACEMENT_3D('',#49434,#40386,#40387); #32465=AXIS2_PLACEMENT_3D('',#49436,#40388,#40389); #32466=AXIS2_PLACEMENT_3D('',#49437,#40390,#40391); #32467=AXIS2_PLACEMENT_3D('',#49438,#40392,#40393); #32468=AXIS2_PLACEMENT_3D('',#49439,#40394,#40395); #32469=AXIS2_PLACEMENT_3D('',#49441,#40396,#40397); #32470=AXIS2_PLACEMENT_3D('',#49442,#40398,#40399); #32471=AXIS2_PLACEMENT_3D('',#49443,#40400,#40401); #32472=AXIS2_PLACEMENT_3D('',#49444,#40402,#40403); #32473=AXIS2_PLACEMENT_3D('',#49445,#40404,#40405); #32474=AXIS2_PLACEMENT_3D('',#49448,#40408,#40409); #32475=AXIS2_PLACEMENT_3D('',#49450,#40411,#40412); #32476=AXIS2_PLACEMENT_3D('',#49451,#40413,#40414); #32477=AXIS2_PLACEMENT_3D('',#49454,#40415,#40416); #32478=AXIS2_PLACEMENT_3D('',#49458,#40418,#40419); #32479=AXIS2_PLACEMENT_3D('',#49460,#40421,#40422); #32480=AXIS2_PLACEMENT_3D('',#49463,#40423,#40424); #32481=AXIS2_PLACEMENT_3D('',#49466,#40426,#40427); #32482=AXIS2_PLACEMENT_3D('',#49468,#40429,#40430); #32483=AXIS2_PLACEMENT_3D('',#49471,#40431,#40432); #32484=AXIS2_PLACEMENT_3D('',#49473,#40433,#40434); #32485=AXIS2_PLACEMENT_3D('',#49474,#40435,#40436); #32486=AXIS2_PLACEMENT_3D('',#49475,#40437,#40438); #32487=AXIS2_PLACEMENT_3D('',#49476,#40439,#40440); #32488=AXIS2_PLACEMENT_3D('',#49477,#40441,#40442); #32489=AXIS2_PLACEMENT_3D('',#49478,#40443,#40444); #32490=AXIS2_PLACEMENT_3D('',#49479,#40445,#40446); #32491=AXIS2_PLACEMENT_3D('',#49480,#40447,#40448); #32492=AXIS2_PLACEMENT_3D('',#49483,#40449,#40450); #32493=AXIS2_PLACEMENT_3D('',#49486,#40452,#40453); #32494=AXIS2_PLACEMENT_3D('',#49488,#40455,#40456); #32495=AXIS2_PLACEMENT_3D('',#49489,#40457,#40458); #32496=AXIS2_PLACEMENT_3D('',#49490,#40459,#40460); #32497=AXIS2_PLACEMENT_3D('',#49492,#40462,#40463); #32498=AXIS2_PLACEMENT_3D('',#49495,#40466,#40467); #32499=AXIS2_PLACEMENT_3D('',#49498,#40470,#40471); #32500=AXIS2_PLACEMENT_3D('',#49500,#40473,#40474); #32501=AXIS2_PLACEMENT_3D('',#49502,#40476,#40477); #32502=AXIS2_PLACEMENT_3D('',#49504,#40479,#40480); #32503=AXIS2_PLACEMENT_3D('',#49505,#40481,#40482); #32504=AXIS2_PLACEMENT_3D('',#49507,#40483,#40484); #32505=AXIS2_PLACEMENT_3D('',#49509,#40485,#40486); #32506=AXIS2_PLACEMENT_3D('',#49510,#40487,#40488); #32507=AXIS2_PLACEMENT_3D('',#49512,#40490,#40491); #32508=AXIS2_PLACEMENT_3D('',#49514,#40493,#40494); #32509=AXIS2_PLACEMENT_3D('',#49516,#40495,#40496); #32510=AXIS2_PLACEMENT_3D('',#49518,#40498,#40499); #32511=AXIS2_PLACEMENT_3D('',#49520,#40501,#40502); #32512=AXIS2_PLACEMENT_3D('',#49522,#40504,#40505); #32513=AXIS2_PLACEMENT_3D('',#49523,#40506,#40507); #32514=AXIS2_PLACEMENT_3D('',#49533,#40511,#40512); #32515=AXIS2_PLACEMENT_3D('',#49538,#40515,#40516); #32516=AXIS2_PLACEMENT_3D('',#49539,#40517,#40518); #32517=AXIS2_PLACEMENT_3D('',#49543,#40521,#40522); #32518=AXIS2_PLACEMENT_3D('',#49544,#40523,#40524); #32519=AXIS2_PLACEMENT_3D('',#49548,#40526,#40527); #32520=AXIS2_PLACEMENT_3D('',#49552,#40530,#40531); #32521=AXIS2_PLACEMENT_3D('',#49557,#40534,#40535); #32522=AXIS2_PLACEMENT_3D('',#49558,#40536,#40537); #32523=AXIS2_PLACEMENT_3D('',#49560,#40538,#40539); #32524=AXIS2_PLACEMENT_3D('',#49563,#40541,#40542); #32525=AXIS2_PLACEMENT_3D('',#49564,#40543,#40544); #32526=AXIS2_PLACEMENT_3D('',#49567,#40545,#40546); #32527=AXIS2_PLACEMENT_3D('',#49571,#40548,#40549); #32528=AXIS2_PLACEMENT_3D('',#49600,#40551,#40552); #32529=AXIS2_PLACEMENT_3D('',#49601,#40553,#40554); #32530=AXIS2_PLACEMENT_3D('',#49602,#40555,#40556); #32531=AXIS2_PLACEMENT_3D('',#49603,#40557,#40558); #32532=AXIS2_PLACEMENT_3D('',#49606,#40559,#40560); #32533=AXIS2_PLACEMENT_3D('',#49609,#40563,#40564); #32534=AXIS2_PLACEMENT_3D('',#49612,#40565,#40566); #32535=AXIS2_PLACEMENT_3D('',#49613,#40567,#40568); #32536=AXIS2_PLACEMENT_3D('',#49614,#40569,#40570); #32537=AXIS2_PLACEMENT_3D('',#49615,#40571,#40572); #32538=AXIS2_PLACEMENT_3D('',#49618,#40575,#40576); #32539=AXIS2_PLACEMENT_3D('',#49622,#40579,#40580); #32540=AXIS2_PLACEMENT_3D('',#49625,#40583,#40584); #32541=AXIS2_PLACEMENT_3D('',#49627,#40586,#40587); #32542=AXIS2_PLACEMENT_3D('',#49629,#40588,#40589); #32543=AXIS2_PLACEMENT_3D('',#49631,#40591,#40592); #32544=AXIS2_PLACEMENT_3D('',#49635,#40595,#40596); #32545=AXIS2_PLACEMENT_3D('',#49645,#40600,#40601); #32546=AXIS2_PLACEMENT_3D('',#49651,#40605,#40606); #32547=AXIS2_PLACEMENT_3D('',#49653,#40607,#40608); #32548=AXIS2_PLACEMENT_3D('',#49660,#40611,#40612); #32549=AXIS2_PLACEMENT_3D('',#49664,#40615,#40616); #32550=AXIS2_PLACEMENT_3D('',#49670,#40620,#40621); #32551=AXIS2_PLACEMENT_3D('',#49674,#40624,#40625); #32552=AXIS2_PLACEMENT_3D('',#49677,#40628,#40629); #32553=AXIS2_PLACEMENT_3D('',#49678,#40630,#40631); #32554=AXIS2_PLACEMENT_3D('',#49679,#40632,#40633); #32555=AXIS2_PLACEMENT_3D('',#49680,#40634,#40635); #32556=AXIS2_PLACEMENT_3D('',#49681,#40636,#40637); #32557=AXIS2_PLACEMENT_3D('',#49682,#40638,#40639); #32558=AXIS2_PLACEMENT_3D('',#49684,#40641,#40642); #32559=AXIS2_PLACEMENT_3D('placement',#49685,#40643,#40644); #32560=AXIS2_PLACEMENT_3D('',#49686,#40645,#40646); #32561=AXIS2_PLACEMENT_3D('',#49688,#40647,#40648); #32562=AXIS2_PLACEMENT_3D('',#49690,#40649,#40650); #32563=AXIS2_PLACEMENT_3D('',#49692,#40651,#40652); #32564=AXIS2_PLACEMENT_3D('',#49693,#40653,#40654); #32565=AXIS2_PLACEMENT_3D('',#49694,#40655,#40656); #32566=AXIS2_PLACEMENT_3D('',#49697,#40657,#40658); #32567=AXIS2_PLACEMENT_3D('',#49698,#40659,#40660); #32568=AXIS2_PLACEMENT_3D('',#49700,#40662,#40663); #32569=AXIS2_PLACEMENT_3D('',#49702,#40664,#40665); #32570=AXIS2_PLACEMENT_3D('',#49703,#40666,#40667); #32571=AXIS2_PLACEMENT_3D('',#49705,#40668,#40669); #32572=AXIS2_PLACEMENT_3D('',#49707,#40671,#40672); #32573=AXIS2_PLACEMENT_3D('',#49708,#40673,#40674); #32574=AXIS2_PLACEMENT_3D('',#49711,#40675,#40676); #32575=AXIS2_PLACEMENT_3D('',#49712,#40677,#40678); #32576=AXIS2_PLACEMENT_3D('',#49714,#40679,#40680); #32577=AXIS2_PLACEMENT_3D('',#49715,#40681,#40682); #32578=AXIS2_PLACEMENT_3D('',#49716,#40683,#40684); #32579=AXIS2_PLACEMENT_3D('',#49729,#40691,#40692); #32580=AXIS2_PLACEMENT_3D('',#49731,#40694,#40695); #32581=AXIS2_PLACEMENT_3D('',#49739,#40698,#40699); #32582=AXIS2_PLACEMENT_3D('',#49745,#40701,#40702); #32583=AXIS2_PLACEMENT_3D('',#49751,#40704,#40705); #32584=AXIS2_PLACEMENT_3D('',#49757,#40707,#40708); #32585=AXIS2_PLACEMENT_3D('',#49767,#40710,#40711); #32586=AXIS2_PLACEMENT_3D('',#49771,#40712,#40713); #32587=AXIS2_PLACEMENT_3D('',#49774,#40715,#40716); #32588=AXIS2_PLACEMENT_3D('',#49775,#40717,#40718); #32589=AXIS2_PLACEMENT_3D('',#49776,#40719,#40720); #32590=AXIS2_PLACEMENT_3D('',#49777,#40721,#40722); #32591=AXIS2_PLACEMENT_3D('',#49778,#40723,#40724); #32592=AXIS2_PLACEMENT_3D('',#49779,#40725,#40726); #32593=AXIS2_PLACEMENT_3D('',#49780,#40727,#40728); #32594=AXIS2_PLACEMENT_3D('',#49781,#40729,#40730); #32595=AXIS2_PLACEMENT_3D('',#49782,#40731,#40732); #32596=AXIS2_PLACEMENT_3D('',#49783,#40733,#40734); #32597=AXIS2_PLACEMENT_3D('',#49784,#40735,#40736); #32598=AXIS2_PLACEMENT_3D('',#49785,#40737,#40738); #32599=AXIS2_PLACEMENT_3D('',#49786,#40739,#40740); #32600=AXIS2_PLACEMENT_3D('',#49787,#40741,#40742); #32601=AXIS2_PLACEMENT_3D('',#49788,#40743,#40744); #32602=AXIS2_PLACEMENT_3D('',#49789,#40745,#40746); #32603=AXIS2_PLACEMENT_3D('',#49790,#40747,#40748); #32604=AXIS2_PLACEMENT_3D('',#49791,#40749,#40750); #32605=AXIS2_PLACEMENT_3D('',#49792,#40751,#40752); #32606=AXIS2_PLACEMENT_3D('',#49793,#40753,#40754); #32607=AXIS2_PLACEMENT_3D('',#49794,#40755,#40756); #32608=AXIS2_PLACEMENT_3D('',#49795,#40757,#40758); #32609=AXIS2_PLACEMENT_3D('',#49796,#40759,#40760); #32610=AXIS2_PLACEMENT_3D('',#49797,#40761,#40762); #32611=AXIS2_PLACEMENT_3D('',#49798,#40763,#40764); #32612=AXIS2_PLACEMENT_3D('',#49799,#40765,#40766); #32613=AXIS2_PLACEMENT_3D('',#49800,#40767,#40768); #32614=AXIS2_PLACEMENT_3D('',#49801,#40769,#40770); #32615=AXIS2_PLACEMENT_3D('',#49802,#40771,#40772); #32616=AXIS2_PLACEMENT_3D('',#49803,#40773,#40774); #32617=AXIS2_PLACEMENT_3D('',#49804,#40775,#40776); #32618=AXIS2_PLACEMENT_3D('',#49805,#40777,#40778); #32619=AXIS2_PLACEMENT_3D('',#49806,#40779,#40780); #32620=AXIS2_PLACEMENT_3D('',#49807,#40781,#40782); #32621=AXIS2_PLACEMENT_3D('',#49808,#40783,#40784); #32622=AXIS2_PLACEMENT_3D('',#49809,#40785,#40786); #32623=AXIS2_PLACEMENT_3D('',#49810,#40787,#40788); #32624=AXIS2_PLACEMENT_3D('',#49811,#40789,#40790); #32625=AXIS2_PLACEMENT_3D('',#49812,#40791,#40792); #32626=AXIS2_PLACEMENT_3D('',#49813,#40793,#40794); #32627=AXIS2_PLACEMENT_3D('',#49814,#40795,#40796); #32628=AXIS2_PLACEMENT_3D('',#49815,#40797,#40798); #32629=AXIS2_PLACEMENT_3D('',#49816,#40799,#40800); #32630=AXIS2_PLACEMENT_3D('',#49817,#40801,#40802); #32631=AXIS2_PLACEMENT_3D('',#49818,#40803,#40804); #32632=AXIS2_PLACEMENT_3D('',#49819,#40805,#40806); #32633=AXIS2_PLACEMENT_3D('',#49820,#40807,#40808); #32634=AXIS2_PLACEMENT_3D('',#49821,#40809,#40810); #32635=AXIS2_PLACEMENT_3D('',#49822,#40811,#40812); #32636=AXIS2_PLACEMENT_3D('',#49823,#40813,#40814); #32637=AXIS2_PLACEMENT_3D('',#49824,#40815,#40816); #32638=AXIS2_PLACEMENT_3D('',#49825,#40817,#40818); #32639=AXIS2_PLACEMENT_3D('',#49826,#40819,#40820); #32640=AXIS2_PLACEMENT_3D('',#49827,#40821,#40822); #32641=AXIS2_PLACEMENT_3D('',#49828,#40823,#40824); #32642=AXIS2_PLACEMENT_3D('',#49829,#40825,#40826); #32643=AXIS2_PLACEMENT_3D('',#49830,#40827,#40828); #32644=AXIS2_PLACEMENT_3D('',#49831,#40829,#40830); #32645=AXIS2_PLACEMENT_3D('',#49832,#40831,#40832); #32646=AXIS2_PLACEMENT_3D('',#49833,#40833,#40834); #32647=AXIS2_PLACEMENT_3D('',#49834,#40835,#40836); #32648=AXIS2_PLACEMENT_3D('',#49835,#40837,#40838); #32649=AXIS2_PLACEMENT_3D('',#49836,#40839,#40840); #32650=AXIS2_PLACEMENT_3D('',#49837,#40841,#40842); #32651=AXIS2_PLACEMENT_3D('',#49838,#40843,#40844); #32652=AXIS2_PLACEMENT_3D('',#49839,#40845,#40846); #32653=AXIS2_PLACEMENT_3D('',#49840,#40847,#40848); #32654=AXIS2_PLACEMENT_3D('',#49841,#40849,#40850); #32655=AXIS2_PLACEMENT_3D('',#49842,#40851,#40852); #32656=AXIS2_PLACEMENT_3D('',#49843,#40853,#40854); #32657=AXIS2_PLACEMENT_3D('',#49844,#40855,#40856); #32658=AXIS2_PLACEMENT_3D('',#49845,#40857,#40858); #32659=AXIS2_PLACEMENT_3D('',#49846,#40859,#40860); #32660=AXIS2_PLACEMENT_3D('',#49847,#40861,#40862); #32661=AXIS2_PLACEMENT_3D('',#49848,#40863,#40864); #32662=AXIS2_PLACEMENT_3D('',#49849,#40865,#40866); #32663=AXIS2_PLACEMENT_3D('',#49850,#40867,#40868); #32664=AXIS2_PLACEMENT_3D('',#49851,#40869,#40870); #32665=AXIS2_PLACEMENT_3D('',#49852,#40871,#40872); #32666=AXIS2_PLACEMENT_3D('',#49853,#40873,#40874); #32667=AXIS2_PLACEMENT_3D('',#49854,#40875,#40876); #32668=AXIS2_PLACEMENT_3D('',#49855,#40877,#40878); #32669=AXIS2_PLACEMENT_3D('',#49856,#40879,#40880); #32670=AXIS2_PLACEMENT_3D('',#49857,#40881,#40882); #32671=AXIS2_PLACEMENT_3D('',#49858,#40883,#40884); #32672=AXIS2_PLACEMENT_3D('',#49859,#40885,#40886); #32673=AXIS2_PLACEMENT_3D('',#49860,#40887,#40888); #32674=AXIS2_PLACEMENT_3D('',#49861,#40889,#40890); #32675=AXIS2_PLACEMENT_3D('',#49862,#40891,#40892); #32676=AXIS2_PLACEMENT_3D('',#49863,#40893,#40894); #32677=AXIS2_PLACEMENT_3D('',#49864,#40895,#40896); #32678=AXIS2_PLACEMENT_3D('',#49865,#40897,#40898); #32679=AXIS2_PLACEMENT_3D('',#49866,#40899,#40900); #32680=AXIS2_PLACEMENT_3D('',#49867,#40901,#40902); #32681=AXIS2_PLACEMENT_3D('',#49868,#40903,#40904); #32682=AXIS2_PLACEMENT_3D('',#49869,#40905,#40906); #32683=DIRECTION('axis',(0.,0.,1.)); #32684=DIRECTION('refdir',(1.,0.,0.)); #32685=DIRECTION('axis',(0.,0.,1.)); #32686=DIRECTION('refdir',(1.,0.,0.)); #32687=DIRECTION('center_axis',(0.,0.,1.)); #32688=DIRECTION('ref_axis',(1.,0.,0.)); #32689=DIRECTION('center_axis',(0.,0.,-1.)); #32690=DIRECTION('ref_axis',(1.,0.,0.)); #32691=DIRECTION('',(0.,0.,-1.)); #32692=DIRECTION('center_axis',(0.,0.,1.)); #32693=DIRECTION('ref_axis',(1.,0.,0.)); #32694=DIRECTION('center_axis',(0.,0.,1.)); #32695=DIRECTION('ref_axis',(1.,0.,0.)); #32696=DIRECTION('center_axis',(0.,0.,-1.)); #32697=DIRECTION('ref_axis',(1.,0.,0.)); #32698=DIRECTION('',(0.,0.,-1.)); #32699=DIRECTION('center_axis',(0.,0.,1.)); #32700=DIRECTION('ref_axis',(1.,0.,0.)); #32701=DIRECTION('center_axis',(0.,0.,1.)); #32702=DIRECTION('ref_axis',(1.,0.,0.)); #32703=DIRECTION('center_axis',(0.,0.,-1.)); #32704=DIRECTION('ref_axis',(1.,0.,0.)); #32705=DIRECTION('',(0.,0.,-1.)); #32706=DIRECTION('center_axis',(0.,0.,1.)); #32707=DIRECTION('ref_axis',(1.,0.,0.)); #32708=DIRECTION('center_axis',(0.,0.,1.)); #32709=DIRECTION('ref_axis',(1.,0.,0.)); #32710=DIRECTION('center_axis',(0.,0.,-1.)); #32711=DIRECTION('ref_axis',(1.,0.,0.)); #32712=DIRECTION('',(0.,0.,-1.)); #32713=DIRECTION('center_axis',(0.,0.,1.)); #32714=DIRECTION('ref_axis',(1.,0.,0.)); #32715=DIRECTION('center_axis',(0.,0.,1.)); #32716=DIRECTION('ref_axis',(1.,0.,0.)); #32717=DIRECTION('center_axis',(0.,0.,-1.)); #32718=DIRECTION('ref_axis',(1.,0.,0.)); #32719=DIRECTION('',(0.,0.,-1.)); #32720=DIRECTION('center_axis',(0.,0.,1.)); #32721=DIRECTION('ref_axis',(1.,0.,0.)); #32722=DIRECTION('center_axis',(0.,0.,1.)); #32723=DIRECTION('ref_axis',(1.,0.,0.)); #32724=DIRECTION('center_axis',(0.,0.,-1.)); #32725=DIRECTION('ref_axis',(1.,0.,0.)); #32726=DIRECTION('',(0.,0.,-1.)); #32727=DIRECTION('center_axis',(0.,0.,1.)); #32728=DIRECTION('ref_axis',(1.,0.,0.)); #32729=DIRECTION('center_axis',(0.,0.,1.)); #32730=DIRECTION('ref_axis',(1.,0.,0.)); #32731=DIRECTION('center_axis',(0.,0.,-1.)); #32732=DIRECTION('ref_axis',(1.,0.,0.)); #32733=DIRECTION('',(0.,0.,-1.)); #32734=DIRECTION('center_axis',(0.,0.,1.)); #32735=DIRECTION('ref_axis',(1.,0.,0.)); #32736=DIRECTION('center_axis',(0.,0.,1.)); #32737=DIRECTION('ref_axis',(1.,0.,0.)); #32738=DIRECTION('center_axis',(0.,0.,-1.)); #32739=DIRECTION('ref_axis',(1.,0.,0.)); #32740=DIRECTION('',(0.,0.,-1.)); #32741=DIRECTION('center_axis',(0.,0.,1.)); #32742=DIRECTION('ref_axis',(1.,0.,0.)); #32743=DIRECTION('center_axis',(0.,0.,1.)); #32744=DIRECTION('ref_axis',(1.,0.,0.)); #32745=DIRECTION('center_axis',(0.,0.,-1.)); #32746=DIRECTION('ref_axis',(1.,0.,0.)); #32747=DIRECTION('',(0.,0.,-1.)); #32748=DIRECTION('center_axis',(0.,0.,1.)); #32749=DIRECTION('ref_axis',(1.,0.,0.)); #32750=DIRECTION('center_axis',(0.,0.,1.)); #32751=DIRECTION('ref_axis',(1.,0.,0.)); #32752=DIRECTION('center_axis',(0.,0.,-1.)); #32753=DIRECTION('ref_axis',(1.,0.,0.)); #32754=DIRECTION('',(0.,0.,-1.)); #32755=DIRECTION('center_axis',(0.,0.,1.)); #32756=DIRECTION('ref_axis',(1.,0.,0.)); #32757=DIRECTION('center_axis',(0.,0.,1.)); #32758=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,0.)); #32759=DIRECTION('center_axis',(0.,0.,-1.)); #32760=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,0.)); #32761=DIRECTION('',(0.,0.,-1.)); #32762=DIRECTION('center_axis',(0.,0.,1.)); #32763=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,0.)); #32764=DIRECTION('center_axis',(0.,0.,1.)); #32765=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #32766=DIRECTION('center_axis',(0.,0.,-1.)); #32767=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #32768=DIRECTION('',(0.,0.,-1.)); #32769=DIRECTION('center_axis',(0.,0.,1.)); #32770=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #32771=DIRECTION('center_axis',(0.,0.,1.)); #32772=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #32773=DIRECTION('center_axis',(0.,0.,-1.)); #32774=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #32775=DIRECTION('',(0.,0.,-1.)); #32776=DIRECTION('center_axis',(0.,0.,1.)); #32777=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #32778=DIRECTION('center_axis',(0.,0.,1.)); #32779=DIRECTION('ref_axis',(1.,0.,0.)); #32780=DIRECTION('center_axis',(0.,0.,-1.)); #32781=DIRECTION('ref_axis',(1.,0.,0.)); #32782=DIRECTION('',(0.,0.,-1.)); #32783=DIRECTION('center_axis',(0.,0.,1.)); #32784=DIRECTION('ref_axis',(1.,0.,0.)); #32785=DIRECTION('center_axis',(1.,0.,0.)); #32786=DIRECTION('ref_axis',(0.,-1.,0.)); #32787=DIRECTION('',(0.,1.,0.)); #32788=DIRECTION('',(0.,0.,-1.)); #32789=DIRECTION('',(0.,-1.,0.)); #32790=DIRECTION('',(0.,0.,-1.)); #32791=DIRECTION('center_axis',(0.,0.,-1.)); #32792=DIRECTION('ref_axis',(1.,0.,0.)); #32793=DIRECTION('center_axis',(0.,0.,1.)); #32794=DIRECTION('ref_axis',(1.,0.,0.)); #32795=DIRECTION('center_axis',(0.,0.,-1.)); #32796=DIRECTION('ref_axis',(1.,0.,0.)); #32797=DIRECTION('',(0.,0.,-1.)); #32798=DIRECTION('center_axis',(-1.,0.,0.)); #32799=DIRECTION('ref_axis',(0.,1.,0.)); #32800=DIRECTION('',(0.,-1.,0.)); #32801=DIRECTION('',(0.,1.,0.)); #32802=DIRECTION('',(0.,0.,-1.)); #32803=DIRECTION('center_axis',(0.,0.,-1.)); #32804=DIRECTION('ref_axis',(-1.,-1.20274161001059E-16,0.)); #32805=DIRECTION('center_axis',(0.,0.,1.)); #32806=DIRECTION('ref_axis',(-1.,-1.20274161001059E-16,0.)); #32807=DIRECTION('center_axis',(0.,0.,-1.)); #32808=DIRECTION('ref_axis',(-1.,-1.20274161001059E-16,0.)); #32809=DIRECTION('center_axis',(0.,0.,1.)); #32810=DIRECTION('ref_axis',(1.,0.,0.)); #32811=DIRECTION('center_axis',(0.,0.,-1.)); #32812=DIRECTION('ref_axis',(1.,0.,0.)); #32813=DIRECTION('',(0.,0.,-1.)); #32814=DIRECTION('center_axis',(0.,0.,1.)); #32815=DIRECTION('ref_axis',(1.,0.,0.)); #32816=DIRECTION('center_axis',(0.,0.,1.)); #32817=DIRECTION('ref_axis',(1.,0.,0.)); #32818=DIRECTION('center_axis',(0.,0.,-1.)); #32819=DIRECTION('ref_axis',(1.,0.,0.)); #32820=DIRECTION('',(0.,0.,-1.)); #32821=DIRECTION('center_axis',(0.,0.,1.)); #32822=DIRECTION('ref_axis',(1.,0.,0.)); #32823=DIRECTION('center_axis',(0.,0.,1.)); #32824=DIRECTION('ref_axis',(1.,0.,0.)); #32825=DIRECTION('center_axis',(0.,0.,-1.)); #32826=DIRECTION('ref_axis',(1.,0.,0.)); #32827=DIRECTION('',(0.,0.,-1.)); #32828=DIRECTION('center_axis',(0.,0.,1.)); #32829=DIRECTION('ref_axis',(1.,0.,0.)); #32830=DIRECTION('center_axis',(0.,0.,1.)); #32831=DIRECTION('ref_axis',(1.,0.,0.)); #32832=DIRECTION('center_axis',(0.,0.,-1.)); #32833=DIRECTION('ref_axis',(1.,0.,0.)); #32834=DIRECTION('',(0.,0.,-1.)); #32835=DIRECTION('center_axis',(0.,0.,1.)); #32836=DIRECTION('ref_axis',(1.,0.,0.)); #32837=DIRECTION('center_axis',(0.,0.,1.)); #32838=DIRECTION('ref_axis',(1.,0.,0.)); #32839=DIRECTION('center_axis',(0.,0.,-1.)); #32840=DIRECTION('ref_axis',(1.,0.,0.)); #32841=DIRECTION('',(0.,0.,-1.)); #32842=DIRECTION('center_axis',(0.,0.,1.)); #32843=DIRECTION('ref_axis',(1.,0.,0.)); #32844=DIRECTION('center_axis',(0.,0.,1.)); #32845=DIRECTION('ref_axis',(1.,0.,0.)); #32846=DIRECTION('center_axis',(0.,0.,-1.)); #32847=DIRECTION('ref_axis',(1.,0.,0.)); #32848=DIRECTION('',(0.,0.,-1.)); #32849=DIRECTION('center_axis',(0.,0.,1.)); #32850=DIRECTION('ref_axis',(1.,0.,0.)); #32851=DIRECTION('center_axis',(0.,0.,-1.)); #32852=DIRECTION('ref_axis',(-1.,0.,0.)); #32853=DIRECTION('center_axis',(0.,0.,1.)); #32854=DIRECTION('ref_axis',(-1.,0.,0.)); #32855=DIRECTION('',(0.,0.,-1.)); #32856=DIRECTION('center_axis',(0.,0.,-1.)); #32857=DIRECTION('ref_axis',(-1.,0.,0.)); #32858=DIRECTION('',(0.,0.,-1.)); #32859=DIRECTION('center_axis',(1.,0.,0.)); #32860=DIRECTION('ref_axis',(0.,-1.,0.)); #32861=DIRECTION('',(0.,1.,0.)); #32862=DIRECTION('',(0.,-1.,0.)); #32863=DIRECTION('',(0.,0.,-1.)); #32864=DIRECTION('center_axis',(0.,0.,-1.)); #32865=DIRECTION('ref_axis',(1.,0.,0.)); #32866=DIRECTION('center_axis',(0.,0.,1.)); #32867=DIRECTION('ref_axis',(1.,0.,0.)); #32868=DIRECTION('center_axis',(0.,0.,-1.)); #32869=DIRECTION('ref_axis',(1.,0.,0.)); #32870=DIRECTION('',(0.,0.,-1.)); #32871=DIRECTION('center_axis',(-1.,0.,0.)); #32872=DIRECTION('ref_axis',(0.,1.,0.)); #32873=DIRECTION('',(0.,-1.,0.)); #32874=DIRECTION('',(0.,1.,0.)); #32875=DIRECTION('center_axis',(0.,0.,-1.)); #32876=DIRECTION('ref_axis',(1.,-6.45947941600086E-15,0.)); #32877=DIRECTION('center_axis',(0.,0.,1.)); #32878=DIRECTION('ref_axis',(1.,-6.45947941600086E-15,0.)); #32879=DIRECTION('',(0.,0.,-1.)); #32880=DIRECTION('center_axis',(0.,0.,-1.)); #32881=DIRECTION('ref_axis',(1.,-6.45947941600086E-15,0.)); #32882=DIRECTION('',(0.,0.,-1.)); #32883=DIRECTION('center_axis',(-1.,7.105427357601E-15,0.)); #32884=DIRECTION('ref_axis',(7.105427357601E-15,1.,0.)); #32885=DIRECTION('',(-7.105427357601E-15,-1.,0.)); #32886=DIRECTION('',(7.105427357601E-15,1.,0.)); #32887=DIRECTION('',(0.,0.,-1.)); #32888=DIRECTION('center_axis',(0.,0.,-1.)); #32889=DIRECTION('ref_axis',(-1.,6.45947941600094E-15,0.)); #32890=DIRECTION('center_axis',(0.,0.,1.)); #32891=DIRECTION('ref_axis',(-1.,6.45947941600094E-15,0.)); #32892=DIRECTION('center_axis',(0.,0.,-1.)); #32893=DIRECTION('ref_axis',(-1.,6.45947941600094E-15,0.)); #32894=DIRECTION('',(0.,0.,-1.)); #32895=DIRECTION('center_axis',(1.,-1.06581410364015E-14,0.)); #32896=DIRECTION('ref_axis',(-1.06581410364015E-14,-1.,0.)); #32897=DIRECTION('',(1.06581410364015E-14,1.,0.)); #32898=DIRECTION('',(-1.06581410364015E-14,-1.,0.)); #32899=DIRECTION('center_axis',(0.,0.,-1.)); #32900=DIRECTION('ref_axis',(1.,0.,0.)); #32901=DIRECTION('center_axis',(0.,0.,1.)); #32902=DIRECTION('ref_axis',(1.,0.,0.)); #32903=DIRECTION('',(0.,0.,-1.)); #32904=DIRECTION('center_axis',(0.,0.,-1.)); #32905=DIRECTION('ref_axis',(1.,0.,0.)); #32906=DIRECTION('',(0.,0.,-1.)); #32907=DIRECTION('center_axis',(1.,0.,0.)); #32908=DIRECTION('ref_axis',(0.,-1.,0.)); #32909=DIRECTION('',(0.,1.,0.)); #32910=DIRECTION('',(0.,-1.,0.)); #32911=DIRECTION('',(0.,0.,-1.)); #32912=DIRECTION('center_axis',(0.,0.,-1.)); #32913=DIRECTION('ref_axis',(-1.,0.,0.)); #32914=DIRECTION('center_axis',(0.,0.,1.)); #32915=DIRECTION('ref_axis',(-1.,0.,0.)); #32916=DIRECTION('center_axis',(0.,0.,-1.)); #32917=DIRECTION('ref_axis',(-1.,0.,0.)); #32918=DIRECTION('',(0.,0.,-1.)); #32919=DIRECTION('center_axis',(-1.,0.,0.)); #32920=DIRECTION('ref_axis',(0.,1.,0.)); #32921=DIRECTION('',(0.,-1.,0.)); #32922=DIRECTION('',(0.,1.,0.)); #32923=DIRECTION('center_axis',(0.,0.,1.)); #32924=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #32925=DIRECTION('center_axis',(0.,0.,-1.)); #32926=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #32927=DIRECTION('',(0.,0.,-1.)); #32928=DIRECTION('center_axis',(0.,0.,1.)); #32929=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #32930=DIRECTION('',(0.,0.,1.)); #32931=DIRECTION('center_axis',(-8.88178419700125E-16,-1.,0.)); #32932=DIRECTION('ref_axis',(-1.,8.88178419700125E-16,0.)); #32933=DIRECTION('',(1.,-8.88178419700125E-16,0.)); #32934=DIRECTION('',(0.,0.,-1.)); #32935=DIRECTION('',(-1.,8.88178419700125E-16,0.)); #32936=DIRECTION('center_axis',(0.,0.,1.)); #32937=DIRECTION('ref_axis',(1.,0.,0.)); #32938=DIRECTION('',(1.38777878078145E-16,-1.,0.)); #32939=DIRECTION('center_axis',(0.,0.,-1.)); #32940=DIRECTION('ref_axis',(0.,-1.,0.)); #32941=DIRECTION('',(-1.,-2.09290938368218E-16,0.)); #32942=DIRECTION('center_axis',(0.,0.,-1.)); #32943=DIRECTION('ref_axis',(-1.,-1.4210854715202E-14,0.)); #32944=DIRECTION('',(-3.08261490568373E-16,1.,0.)); #32945=DIRECTION('center_axis',(0.,0.,-1.)); #32946=DIRECTION('ref_axis',(0.,1.,0.)); #32947=DIRECTION('',(1.,1.26052536443437E-16,0.)); #32948=DIRECTION('',(0.999390827019096,-0.0348994967025011,0.)); #32949=DIRECTION('center_axis',(0.,0.,-1.)); #32950=DIRECTION('ref_axis',(1.,1.22464679914735E-16,0.)); #32951=DIRECTION('',(1.38777878078145E-16,-1.,0.)); #32952=DIRECTION('center_axis',(0.,0.,1.)); #32953=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #32954=DIRECTION('',(-1.,-4.44089209850063E-16,0.)); #32955=DIRECTION('center_axis',(0.,0.,1.)); #32956=DIRECTION('ref_axis',(0.,1.,0.)); #32957=DIRECTION('center_axis',(0.,0.,-1.)); #32958=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #32959=DIRECTION('',(0.,0.,1.)); #32960=DIRECTION('center_axis',(0.,0.,-1.)); #32961=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #32962=DIRECTION('',(0.,0.,-1.)); #32963=DIRECTION('center_axis',(-4.44089209850063E-16,1.,0.)); #32964=DIRECTION('ref_axis',(1.,4.44089209850063E-16,0.)); #32965=DIRECTION('',(1.,4.44089209850063E-16,0.)); #32966=DIRECTION('',(0.,0.,-1.)); #32967=DIRECTION('center_axis',(0.,0.,-1.)); #32968=DIRECTION('ref_axis',(0.,1.,0.)); #32969=DIRECTION('center_axis',(0.,0.,-1.)); #32970=DIRECTION('ref_axis',(0.,1.,0.)); #32971=DIRECTION('center_axis',(0.,0.,1.)); #32972=DIRECTION('ref_axis',(1.,0.,0.)); #32973=DIRECTION('',(-1.38777878078145E-16,1.,0.)); #32974=DIRECTION('center_axis',(0.,0.,1.)); #32975=DIRECTION('ref_axis',(1.,1.22464679914735E-16,0.)); #32976=DIRECTION('',(-0.999390827019096,0.0348994967025011,0.)); #32977=DIRECTION('',(-1.,-1.26052536443437E-16,0.)); #32978=DIRECTION('center_axis',(0.,0.,1.)); #32979=DIRECTION('ref_axis',(0.,1.,0.)); #32980=DIRECTION('',(3.08261490568373E-16,-1.,0.)); #32981=DIRECTION('center_axis',(0.,0.,1.)); #32982=DIRECTION('ref_axis',(-1.,-1.4210854715202E-14,0.)); #32983=DIRECTION('',(1.,2.09290938368218E-16,0.)); #32984=DIRECTION('center_axis',(0.,0.,1.)); #32985=DIRECTION('ref_axis',(0.,-1.,0.)); #32986=DIRECTION('',(-1.38777878078145E-16,1.,0.)); #32987=DIRECTION('center_axis',(1.,1.38777878078145E-16,0.)); #32988=DIRECTION('ref_axis',(-1.38777878078145E-16,1.,0.)); #32989=DIRECTION('',(0.,0.,1.)); #32990=DIRECTION('center_axis',(0.,0.,1.)); #32991=DIRECTION('ref_axis',(1.,1.22464679914735E-16,0.)); #32992=DIRECTION('',(0.,0.,-1.)); #32993=DIRECTION('center_axis',(1.,1.38777878078145E-16,0.)); #32994=DIRECTION('ref_axis',(-1.38777878078145E-16,1.,0.)); #32995=DIRECTION('',(0.,0.,1.)); #32996=DIRECTION('center_axis',(0.,0.,1.)); #32997=DIRECTION('ref_axis',(0.,-1.,0.)); #32998=DIRECTION('',(0.,0.,1.)); #32999=DIRECTION('center_axis',(2.09290938368218E-16,-1.,0.)); #33000=DIRECTION('ref_axis',(1.,2.09290938368218E-16,0.)); #33001=DIRECTION('',(0.,0.,1.)); #33002=DIRECTION('center_axis',(0.,0.,1.)); #33003=DIRECTION('ref_axis',(-1.,-1.4210854715202E-14,0.)); #33004=DIRECTION('',(0.,0.,1.)); #33005=DIRECTION('center_axis',(-1.,-3.08261490568373E-16,0.)); #33006=DIRECTION('ref_axis',(3.08261490568373E-16,-1.,0.)); #33007=DIRECTION('',(0.,0.,1.)); #33008=DIRECTION('center_axis',(0.,0.,1.)); #33009=DIRECTION('ref_axis',(0.,1.,0.)); #33010=DIRECTION('',(0.,0.,1.)); #33011=DIRECTION('center_axis',(-1.26052536443437E-16,1.,0.)); #33012=DIRECTION('ref_axis',(-1.,-1.26052536443437E-16,0.)); #33013=DIRECTION('',(0.,0.,1.)); #33014=DIRECTION('center_axis',(0.0348994967025011,0.999390827019096,0.)); #33015=DIRECTION('ref_axis',(-0.999390827019096,0.0348994967025011,0.)); #33016=DIRECTION('axis',(0.,0.,1.)); #33017=DIRECTION('refdir',(1.,0.,0.)); #33018=DIRECTION('center_axis',(-1.,0.,0.)); #33019=DIRECTION('ref_axis',(0.,0.,1.)); #33020=DIRECTION('center_axis',(1.,0.,0.)); #33021=DIRECTION('ref_axis',(0.,0.,1.)); #33022=DIRECTION('',(1.,0.,0.)); #33023=DIRECTION('center_axis',(-1.,0.,0.)); #33024=DIRECTION('ref_axis',(0.,0.,1.)); #33025=DIRECTION('center_axis',(1.,0.,0.)); #33026=DIRECTION('ref_axis',(0.,0.,-1.)); #33027=DIRECTION('center_axis',(-1.,0.,0.)); #33028=DIRECTION('ref_axis',(0.,0.,-1.)); #33029=DIRECTION('',(-1.,0.,0.)); #33030=DIRECTION('center_axis',(1.,0.,0.)); #33031=DIRECTION('ref_axis',(0.,0.,-1.)); #33032=DIRECTION('center_axis',(1.,0.,0.)); #33033=DIRECTION('ref_axis',(0.,0.,-1.)); #33034=DIRECTION('center_axis',(-1.,0.,0.)); #33035=DIRECTION('ref_axis',(0.,0.,-1.)); #33036=DIRECTION('',(-1.,0.,0.)); #33037=DIRECTION('center_axis',(1.,0.,0.)); #33038=DIRECTION('ref_axis',(0.,0.,-1.)); #33039=DIRECTION('center_axis',(1.,0.,0.)); #33040=DIRECTION('ref_axis',(0.,0.,-1.)); #33041=DIRECTION('center_axis',(-1.,0.,0.)); #33042=DIRECTION('ref_axis',(0.,0.,-1.)); #33043=DIRECTION('',(-1.,0.,0.)); #33044=DIRECTION('center_axis',(1.,0.,0.)); #33045=DIRECTION('ref_axis',(0.,0.,-1.)); #33046=DIRECTION('center_axis',(1.,0.,0.)); #33047=DIRECTION('ref_axis',(0.,0.,-1.)); #33048=DIRECTION('center_axis',(-1.,0.,0.)); #33049=DIRECTION('ref_axis',(0.,0.,-1.)); #33050=DIRECTION('',(-1.,0.,0.)); #33051=DIRECTION('center_axis',(1.,0.,0.)); #33052=DIRECTION('ref_axis',(0.,0.,-1.)); #33053=DIRECTION('center_axis',(-1.,0.,0.)); #33054=DIRECTION('ref_axis',(0.,0.,1.)); #33055=DIRECTION('center_axis',(1.,0.,0.)); #33056=DIRECTION('ref_axis',(0.,1.,0.)); #33057=DIRECTION('center_axis',(1.,0.,0.)); #33058=DIRECTION('ref_axis',(0.,1.,0.)); #33059=DIRECTION('center_axis',(1.,0.,0.)); #33060=DIRECTION('ref_axis',(0.,1.,0.)); #33061=DIRECTION('',(-1.,0.,0.)); #33062=DIRECTION('center_axis',(-1.,0.,0.)); #33063=DIRECTION('ref_axis',(0.,0.,1.)); #33064=DIRECTION('center_axis',(1.,0.,0.)); #33065=DIRECTION('ref_axis',(0.,1.,0.)); #33066=DIRECTION('center_axis',(1.,0.,0.)); #33067=DIRECTION('ref_axis',(0.,1.,0.)); #33068=DIRECTION('center_axis',(1.,0.,0.)); #33069=DIRECTION('ref_axis',(0.,1.,0.)); #33070=DIRECTION('',(-1.,0.,0.)); #33071=DIRECTION('center_axis',(-1.,0.,0.)); #33072=DIRECTION('ref_axis',(0.,1.,0.)); #33073=DIRECTION('center_axis',(1.,0.,0.)); #33074=DIRECTION('ref_axis',(0.,1.,0.)); #33075=DIRECTION('',(-0.707106781186549,-0.707106781186546,-8.65956056235491E-17)); #33076=DIRECTION('center_axis',(1.,0.,0.)); #33077=DIRECTION('ref_axis',(0.,0.,-1.)); #33078=DIRECTION('center_axis',(1.,0.,0.)); #33079=DIRECTION('ref_axis',(0.,1.,0.)); #33080=DIRECTION('center_axis',(1.,0.,0.)); #33081=DIRECTION('ref_axis',(0.,1.,0.)); #33082=DIRECTION('center_axis',(1.,0.,0.)); #33083=DIRECTION('ref_axis',(0.,1.,0.)); #33084=DIRECTION('',(-1.,0.,0.)); #33085=DIRECTION('center_axis',(-1.,0.,0.)); #33086=DIRECTION('ref_axis',(0.,1.,0.)); #33087=DIRECTION('center_axis',(1.,0.,0.)); #33088=DIRECTION('ref_axis',(0.,1.,0.)); #33089=DIRECTION('',(-0.707106781186547,-0.707106781186547,-8.65956056235493E-17)); #33090=DIRECTION('center_axis',(1.,0.,0.)); #33091=DIRECTION('ref_axis',(0.,0.,-1.)); #33092=DIRECTION('center_axis',(1.,0.,0.)); #33093=DIRECTION('ref_axis',(0.,1.,0.)); #33094=DIRECTION('center_axis',(1.,0.,0.)); #33095=DIRECTION('ref_axis',(0.,1.,0.)); #33096=DIRECTION('',(-0.707106781186549,0.707106781186546,8.65956056235491E-17)); #33097=DIRECTION('center_axis',(1.,0.,0.)); #33098=DIRECTION('ref_axis',(0.,1.,0.)); #33099=DIRECTION('center_axis',(1.,0.,0.)); #33100=DIRECTION('ref_axis',(0.,1.,0.)); #33101=DIRECTION('',(-1.,0.,0.)); #33102=DIRECTION('center_axis',(1.,0.,0.)); #33103=DIRECTION('ref_axis',(0.,1.,0.)); #33104=DIRECTION('center_axis',(1.,0.,0.)); #33105=DIRECTION('ref_axis',(0.,0.,-1.)); #33106=DIRECTION('center_axis',(1.,0.,0.)); #33107=DIRECTION('ref_axis',(0.,1.,0.)); #33108=DIRECTION('center_axis',(1.,0.,0.)); #33109=DIRECTION('ref_axis',(0.,1.,0.)); #33110=DIRECTION('',(-1.,0.,0.)); #33111=DIRECTION('center_axis',(1.,0.,0.)); #33112=DIRECTION('ref_axis',(0.,1.,0.)); #33113=DIRECTION('center_axis',(1.,0.,0.)); #33114=DIRECTION('ref_axis',(0.,0.,-1.)); #33115=DIRECTION('axis',(0.,0.,1.)); #33116=DIRECTION('refdir',(1.,0.,0.)); #33117=DIRECTION('center_axis',(-1.,0.,0.)); #33118=DIRECTION('ref_axis',(0.,0.,1.)); #33119=DIRECTION('center_axis',(1.,0.,0.)); #33120=DIRECTION('ref_axis',(0.,0.,1.)); #33121=DIRECTION('center_axis',(1.,0.,0.)); #33122=DIRECTION('ref_axis',(0.,0.,1.)); #33123=DIRECTION('center_axis',(1.,0.,0.)); #33124=DIRECTION('ref_axis',(0.,0.,-1.)); #33125=DIRECTION('center_axis',(1.,0.,0.)); #33126=DIRECTION('ref_axis',(0.,0.,1.)); #33127=DIRECTION('center_axis',(1.,0.,0.)); #33128=DIRECTION('ref_axis',(0.,0.,1.)); #33129=DIRECTION('center_axis',(1.,0.,0.)); #33130=DIRECTION('ref_axis',(0.,0.,-1.)); #33131=DIRECTION('center_axis',(1.,0.,0.)); #33132=DIRECTION('ref_axis',(0.,0.,1.)); #33133=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #33134=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #33135=DIRECTION('center_axis',(1.,0.,0.)); #33136=DIRECTION('ref_axis',(0.,0.,1.)); #33137=DIRECTION('center_axis',(-1.,0.,0.)); #33138=DIRECTION('ref_axis',(0.,0.,1.)); #33139=DIRECTION('',(-1.,0.,0.)); #33140=DIRECTION('center_axis',(1.,0.,0.)); #33141=DIRECTION('ref_axis',(0.,0.,1.)); #33142=DIRECTION('center_axis',(1.,0.,0.)); #33143=DIRECTION('ref_axis',(0.,0.,1.)); #33144=DIRECTION('center_axis',(1.,0.,0.)); #33145=DIRECTION('ref_axis',(0.,0.,-1.)); #33146=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #33147=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #33148=DIRECTION('center_axis',(-1.,0.,0.)); #33149=DIRECTION('ref_axis',(0.,0.,1.)); #33150=DIRECTION('',(1.,0.,0.)); #33151=DIRECTION('center_axis',(-1.,0.,0.)); #33152=DIRECTION('ref_axis',(0.,0.,1.)); #33153=DIRECTION('center_axis',(-1.,0.,0.)); #33154=DIRECTION('ref_axis',(0.,0.,1.)); #33155=DIRECTION('center_axis',(1.,0.,0.)); #33156=DIRECTION('ref_axis',(0.,0.,1.)); #33157=DIRECTION('center_axis',(1.,0.,0.)); #33158=DIRECTION('ref_axis',(0.,0.,1.)); #33159=DIRECTION('center_axis',(1.,0.,0.)); #33160=DIRECTION('ref_axis',(0.,0.,-1.)); #33161=DIRECTION('center_axis',(1.,0.,0.)); #33162=DIRECTION('ref_axis',(0.,0.,1.)); #33163=DIRECTION('center_axis',(1.,0.,0.)); #33164=DIRECTION('ref_axis',(0.,0.,1.)); #33165=DIRECTION('center_axis',(1.,0.,0.)); #33166=DIRECTION('ref_axis',(0.,0.,-1.)); #33167=DIRECTION('center_axis',(1.,0.,0.)); #33168=DIRECTION('ref_axis',(0.,0.,1.)); #33169=DIRECTION('center_axis',(1.,0.,0.)); #33170=DIRECTION('ref_axis',(0.,0.,1.)); #33171=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #33172=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #33173=DIRECTION('center_axis',(-1.,0.,0.)); #33174=DIRECTION('ref_axis',(0.,0.,1.)); #33175=DIRECTION('',(1.,0.,0.)); #33176=DIRECTION('center_axis',(1.,0.,0.)); #33177=DIRECTION('ref_axis',(0.,0.,1.)); #33178=DIRECTION('center_axis',(1.,0.,0.)); #33179=DIRECTION('ref_axis',(0.,0.,1.)); #33180=DIRECTION('center_axis',(1.,0.,0.)); #33181=DIRECTION('ref_axis',(0.,0.,-1.)); #33182=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #33183=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #33184=DIRECTION('center_axis',(-1.,0.,0.)); #33185=DIRECTION('ref_axis',(0.,0.,1.)); #33186=DIRECTION('',(-1.,0.,0.)); #33187=DIRECTION('center_axis',(-1.,0.,0.)); #33188=DIRECTION('ref_axis',(0.,0.,1.)); #33189=DIRECTION('center_axis',(-1.,0.,0.)); #33190=DIRECTION('ref_axis',(0.,0.,1.)); #33191=DIRECTION('center_axis',(1.,0.,0.)); #33192=DIRECTION('ref_axis',(0.,0.,1.)); #33193=DIRECTION('',(-1.,0.,0.)); #33194=DIRECTION('center_axis',(-1.,0.,0.)); #33195=DIRECTION('ref_axis',(0.,0.,1.)); #33196=DIRECTION('center_axis',(1.,0.,0.)); #33197=DIRECTION('ref_axis',(0.,0.,1.)); #33198=DIRECTION('center_axis',(-1.,0.,0.)); #33199=DIRECTION('ref_axis',(0.,0.,1.)); #33200=DIRECTION('center_axis',(1.,0.,0.)); #33201=DIRECTION('ref_axis',(0.,0.,1.)); #33202=DIRECTION('center_axis',(1.,0.,0.)); #33203=DIRECTION('ref_axis',(0.,0.,1.)); #33204=DIRECTION('center_axis',(-1.,0.,0.)); #33205=DIRECTION('ref_axis',(0.,0.,1.)); #33206=DIRECTION('center_axis',(1.,0.,0.)); #33207=DIRECTION('ref_axis',(0.,0.,1.)); #33208=DIRECTION('center_axis',(-1.,0.,0.)); #33209=DIRECTION('ref_axis',(0.,0.,1.)); #33210=DIRECTION('',(1.,0.,0.)); #33211=DIRECTION('center_axis',(1.,0.,0.)); #33212=DIRECTION('ref_axis',(0.,0.,1.)); #33213=DIRECTION('center_axis',(1.,0.,0.)); #33214=DIRECTION('ref_axis',(0.,0.,1.)); #33215=DIRECTION('center_axis',(1.,0.,0.)); #33216=DIRECTION('ref_axis',(0.,0.,-1.)); #33217=DIRECTION('center_axis',(1.,0.,0.)); #33218=DIRECTION('ref_axis',(0.,0.,1.)); #33219=DIRECTION('center_axis',(0.,1.,1.22464679914735E-16)); #33220=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #33221=DIRECTION('center_axis',(1.,0.,0.)); #33222=DIRECTION('ref_axis',(0.,0.,1.)); #33223=DIRECTION('center_axis',(-1.,0.,0.)); #33224=DIRECTION('ref_axis',(0.,0.,1.)); #33225=DIRECTION('',(-0.894427190999913,-5.47678698264209E-17,-0.447213595499963)); #33226=DIRECTION('center_axis',(1.,0.,0.)); #33227=DIRECTION('ref_axis',(0.,0.,1.)); #33228=DIRECTION('center_axis',(1.,0.,0.)); #33229=DIRECTION('ref_axis',(0.,0.,1.)); #33230=DIRECTION('center_axis',(1.,0.,0.)); #33231=DIRECTION('ref_axis',(0.,0.,1.)); #33232=DIRECTION('',(-0.970142500145338,-2.97020476879919E-17,0.24253562503631)); #33233=DIRECTION('center_axis',(1.,0.,0.)); #33234=DIRECTION('ref_axis',(0.,0.,-1.)); #33235=DIRECTION('center_axis',(0.,1.,1.22464679914735E-16)); #33236=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #33237=DIRECTION('center_axis',(-1.,0.,0.)); #33238=DIRECTION('ref_axis',(0.,0.,1.)); #33239=DIRECTION('center_axis',(1.,0.,0.)); #33240=DIRECTION('ref_axis',(0.,0.,1.)); #33241=DIRECTION('',(1.,0.,0.)); #33242=DIRECTION('center_axis',(1.,0.,0.)); #33243=DIRECTION('ref_axis',(0.,0.,-1.)); #33244=DIRECTION('center_axis',(-1.,0.,0.)); #33245=DIRECTION('ref_axis',(0.,0.,-1.)); #33246=DIRECTION('center_axis',(1.,0.,0.)); #33247=DIRECTION('ref_axis',(0.,0.,-1.)); #33248=DIRECTION('center_axis',(-1.,0.,0.)); #33249=DIRECTION('ref_axis',(0.,0.,-1.)); #33250=DIRECTION('center_axis',(-1.,0.,0.)); #33251=DIRECTION('ref_axis',(0.,0.,-1.)); #33252=DIRECTION('center_axis',(1.,0.,0.)); #33253=DIRECTION('ref_axis',(0.,0.,-1.)); #33254=DIRECTION('center_axis',(-1.,0.,0.)); #33255=DIRECTION('ref_axis',(0.,0.,-1.)); #33256=DIRECTION('center_axis',(1.,0.,0.)); #33257=DIRECTION('ref_axis',(0.,0.,-1.)); #33258=DIRECTION('',(-1.,0.,0.)); #33259=DIRECTION('center_axis',(-1.,0.,0.)); #33260=DIRECTION('ref_axis',(0.,0.,-1.)); #33261=DIRECTION('center_axis',(-1.,0.,0.)); #33262=DIRECTION('ref_axis',(0.,0.,-1.)); #33263=DIRECTION('center_axis',(-1.,0.,0.)); #33264=DIRECTION('ref_axis',(0.,0.,1.)); #33265=DIRECTION('center_axis',(-1.,0.,0.)); #33266=DIRECTION('ref_axis',(0.,0.,-1.)); #33267=DIRECTION('center_axis',(-1.,0.,0.)); #33268=DIRECTION('ref_axis',(0.,0.,-1.)); #33269=DIRECTION('center_axis',(0.,1.,-1.22464679914735E-16)); #33270=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,-1.)); #33271=DIRECTION('center_axis',(1.,0.,0.)); #33272=DIRECTION('ref_axis',(0.,0.,-1.)); #33273=DIRECTION('',(0.894427190999913,-5.47678698264209E-17,0.447213595499963)); #33274=DIRECTION('center_axis',(-1.,0.,0.)); #33275=DIRECTION('ref_axis',(0.,0.,-1.)); #33276=DIRECTION('center_axis',(-1.,0.,0.)); #33277=DIRECTION('ref_axis',(0.,0.,-1.)); #33278=DIRECTION('',(0.970142500145338,-2.97020476879919E-17,-0.24253562503631)); #33279=DIRECTION('center_axis',(-1.,0.,0.)); #33280=DIRECTION('ref_axis',(0.,0.,-1.)); #33281=DIRECTION('center_axis',(-1.,0.,0.)); #33282=DIRECTION('ref_axis',(0.,0.,1.)); #33283=DIRECTION('center_axis',(0.,1.,-1.22464679914735E-16)); #33284=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,-1.)); #33285=DIRECTION('center_axis',(-1.,0.,0.)); #33286=DIRECTION('ref_axis',(0.,0.,1.)); #33287=DIRECTION('center_axis',(1.,0.,0.)); #33288=DIRECTION('ref_axis',(0.,0.,1.)); #33289=DIRECTION('center_axis',(-1.,0.,0.)); #33290=DIRECTION('ref_axis',(0.,0.,-1.)); #33291=DIRECTION('center_axis',(-1.,0.,0.)); #33292=DIRECTION('ref_axis',(0.,0.,-1.)); #33293=DIRECTION('center_axis',(-1.,0.,0.)); #33294=DIRECTION('ref_axis',(0.,0.,1.)); #33295=DIRECTION('',(-0.970142500145332,2.97020476879949E-17,0.242535625036334)); #33296=DIRECTION('center_axis',(-1.,0.,0.)); #33297=DIRECTION('ref_axis',(0.,0.,-1.)); #33298=DIRECTION('center_axis',(-1.,0.,0.)); #33299=DIRECTION('ref_axis',(0.,0.,1.)); #33300=DIRECTION('center_axis',(1.,0.,0.)); #33301=DIRECTION('ref_axis',(0.,0.,-1.)); #33302=DIRECTION('center_axis',(-1.,0.,0.)); #33303=DIRECTION('ref_axis',(0.,0.,-1.)); #33304=DIRECTION('',(-0.894427190999916,5.47678698264204E-17,-0.447213595499959)); #33305=DIRECTION('center_axis',(-1.,0.,0.)); #33306=DIRECTION('ref_axis',(0.,0.,-1.)); #33307=DIRECTION('center_axis',(-1.,0.,0.)); #33308=DIRECTION('ref_axis',(0.,0.,-1.)); #33309=DIRECTION('center_axis',(-1.,0.,0.)); #33310=DIRECTION('ref_axis',(0.,0.,-1.)); #33311=DIRECTION('',(-0.97014250014533,2.97020476879958E-17,0.242535625036342)); #33312=DIRECTION('center_axis',(-1.,0.,0.)); #33313=DIRECTION('ref_axis',(0.,0.,1.)); #33314=DIRECTION('center_axis',(-1.,0.,0.)); #33315=DIRECTION('ref_axis',(0.,0.,1.)); #33316=DIRECTION('center_axis',(1.,0.,0.)); #33317=DIRECTION('ref_axis',(0.,0.,-1.)); #33318=DIRECTION('center_axis',(1.,0.,0.)); #33319=DIRECTION('ref_axis',(0.,0.,1.)); #33320=DIRECTION('center_axis',(1.,0.,0.)); #33321=DIRECTION('ref_axis',(0.,0.,1.)); #33322=DIRECTION('center_axis',(1.,0.,0.)); #33323=DIRECTION('ref_axis',(0.,0.,1.)); #33324=DIRECTION('center_axis',(1.,0.,0.)); #33325=DIRECTION('ref_axis',(0.,0.,1.)); #33326=DIRECTION('center_axis',(1.,0.,0.)); #33327=DIRECTION('ref_axis',(0.,0.,1.)); #33328=DIRECTION('',(0.970142500145332,2.97020476879949E-17,-0.242535625036334)); #33329=DIRECTION('center_axis',(1.,0.,0.)); #33330=DIRECTION('ref_axis',(0.,0.,1.)); #33331=DIRECTION('center_axis',(-1.,0.,0.)); #33332=DIRECTION('ref_axis',(0.,0.,1.)); #33333=DIRECTION('center_axis',(1.,0.,0.)); #33334=DIRECTION('ref_axis',(0.,0.,1.)); #33335=DIRECTION('',(0.894427190999916,5.47678698264204E-17,0.447213595499959)); #33336=DIRECTION('center_axis',(1.,0.,0.)); #33337=DIRECTION('ref_axis',(0.,0.,1.)); #33338=DIRECTION('center_axis',(1.,0.,0.)); #33339=DIRECTION('ref_axis',(0.,0.,1.)); #33340=DIRECTION('center_axis',(1.,0.,0.)); #33341=DIRECTION('ref_axis',(0.,0.,1.)); #33342=DIRECTION('',(0.97014250014533,2.97020476879958E-17,-0.242535625036342)); #33343=DIRECTION('center_axis',(1.,0.,0.)); #33344=DIRECTION('ref_axis',(0.,0.,-1.)); #33345=DIRECTION('center_axis',(1.,0.,0.)); #33346=DIRECTION('ref_axis',(0.,0.,-1.)); #33347=DIRECTION('center_axis',(0.,0.,1.)); #33348=DIRECTION('ref_axis',(1.,0.,0.)); #33349=DIRECTION('center_axis',(-1.,0.,0.)); #33350=DIRECTION('ref_axis',(0.,0.,-1.)); #33351=DIRECTION('center_axis',(0.,-1.,0.)); #33352=DIRECTION('ref_axis',(-1.,0.,0.)); #33353=DIRECTION('center_axis',(-1.,0.,0.)); #33354=DIRECTION('ref_axis',(0.,0.,-1.)); #33355=DIRECTION('center_axis',(0.,-1.,0.)); #33356=DIRECTION('ref_axis',(-1.,0.,0.)); #33357=DIRECTION('center_axis',(0.173955618678352,-0.492376746691552,-0.852821541735242)); #33358=DIRECTION('ref_axis',(0.,-0.866025403784439,0.499999999999998)); #33359=DIRECTION('center_axis',(0.,0.,1.)); #33360=DIRECTION('ref_axis',(1.,0.,0.)); #33361=DIRECTION('center_axis',(-1.,0.,0.)); #33362=DIRECTION('ref_axis',(0.,0.,-1.)); #33363=DIRECTION('center_axis',(0.,-1.,0.)); #33364=DIRECTION('ref_axis',(-1.,0.,0.)); #33365=DIRECTION('center_axis',(-1.,0.,0.)); #33366=DIRECTION('ref_axis',(0.,0.,-1.)); #33367=DIRECTION('center_axis',(0.,-1.,0.)); #33368=DIRECTION('ref_axis',(-1.,0.,0.)); #33369=DIRECTION('center_axis',(0.173955618678352,-0.852821541735242,-0.492376746691552)); #33370=DIRECTION('ref_axis',(0.,-0.499999999999999,0.866025403784439)); #33371=DIRECTION('center_axis',(0.,0.,1.)); #33372=DIRECTION('ref_axis',(1.,0.,0.)); #33373=DIRECTION('center_axis',(-1.,0.,-6.12303176911194E-17)); #33374=DIRECTION('ref_axis',(6.12303176911194E-17,0.,-1.)); #33375=DIRECTION('center_axis',(0.,-1.,0.)); #33376=DIRECTION('ref_axis',(-1.,0.,0.)); #33377=DIRECTION('center_axis',(-1.,0.,-6.12303176911194E-17)); #33378=DIRECTION('ref_axis',(6.12303176911194E-17,0.,-1.)); #33379=DIRECTION('center_axis',(0.,-1.,0.)); #33380=DIRECTION('ref_axis',(-1.,0.,0.)); #33381=DIRECTION('center_axis',(0.173955618678352,-0.984753493383106,2.1990326913422E-16)); #33382=DIRECTION('ref_axis',(0.,2.23307935043465E-16,1.)); #33383=DIRECTION('center_axis',(0.,0.,1.)); #33384=DIRECTION('ref_axis',(1.,0.,0.)); #33385=DIRECTION('center_axis',(-1.,0.,0.)); #33386=DIRECTION('ref_axis',(0.,0.,-1.)); #33387=DIRECTION('center_axis',(0.,-1.,0.)); #33388=DIRECTION('ref_axis',(-1.,0.,0.)); #33389=DIRECTION('center_axis',(-1.,0.,0.)); #33390=DIRECTION('ref_axis',(0.,0.,-1.)); #33391=DIRECTION('center_axis',(0.,-1.,0.)); #33392=DIRECTION('ref_axis',(-1.,0.,0.)); #33393=DIRECTION('center_axis',(0.173955618678353,-0.85282154173524,0.492376746691555)); #33394=DIRECTION('ref_axis',(0.,0.500000000000001,0.866025403784438)); #33395=DIRECTION('center_axis',(0.,0.,1.)); #33396=DIRECTION('ref_axis',(1.,0.,0.)); #33397=DIRECTION('center_axis',(-1.,0.,0.)); #33398=DIRECTION('ref_axis',(0.,0.,-1.)); #33399=DIRECTION('center_axis',(0.,-1.,0.)); #33400=DIRECTION('ref_axis',(-1.,0.,0.)); #33401=DIRECTION('center_axis',(-1.,0.,0.)); #33402=DIRECTION('ref_axis',(0.,0.,-1.)); #33403=DIRECTION('center_axis',(0.,-1.,0.)); #33404=DIRECTION('ref_axis',(-1.,0.,0.)); #33405=DIRECTION('center_axis',(0.173955618678352,-0.492376746691552,0.852821541735242)); #33406=DIRECTION('ref_axis',(0.,0.866025403784439,0.499999999999998)); #33407=DIRECTION('center_axis',(0.,0.,1.)); #33408=DIRECTION('ref_axis',(1.,0.,0.)); #33409=DIRECTION('center_axis',(0.173955618678352,5.57911939268164E-16, 0.984753493383106)); #33410=DIRECTION('ref_axis',(0.,1.,-5.66549845232299E-16)); #33411=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #33412=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33413=DIRECTION('center_axis',(-1.,0.,0.)); #33414=DIRECTION('ref_axis',(0.,0.,-1.)); #33415=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #33416=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33417=DIRECTION('center_axis',(-1.,0.,0.)); #33418=DIRECTION('ref_axis',(0.,0.,-1.)); #33419=DIRECTION('center_axis',(0.,0.,1.)); #33420=DIRECTION('ref_axis',(1.,0.,0.)); #33421=DIRECTION('center_axis',(-1.,0.,0.)); #33422=DIRECTION('ref_axis',(0.,0.,-1.)); #33423=DIRECTION('center_axis',(0.,-1.,0.)); #33424=DIRECTION('ref_axis',(-1.,0.,0.)); #33425=DIRECTION('center_axis',(-1.,0.,0.)); #33426=DIRECTION('ref_axis',(0.,0.,-1.)); #33427=DIRECTION('center_axis',(0.,-1.,0.)); #33428=DIRECTION('ref_axis',(-1.,0.,0.)); #33429=DIRECTION('center_axis',(0.173955618678352,0.492376746691553,0.852821541735242)); #33430=DIRECTION('ref_axis',(0.,0.866025403784439,-0.499999999999999)); #33431=DIRECTION('center_axis',(0.,0.,1.)); #33432=DIRECTION('ref_axis',(1.,0.,0.)); #33433=DIRECTION('center_axis',(-1.,0.,0.)); #33434=DIRECTION('ref_axis',(0.,0.,-1.)); #33435=DIRECTION('center_axis',(0.,-1.,0.)); #33436=DIRECTION('ref_axis',(-1.,0.,0.)); #33437=DIRECTION('center_axis',(-1.,0.,0.)); #33438=DIRECTION('ref_axis',(0.,0.,-1.)); #33439=DIRECTION('center_axis',(0.,-1.,0.)); #33440=DIRECTION('ref_axis',(-1.,0.,0.)); #33441=DIRECTION('center_axis',(0.173955618678352,0.852821541735242,0.492376746691552)); #33442=DIRECTION('ref_axis',(0.,0.499999999999999,-0.866025403784439)); #33443=DIRECTION('center_axis',(0.,0.,1.)); #33444=DIRECTION('ref_axis',(1.,0.,0.)); #33445=DIRECTION('center_axis',(-1.,0.,-6.12303176911188E-17)); #33446=DIRECTION('ref_axis',(6.12303176911188E-17,0.,-1.)); #33447=DIRECTION('center_axis',(0.,-1.,0.)); #33448=DIRECTION('ref_axis',(-1.,0.,0.)); #33449=DIRECTION('center_axis',(-1.,0.,-6.12303176911188E-17)); #33450=DIRECTION('ref_axis',(6.12303176911188E-17,0.,-1.)); #33451=DIRECTION('center_axis',(0.,-1.,0.)); #33452=DIRECTION('ref_axis',(-1.,0.,0.)); #33453=DIRECTION('center_axis',(0.173955618678352,0.984753493383106,-2.12838078549271E-17)); #33454=DIRECTION('ref_axis',(0.,-2.16133357210106E-17,-1.)); #33455=DIRECTION('center_axis',(0.,0.,1.)); #33456=DIRECTION('ref_axis',(1.,0.,0.)); #33457=DIRECTION('center_axis',(-1.,0.,0.)); #33458=DIRECTION('ref_axis',(0.,0.,-1.)); #33459=DIRECTION('center_axis',(0.,-1.,0.)); #33460=DIRECTION('ref_axis',(-1.,0.,0.)); #33461=DIRECTION('center_axis',(-1.,0.,0.)); #33462=DIRECTION('ref_axis',(0.,0.,-1.)); #33463=DIRECTION('center_axis',(0.,-1.,0.)); #33464=DIRECTION('ref_axis',(-1.,0.,0.)); #33465=DIRECTION('center_axis',(0.173955618678352,0.852821541735242,-0.492376746691552)); #33466=DIRECTION('ref_axis',(0.,-0.499999999999999,-0.866025403784439)); #33467=DIRECTION('center_axis',(0.,0.,1.)); #33468=DIRECTION('ref_axis',(1.,0.,0.)); #33469=DIRECTION('center_axis',(-1.,0.,0.)); #33470=DIRECTION('ref_axis',(0.,0.,-1.)); #33471=DIRECTION('center_axis',(0.,-1.,0.)); #33472=DIRECTION('ref_axis',(-1.,0.,0.)); #33473=DIRECTION('center_axis',(-1.,0.,0.)); #33474=DIRECTION('ref_axis',(0.,0.,-1.)); #33475=DIRECTION('center_axis',(0.,-1.,0.)); #33476=DIRECTION('ref_axis',(-1.,0.,0.)); #33477=DIRECTION('center_axis',(0.173955618678352,0.492376746691552,-0.852821541735242)); #33478=DIRECTION('ref_axis',(0.,-0.866025403784439,-0.499999999999999)); #33479=DIRECTION('center_axis',(0.,0.,1.)); #33480=DIRECTION('ref_axis',(1.,0.,0.)); #33481=DIRECTION('center_axis',(-1.,0.,0.)); #33482=DIRECTION('ref_axis',(0.,0.,-1.)); #33483=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #33484=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33485=DIRECTION('center_axis',(0.173955618678352,0.,-0.984753493383106)); #33486=DIRECTION('ref_axis',(0.,-1.,0.)); #33487=DIRECTION('center_axis',(-1.,0.,0.)); #33488=DIRECTION('ref_axis',(0.,0.,-1.)); #33489=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #33490=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33491=DIRECTION('center_axis',(0.,0.,1.)); #33492=DIRECTION('ref_axis',(1.,0.,0.)); #33493=DIRECTION('center_axis',(0.,-1.,0.)); #33494=DIRECTION('ref_axis',(-1.,0.,0.)); #33495=DIRECTION('center_axis',(-0.173955618662469,-0.492376746692955,-0.852821541737672)); #33496=DIRECTION('ref_axis',(0.,-0.86602540378444,0.499999999999998)); #33497=DIRECTION('center_axis',(0.,0.,1.)); #33498=DIRECTION('ref_axis',(1.,0.,0.)); #33499=DIRECTION('center_axis',(0.,-1.,0.)); #33500=DIRECTION('ref_axis',(-1.,0.,0.)); #33501=DIRECTION('center_axis',(-0.173955618662469,-0.852821541737672,-0.492376746692955)); #33502=DIRECTION('ref_axis',(0.,-0.499999999999999,0.866025403784439)); #33503=DIRECTION('center_axis',(0.,0.,1.)); #33504=DIRECTION('ref_axis',(1.,0.,0.)); #33505=DIRECTION('center_axis',(0.,-1.,0.)); #33506=DIRECTION('ref_axis',(-1.,0.,0.)); #33507=DIRECTION('center_axis',(-0.173955618662469,-0.984753493385912,1.98600553544127E-16)); #33508=DIRECTION('ref_axis',(0.,2.0167539884654E-16,1.)); #33509=DIRECTION('center_axis',(0.,0.,1.)); #33510=DIRECTION('ref_axis',(1.,0.,0.)); #33511=DIRECTION('center_axis',(0.,-1.,0.)); #33512=DIRECTION('ref_axis',(-1.,0.,0.)); #33513=DIRECTION('center_axis',(-0.17395561866247,-0.85282154173767,0.492376746692958)); #33514=DIRECTION('ref_axis',(0.,0.500000000000002,0.866025403784438)); #33515=DIRECTION('center_axis',(0.,0.,1.)); #33516=DIRECTION('ref_axis',(1.,0.,0.)); #33517=DIRECTION('center_axis',(0.,-1.,0.)); #33518=DIRECTION('ref_axis',(-1.,0.,0.)); #33519=DIRECTION('center_axis',(-0.173955618662469,-0.492376746692955,0.852821541737672)); #33520=DIRECTION('ref_axis',(0.,0.86602540378444,0.499999999999998)); #33521=DIRECTION('center_axis',(0.,0.,1.)); #33522=DIRECTION('ref_axis',(1.,0.,0.)); #33523=DIRECTION('center_axis',(-0.173955618662469,5.57911939269754E-16, 0.984753493385912)); #33524=DIRECTION('ref_axis',(0.,1.,-5.66549845232299E-16)); #33525=DIRECTION('center_axis',(-0.173955618662469,5.57911939269754E-16, 0.984753493385912)); #33526=DIRECTION('ref_axis',(0.,1.,-5.66549845232299E-16)); #33527=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #33528=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33529=DIRECTION('center_axis',(0.,0.,1.)); #33530=DIRECTION('ref_axis',(1.,0.,0.)); #33531=DIRECTION('center_axis',(0.,-1.,0.)); #33532=DIRECTION('ref_axis',(-1.,0.,0.)); #33533=DIRECTION('center_axis',(-0.173955618662469,0.492376746692955,0.852821541737672)); #33534=DIRECTION('ref_axis',(0.,0.866025403784439,-0.499999999999999)); #33535=DIRECTION('center_axis',(0.,0.,1.)); #33536=DIRECTION('ref_axis',(1.,0.,0.)); #33537=DIRECTION('center_axis',(0.,-1.,0.)); #33538=DIRECTION('ref_axis',(-1.,0.,0.)); #33539=DIRECTION('center_axis',(-0.173955618662469,0.852821541737672,0.492376746692955)); #33540=DIRECTION('ref_axis',(0.,0.499999999999999,-0.866025403784439)); #33541=DIRECTION('center_axis',(0.,0.,1.)); #33542=DIRECTION('ref_axis',(1.,0.,0.)); #33543=DIRECTION('center_axis',(0.,-1.,0.)); #33544=DIRECTION('ref_axis',(-1.,0.,0.)); #33545=DIRECTION('center_axis',(-0.173955618662469,0.984753493385912,-4.25865234457068E-17)); #33546=DIRECTION('ref_axis',(0.,-4.32458719179356E-17,-1.)); #33547=DIRECTION('center_axis',(0.,0.,1.)); #33548=DIRECTION('ref_axis',(1.,0.,0.)); #33549=DIRECTION('center_axis',(0.,-1.,0.)); #33550=DIRECTION('ref_axis',(-1.,0.,0.)); #33551=DIRECTION('center_axis',(-0.173955618662469,0.852821541737672,-0.492376746692955)); #33552=DIRECTION('ref_axis',(0.,-0.499999999999999,-0.866025403784439)); #33553=DIRECTION('center_axis',(0.,0.,1.)); #33554=DIRECTION('ref_axis',(1.,0.,0.)); #33555=DIRECTION('center_axis',(0.,-1.,0.)); #33556=DIRECTION('ref_axis',(-1.,0.,0.)); #33557=DIRECTION('center_axis',(-0.173955618662469,0.492376746692955,-0.852821541737672)); #33558=DIRECTION('ref_axis',(0.,-0.866025403784439,-0.499999999999999)); #33559=DIRECTION('center_axis',(0.,0.,1.)); #33560=DIRECTION('ref_axis',(1.,0.,0.)); #33561=DIRECTION('center_axis',(-0.173955618662469,0.,-0.984753493385912)); #33562=DIRECTION('ref_axis',(0.,-1.,0.)); #33563=DIRECTION('center_axis',(-0.173955618662469,0.,-0.984753493385912)); #33564=DIRECTION('ref_axis',(0.,-1.,0.)); #33565=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #33566=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33567=DIRECTION('center_axis',(7.75193873777869E-17,0.,1.)); #33568=DIRECTION('ref_axis',(1.,0.,0.)); #33569=DIRECTION('center_axis',(-1.22464679914735E-16,1.,0.)); #33570=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33571=DIRECTION('center_axis',(-1.22464679914735E-16,1.,0.)); #33572=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33573=DIRECTION('center_axis',(-1.22464679914735E-16,1.,0.)); #33574=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33575=DIRECTION('center_axis',(-1.22464679914735E-16,1.,0.)); #33576=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #33577=DIRECTION('center_axis',(1.,0.,0.)); #33578=DIRECTION('ref_axis',(0.,0.,-1.)); #33579=DIRECTION('center_axis',(1.,0.,0.)); #33580=DIRECTION('ref_axis',(0.,0.,1.)); #33581=DIRECTION('center_axis',(1.,0.,0.)); #33582=DIRECTION('ref_axis',(0.,0.,1.)); #33583=DIRECTION('center_axis',(0.,1.,1.22464679914735E-16)); #33584=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #33585=DIRECTION('center_axis',(1.,0.,0.)); #33586=DIRECTION('ref_axis',(0.,0.,1.)); #33587=DIRECTION('center_axis',(1.,0.,0.)); #33588=DIRECTION('ref_axis',(0.,0.,1.)); #33589=DIRECTION('center_axis',(1.,0.,0.)); #33590=DIRECTION('ref_axis',(0.,0.,-1.)); #33591=DIRECTION('center_axis',(1.,0.,0.)); #33592=DIRECTION('ref_axis',(0.,0.,1.)); #33593=DIRECTION('center_axis',(1.,0.,0.)); #33594=DIRECTION('ref_axis',(0.,0.,1.)); #33595=DIRECTION('center_axis',(0.,1.,1.22464679914735E-16)); #33596=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #33597=DIRECTION('center_axis',(1.,0.,0.)); #33598=DIRECTION('ref_axis',(0.,0.,1.)); #33599=DIRECTION('center_axis',(1.,0.,0.)); #33600=DIRECTION('ref_axis',(0.,0.,1.)); #33601=DIRECTION('center_axis',(-1.,0.,0.)); #33602=DIRECTION('ref_axis',(0.,0.,1.)); #33603=DIRECTION('',(1.,0.,0.)); #33604=DIRECTION('center_axis',(-1.,0.,0.)); #33605=DIRECTION('ref_axis',(0.,0.,1.)); #33606=DIRECTION('',(1.,0.,0.)); #33607=DIRECTION('center_axis',(-1.,0.,0.)); #33608=DIRECTION('ref_axis',(0.,0.,1.)); #33609=DIRECTION('',(1.,0.,0.)); #33610=DIRECTION('axis',(0.,0.,1.)); #33611=DIRECTION('refdir',(1.,0.,0.)); #33612=DIRECTION('center_axis',(0.,0.,1.)); #33613=DIRECTION('ref_axis',(0.766044443118978,-0.642787609686539,0.)); #33614=DIRECTION('center_axis',(0.,0.,-1.)); #33615=DIRECTION('ref_axis',(0.766044443118978,-0.642787609686539,0.)); #33616=DIRECTION('',(0.,0.,-1.)); #33617=DIRECTION('center_axis',(0.,0.,1.)); #33618=DIRECTION('ref_axis',(0.766044443118978,-0.642787609686539,0.)); #33619=DIRECTION('',(0.,0.,1.)); #33620=DIRECTION('center_axis',(1.,3.31372295210162E-16,0.)); #33621=DIRECTION('ref_axis',(0.,0.,-1.)); #33622=DIRECTION('',(-3.31372295210162E-16,1.,0.)); #33623=DIRECTION('',(0.,0.,1.)); #33624=DIRECTION('',(3.31372295210162E-16,-1.,0.)); #33625=DIRECTION('center_axis',(0.,0.,1.)); #33626=DIRECTION('ref_axis',(1.,0.,0.)); #33627=DIRECTION('',(0.984807753012208,0.17364817766693,0.)); #33628=DIRECTION('',(1.38777878078145E-16,-1.,0.)); #33629=DIRECTION('center_axis',(0.,0.,-1.)); #33630=DIRECTION('ref_axis',(-0.06993006993007,0.997551896053321,0.)); #33631=DIRECTION('',(-1.38777878078145E-16,1.,0.)); #33632=DIRECTION('',(0.984807753012208,-0.17364817766693,0.)); #33633=DIRECTION('center_axis',(0.,0.,1.)); #33634=DIRECTION('ref_axis',(-0.766044443118978,-0.642787609686539,0.)); #33635=DIRECTION('',(0.,-1.,0.)); #33636=DIRECTION('center_axis',(0.,0.,1.)); #33637=DIRECTION('ref_axis',(0.296150049358342,-0.955141428409978,0.)); #33638=DIRECTION('center_axis',(0.,0.,1.)); #33639=DIRECTION('ref_axis',(1.,0.,0.)); #33640=DIRECTION('center_axis',(0.,0.,1.)); #33641=DIRECTION('ref_axis',(1.,0.,0.)); #33642=DIRECTION('center_axis',(0.,0.,1.)); #33643=DIRECTION('ref_axis',(-0.766044443118978,-0.642787609686539,0.)); #33644=DIRECTION('center_axis',(0.,0.,-1.)); #33645=DIRECTION('ref_axis',(-0.766044443118978,-0.642787609686539,0.)); #33646=DIRECTION('',(0.,0.,-1.)); #33647=DIRECTION('',(0.,0.,1.)); #33648=DIRECTION('center_axis',(-1.,0.,0.)); #33649=DIRECTION('ref_axis',(0.,0.,1.)); #33650=DIRECTION('',(0.,1.,0.)); #33651=DIRECTION('',(0.,0.,1.)); #33652=DIRECTION('center_axis',(0.,0.,1.)); #33653=DIRECTION('ref_axis',(1.,0.,0.)); #33654=DIRECTION('center_axis',(0.,0.,-1.)); #33655=DIRECTION('ref_axis',(1.,0.,0.)); #33656=DIRECTION('',(0.,0.,-1.)); #33657=DIRECTION('center_axis',(0.,0.,1.)); #33658=DIRECTION('ref_axis',(1.,0.,0.)); #33659=DIRECTION('center_axis',(0.,0.,-1.)); #33660=DIRECTION('ref_axis',(1.,0.,0.)); #33661=DIRECTION('',(0.,0.,-1.)); #33662=DIRECTION('center_axis',(0.17364817766693,-0.984807753012208,0.)); #33663=DIRECTION('ref_axis',(0.,0.,-1.)); #33664=DIRECTION('',(-0.984807753012208,-0.17364817766693,0.)); #33665=DIRECTION('',(0.,0.,1.)); #33666=DIRECTION('center_axis',(-1.,-1.38777878078145E-16,0.)); #33667=DIRECTION('ref_axis',(0.,0.,1.)); #33668=DIRECTION('',(-1.38777878078145E-16,1.,0.)); #33669=DIRECTION('',(0.,0.,1.)); #33670=DIRECTION('center_axis',(0.,0.,1.)); #33671=DIRECTION('ref_axis',(-0.06993006993007,0.997551896053321,0.)); #33672=DIRECTION('center_axis',(0.,0.,1.)); #33673=DIRECTION('ref_axis',(-0.06993006993007,0.997551896053321,0.)); #33674=DIRECTION('',(0.,0.,1.)); #33675=DIRECTION('center_axis',(1.,1.38777878078145E-16,0.)); #33676=DIRECTION('ref_axis',(0.,0.,-1.)); #33677=DIRECTION('',(1.38777878078145E-16,-1.,0.)); #33678=DIRECTION('',(0.,0.,1.)); #33679=DIRECTION('center_axis',(-0.17364817766693,-0.984807753012208,0.)); #33680=DIRECTION('ref_axis',(0.,0.,-1.)); #33681=DIRECTION('',(-0.984807753012208,0.17364817766693,0.)); #33682=DIRECTION('center_axis',(0.,0.,1.)); #33683=DIRECTION('ref_axis',(-1.97275562124904E-16,1.,0.)); #33684=DIRECTION('center_axis',(0.,0.,-1.)); #33685=DIRECTION('ref_axis',(0.296150049358342,-0.955141428409978,0.)); #33686=DIRECTION('center_axis',(0.,0.,1.)); #33687=DIRECTION('ref_axis',(1.,0.,0.)); #33688=DIRECTION('axis',(0.,0.,1.)); #33689=DIRECTION('refdir',(1.,0.,0.)); #33690=DIRECTION('center_axis',(0.,0.,1.)); #33691=DIRECTION('ref_axis',(1.,0.,0.)); #33692=DIRECTION('',(0.707106781186598,0.707106781186498,0.)); #33693=DIRECTION('center_axis',(0.,0.,-1.)); #33694=DIRECTION('ref_axis',(0.601984252067483,0.798507958797377,0.)); #33695=DIRECTION('',(-0.707106781186598,-0.707106781186498,0.)); #33696=DIRECTION('center_axis',(0.,0.,1.)); #33697=DIRECTION('ref_axis',(0.918271334827389,0.395951708714995,0.)); #33698=DIRECTION('',(0.965925826289076,0.258819045102493,0.)); #33699=DIRECTION('center_axis',(0.,0.,-1.)); #33700=DIRECTION('ref_axis',(0.920587634367319,0.390536051408808,0.)); #33701=DIRECTION('',(-0.965925826289101,-0.2588190451024,0.)); #33702=DIRECTION('center_axis',(0.,0.,1.)); #33703=DIRECTION('ref_axis',(0.993222157885058,-0.116231428994695,0.)); #33704=DIRECTION('',(0.965925826289076,-0.258819045102493,0.)); #33705=DIRECTION('center_axis',(0.,0.,-1.)); #33706=DIRECTION('ref_axis',(0.992520303476331,-0.122079675569904,0.)); #33707=DIRECTION('',(-0.965925826289044,0.258819045102612,0.)); #33708=DIRECTION('center_axis',(0.,0.,1.)); #33709=DIRECTION('ref_axis',(0.802039905832708,-0.597270449170106,0.)); #33710=DIRECTION('',(0.707106781186498,-0.707106781186598,0.)); #33711=DIRECTION('center_axis',(0.,0.,-1.)); #33712=DIRECTION('ref_axis',(0.798507958797377,-0.601984252067483,0.)); #33713=DIRECTION('',(-0.707106781186498,0.707106781186598,0.)); #33714=DIRECTION('center_axis',(0.,0.,1.)); #33715=DIRECTION('ref_axis',(0.395951708714995,-0.918271334827389,0.)); #33716=DIRECTION('',(0.258819045102493,-0.965925826289076,0.)); #33717=DIRECTION('center_axis',(0.,0.,-1.)); #33718=DIRECTION('ref_axis',(0.390536051408808,-0.920587634367319,0.)); #33719=DIRECTION('',(-0.2588190451024,0.965925826289101,0.)); #33720=DIRECTION('center_axis',(0.,0.,1.)); #33721=DIRECTION('ref_axis',(-0.116231428994695,-0.993222157885058,0.)); #33722=DIRECTION('',(-0.258819045102493,-0.965925826289076,0.)); #33723=DIRECTION('center_axis',(0.,0.,-1.)); #33724=DIRECTION('ref_axis',(-0.122079675569904,-0.992520303476331,0.)); #33725=DIRECTION('',(0.258819045102612,0.965925826289044,0.)); #33726=DIRECTION('center_axis',(0.,0.,1.)); #33727=DIRECTION('ref_axis',(-0.597270449170106,-0.802039905832708,0.)); #33728=DIRECTION('',(-0.707106781186598,-0.707106781186498,0.)); #33729=DIRECTION('center_axis',(0.,0.,-1.)); #33730=DIRECTION('ref_axis',(-0.601984252067483,-0.798507958797377,0.)); #33731=DIRECTION('',(0.707106781186598,0.707106781186498,0.)); #33732=DIRECTION('center_axis',(0.,0.,1.)); #33733=DIRECTION('ref_axis',(-0.918271334827389,-0.395951708714995,0.)); #33734=DIRECTION('',(-0.965925826289076,-0.258819045102493,0.)); #33735=DIRECTION('center_axis',(0.,0.,-1.)); #33736=DIRECTION('ref_axis',(-0.920587634367319,-0.390536051408808,0.)); #33737=DIRECTION('',(0.965925826289101,0.2588190451024,0.)); #33738=DIRECTION('center_axis',(0.,0.,1.)); #33739=DIRECTION('ref_axis',(-0.993222157885058,0.116231428994695,0.)); #33740=DIRECTION('',(-0.965925826289076,0.258819045102493,0.)); #33741=DIRECTION('center_axis',(0.,0.,-1.)); #33742=DIRECTION('ref_axis',(-0.992520303476331,0.122079675569904,0.)); #33743=DIRECTION('',(0.965925826289044,-0.258819045102612,0.)); #33744=DIRECTION('center_axis',(0.,0.,1.)); #33745=DIRECTION('ref_axis',(-0.802039905832708,0.597270449170106,0.)); #33746=DIRECTION('',(-0.707106781186498,0.707106781186598,0.)); #33747=DIRECTION('center_axis',(0.,0.,-1.)); #33748=DIRECTION('ref_axis',(-0.798507958797377,0.601984252067483,0.)); #33749=DIRECTION('',(0.707106781186498,-0.707106781186598,0.)); #33750=DIRECTION('center_axis',(0.,0.,1.)); #33751=DIRECTION('ref_axis',(-0.395951708714995,0.918271334827389,0.)); #33752=DIRECTION('',(-0.258819045102493,0.965925826289076,0.)); #33753=DIRECTION('center_axis',(0.,0.,-1.)); #33754=DIRECTION('ref_axis',(-0.390536051408808,0.920587634367319,0.)); #33755=DIRECTION('',(0.2588190451024,-0.965925826289101,0.)); #33756=DIRECTION('center_axis',(0.,0.,1.)); #33757=DIRECTION('ref_axis',(0.116231428994695,0.993222157885058,0.)); #33758=DIRECTION('',(0.258819045102493,0.965925826289076,0.)); #33759=DIRECTION('center_axis',(0.,0.,-1.)); #33760=DIRECTION('ref_axis',(0.122079675569904,0.992520303476331,0.)); #33761=DIRECTION('',(-0.258819045102612,-0.965925826289044,0.)); #33762=DIRECTION('center_axis',(0.,0.,1.)); #33763=DIRECTION('ref_axis',(0.597270449170106,0.802039905832708,0.)); #33764=DIRECTION('center_axis',(0.,0.,-1.)); #33765=DIRECTION('ref_axis',(1.,0.,0.)); #33766=DIRECTION('center_axis',(0.707106781186498,-0.707106781186598,0.)); #33767=DIRECTION('ref_axis',(0.707106781186598,0.707106781186498,0.)); #33768=DIRECTION('',(0.,0.,1.)); #33769=DIRECTION('',(0.707106781186598,0.707106781186498,0.)); #33770=DIRECTION('',(0.,0.,1.)); #33771=DIRECTION('center_axis',(0.,0.,1.)); #33772=DIRECTION('ref_axis',(1.,0.,0.)); #33773=DIRECTION('center_axis',(0.,0.,1.)); #33774=DIRECTION('ref_axis',(-0.122079675569904,0.992520303476331,0.)); #33775=DIRECTION('',(0.,0.,1.)); #33776=DIRECTION('',(0.,0.,1.)); #33777=DIRECTION('center_axis',(0.,0.,1.)); #33778=DIRECTION('ref_axis',(1.,0.,0.)); #33779=DIRECTION('center_axis',(0.,0.,1.)); #33780=DIRECTION('ref_axis',(-0.601984252067419,0.798507958797425,0.)); #33781=DIRECTION('',(0.,0.,1.)); #33782=DIRECTION('',(0.,0.,1.)); #33783=DIRECTION('center_axis',(0.,0.,1.)); #33784=DIRECTION('ref_axis',(1.,0.,0.)); #33785=DIRECTION('center_axis',(0.,0.,1.)); #33786=DIRECTION('ref_axis',(-0.920587634367283,0.390536051408893,0.)); #33787=DIRECTION('',(0.,0.,1.)); #33788=DIRECTION('',(0.,0.,1.)); #33789=DIRECTION('center_axis',(0.,0.,1.)); #33790=DIRECTION('ref_axis',(1.,0.,0.)); #33791=DIRECTION('center_axis',(0.,0.,1.)); #33792=DIRECTION('ref_axis',(-0.992520303476331,-0.122079675569904,0.)); #33793=DIRECTION('',(0.,0.,1.)); #33794=DIRECTION('',(0.,0.,1.)); #33795=DIRECTION('center_axis',(0.,0.,1.)); #33796=DIRECTION('ref_axis',(1.,0.,0.)); #33797=DIRECTION('center_axis',(0.,0.,1.)); #33798=DIRECTION('ref_axis',(-0.798507958797425,-0.601984252067419,0.)); #33799=DIRECTION('',(0.,0.,1.)); #33800=DIRECTION('',(0.,0.,1.)); #33801=DIRECTION('center_axis',(0.,0.,1.)); #33802=DIRECTION('ref_axis',(1.,0.,0.)); #33803=DIRECTION('center_axis',(0.,0.,1.)); #33804=DIRECTION('ref_axis',(-0.390536051408893,-0.920587634367283,0.)); #33805=DIRECTION('',(0.,0.,1.)); #33806=DIRECTION('',(0.,0.,1.)); #33807=DIRECTION('center_axis',(0.,0.,1.)); #33808=DIRECTION('ref_axis',(1.,0.,0.)); #33809=DIRECTION('center_axis',(0.,0.,1.)); #33810=DIRECTION('ref_axis',(0.122079675569904,-0.992520303476331,0.)); #33811=DIRECTION('',(0.,0.,1.)); #33812=DIRECTION('',(0.,0.,1.)); #33813=DIRECTION('center_axis',(0.,0.,1.)); #33814=DIRECTION('ref_axis',(1.,0.,0.)); #33815=DIRECTION('center_axis',(0.,0.,1.)); #33816=DIRECTION('ref_axis',(0.601984252067419,-0.798507958797425,0.)); #33817=DIRECTION('',(0.,0.,1.)); #33818=DIRECTION('',(0.,0.,1.)); #33819=DIRECTION('center_axis',(0.,0.,1.)); #33820=DIRECTION('ref_axis',(1.,0.,0.)); #33821=DIRECTION('',(0.,0.,1.)); #33822=DIRECTION('center_axis',(0.,0.,1.)); #33823=DIRECTION('ref_axis',(0.920587634367283,-0.390536051408893,0.)); #33824=DIRECTION('',(0.,0.,1.)); #33825=DIRECTION('center_axis',(0.,0.,1.)); #33826=DIRECTION('ref_axis',(1.,0.,0.)); #33827=DIRECTION('',(0.,0.,1.)); #33828=DIRECTION('center_axis',(0.,0.,1.)); #33829=DIRECTION('ref_axis',(0.992520303476331,0.122079675569904,0.)); #33830=DIRECTION('',(0.,0.,1.)); #33831=DIRECTION('center_axis',(0.,0.,1.)); #33832=DIRECTION('ref_axis',(1.,0.,0.)); #33833=DIRECTION('center_axis',(0.,0.,1.)); #33834=DIRECTION('ref_axis',(0.798507958797425,0.601984252067419,0.)); #33835=DIRECTION('',(0.,0.,1.)); #33836=DIRECTION('center_axis',(0.,0.,1.)); #33837=DIRECTION('ref_axis',(1.,0.,0.)); #33838=DIRECTION('',(0.,0.,1.)); #33839=DIRECTION('',(0.,0.,1.)); #33840=DIRECTION('center_axis',(0.,0.,1.)); #33841=DIRECTION('ref_axis',(0.390536051408893,0.920587634367283,0.)); #33842=DIRECTION('center_axis',(0.,0.,1.)); #33843=DIRECTION('ref_axis',(1.,0.,0.)); #33844=DIRECTION('center_axis',(0.,0.,1.)); #33845=DIRECTION('ref_axis',(0.597270449170106,0.802039905832708,0.)); #33846=DIRECTION('',(-0.258819045102612,-0.965925826289044,0.)); #33847=DIRECTION('',(0.258819045102493,0.965925826289076,0.)); #33848=DIRECTION('center_axis',(0.,0.,1.)); #33849=DIRECTION('ref_axis',(0.116231428994695,0.993222157885058,0.)); #33850=DIRECTION('',(0.2588190451024,-0.965925826289101,0.)); #33851=DIRECTION('',(-0.258819045102493,0.965925826289076,0.)); #33852=DIRECTION('center_axis',(0.,0.,1.)); #33853=DIRECTION('ref_axis',(-0.395951708714995,0.918271334827389,0.)); #33854=DIRECTION('',(0.707106781186498,-0.707106781186598,0.)); #33855=DIRECTION('',(-0.707106781186498,0.707106781186598,0.)); #33856=DIRECTION('center_axis',(0.,0.,1.)); #33857=DIRECTION('ref_axis',(-0.802039905832708,0.597270449170106,0.)); #33858=DIRECTION('',(0.965925826289044,-0.258819045102612,0.)); #33859=DIRECTION('',(-0.965925826289076,0.258819045102493,0.)); #33860=DIRECTION('center_axis',(0.,0.,1.)); #33861=DIRECTION('ref_axis',(-0.993222157885058,0.116231428994695,0.)); #33862=DIRECTION('',(0.965925826289101,0.2588190451024,0.)); #33863=DIRECTION('',(-0.965925826289076,-0.258819045102493,0.)); #33864=DIRECTION('center_axis',(0.,0.,1.)); #33865=DIRECTION('ref_axis',(-0.918271334827389,-0.395951708714995,0.)); #33866=DIRECTION('',(0.707106781186598,0.707106781186498,0.)); #33867=DIRECTION('',(-0.707106781186598,-0.707106781186498,0.)); #33868=DIRECTION('center_axis',(0.,0.,1.)); #33869=DIRECTION('ref_axis',(-0.597270449170106,-0.802039905832708,0.)); #33870=DIRECTION('',(0.258819045102612,0.965925826289044,0.)); #33871=DIRECTION('',(-0.258819045102493,-0.965925826289076,0.)); #33872=DIRECTION('center_axis',(0.,0.,1.)); #33873=DIRECTION('ref_axis',(-0.116231428994695,-0.993222157885058,0.)); #33874=DIRECTION('',(-0.2588190451024,0.965925826289101,0.)); #33875=DIRECTION('',(0.258819045102493,-0.965925826289076,0.)); #33876=DIRECTION('center_axis',(0.,0.,1.)); #33877=DIRECTION('ref_axis',(0.395951708714995,-0.918271334827389,0.)); #33878=DIRECTION('',(-0.707106781186498,0.707106781186598,0.)); #33879=DIRECTION('',(0.707106781186498,-0.707106781186598,0.)); #33880=DIRECTION('center_axis',(0.,0.,1.)); #33881=DIRECTION('ref_axis',(0.802039905832708,-0.597270449170106,0.)); #33882=DIRECTION('',(-0.965925826289044,0.258819045102612,0.)); #33883=DIRECTION('',(0.965925826289076,-0.258819045102493,0.)); #33884=DIRECTION('center_axis',(0.,0.,1.)); #33885=DIRECTION('ref_axis',(0.993222157885058,-0.116231428994695,0.)); #33886=DIRECTION('',(-0.965925826289101,-0.2588190451024,0.)); #33887=DIRECTION('',(0.965925826289076,0.258819045102493,0.)); #33888=DIRECTION('center_axis',(0.,0.,1.)); #33889=DIRECTION('ref_axis',(0.918271334827389,0.395951708714995,0.)); #33890=DIRECTION('',(-0.707106781186598,-0.707106781186498,0.)); #33891=DIRECTION('center_axis',(0.,0.,1.)); #33892=DIRECTION('ref_axis',(0.,1.,0.)); #33893=DIRECTION('',(-1.,0.,0.)); #33894=DIRECTION('center_axis',(0.,0.,1.)); #33895=DIRECTION('ref_axis',(1.,0.,0.)); #33896=DIRECTION('',(0.,1.,0.)); #33897=DIRECTION('center_axis',(0.,0.,1.)); #33898=DIRECTION('ref_axis',(0.,-1.,0.)); #33899=DIRECTION('',(1.,0.,0.)); #33900=DIRECTION('center_axis',(0.,0.,1.)); #33901=DIRECTION('ref_axis',(-1.,0.,0.)); #33902=DIRECTION('',(0.,-1.,0.)); #33903=DIRECTION('center_axis',(0.,0.,1.)); #33904=DIRECTION('ref_axis',(-1.,0.,0.)); #33905=DIRECTION('center_axis',(0.,0.,1.)); #33906=DIRECTION('ref_axis',(1.,0.,0.)); #33907=DIRECTION('',(-0.439713157560089,0.898138262779477,0.)); #33908=DIRECTION('',(-1.,0.,0.)); #33909=DIRECTION('',(0.310279797550593,-0.950645279392878,0.)); #33910=DIRECTION('',(0.591909548749597,-0.806004395831095,0.)); #33911=DIRECTION('',(0.793878111008659,-0.608076923473769,0.)); #33912=DIRECTION('',(0.979770716762512,-0.200123318418102,0.)); #33913=DIRECTION('',(1.,0.,0.)); #33914=DIRECTION('',(0.968917724761795,0.247383189894599,0.)); #33915=DIRECTION('',(0.890605275886777,0.454777135048188,0.)); #33916=DIRECTION('',(0.699624845831612,0.714510374378912,0.)); #33917=DIRECTION('',(0.237771379209889,0.971321147318757,0.)); #33918=DIRECTION('',(0.,1.,0.)); #33919=DIRECTION('',(-0.237771379209889,0.971321147318757,0.)); #33920=DIRECTION('',(-0.699624845831612,0.714510374378912,0.)); #33921=DIRECTION('',(-0.890605275886777,0.454777135048188,0.)); #33922=DIRECTION('',(-0.968917724761819,0.247383189894505,0.)); #33923=DIRECTION('',(-1.,0.,0.)); #33924=DIRECTION('',(-0.968917724761795,0.247383189894599,0.)); #33925=DIRECTION('',(-0.826599278799587,0.562790931241791,0.)); #33926=DIRECTION('',(-0.546622002128502,0.837379475977903,0.)); #33927=DIRECTION('',(0.,1.,0.)); #33928=DIRECTION('',(0.546622181089414,0.837379359156322,0.)); #33929=DIRECTION('',(0.8265991871191,0.5627910658975,0.)); #33930=DIRECTION('',(0.968917724761795,0.247383189894599,0.)); #33931=DIRECTION('',(1.,0.,0.)); #33932=DIRECTION('',(0.9689177141588,-0.247383231423,0.)); #33933=DIRECTION('',(0.699624751803604,-0.714510466448005,0.)); #33934=DIRECTION('',(0.310279998114313,-0.950645213931139,0.)); #33935=DIRECTION('',(1.,0.,0.)); #33936=DIRECTION('',(-0.23777135915331,0.971321152228442,0.)); #33937=DIRECTION('',(-0.506549025624294,0.862211160122089,0.)); #33938=DIRECTION('',(-0.852653396684114,0.522476970902109,0.)); #33939=DIRECTION('',(-0.979770702100943,0.200123390198709,0.)); #33940=DIRECTION('',(-1.,0.,0.)); #33941=DIRECTION('',(-0.979770702100947,-0.200123390198689,0.)); #33942=DIRECTION('',(-0.890605218761668,-0.454777246918183,0.)); #33943=DIRECTION('',(-0.699624974232905,-0.714510248652605,0.)); #33944=DIRECTION('',(-0.439713095456994,-0.898138293184089,0.)); #33945=DIRECTION('',(0.,-1.,0.)); #33946=DIRECTION('',(0.439713033353778,-0.898138323588755,0.)); #33947=DIRECTION('',(0.699625005501612,-0.714510218035312,0.)); #33948=DIRECTION('',(0.890605242949827,-0.454777199549714,0.)); #33949=DIRECTION('',(0.979770705536091,-0.200123373380798,0.)); #33950=DIRECTION('',(1.,0.,0.)); #33951=DIRECTION('',(0.946649917770008,-0.322263763377203,0.)); #33952=DIRECTION('',(0.826599341220478,-0.562790839561085,0.)); #33953=DIRECTION('',(0.310279998114193,-0.950645213931179,0.)); #33954=DIRECTION('',(0.,-1.,0.)); #33955=DIRECTION('',(-0.310279736267494,-0.950645299394982,0.)); #33956=DIRECTION('',(-0.826599341220478,-0.562790839561085,0.)); #33957=DIRECTION('',(-0.946649917770008,-0.322263763377203,0.)); #33958=DIRECTION('',(-1.,0.,0.)); #33959=DIRECTION('',(-0.946649870890512,0.322263901085704,0.)); #33960=DIRECTION('',(-0.699625005501814,0.714510218035114,0.)); #33961=DIRECTION('center_axis',(0.,0.,1.)); #33962=DIRECTION('ref_axis',(1.,0.,0.)); #33963=DIRECTION('',(-0.562965002783003,-0.826480735190805,0.)); #33964=DIRECTION('',(1.,0.,0.)); #33965=DIRECTION('',(0.556590612664669,0.830786910039854,0.)); #33966=DIRECTION('',(0.55659078175671,-0.830786796755615,0.)); #33967=DIRECTION('',(1.,0.,0.)); #33968=DIRECTION('',(-0.562965140306906,0.826480641515109,0.)); #33969=DIRECTION('',(0.,1.,0.)); #33970=DIRECTION('',(-1.,0.,0.)); #33971=DIRECTION('',(0.,-1.,0.)); #33972=DIRECTION('center_axis',(0.,0.,1.)); #33973=DIRECTION('ref_axis',(1.,0.,0.)); #33974=DIRECTION('',(-0.439716201932674,0.898136772300246,0.)); #33975=DIRECTION('',(-1.,0.,0.)); #33976=DIRECTION('',(0.310282204311603,-0.95064449385011,0.)); #33977=DIRECTION('',(0.591909548749597,-0.806004395831095,0.)); #33978=DIRECTION('',(0.793877901050538,-0.608077197585629,0.)); #33979=DIRECTION('',(0.979770739215325,-0.200123208492805,0.)); #33980=DIRECTION('',(1.,0.,0.)); #33981=DIRECTION('',(0.96891783079148,0.247382774611195,0.)); #33982=DIRECTION('',(0.890605111201675,0.454777457556387,0.)); #33983=DIRECTION('',(0.699625005501612,0.714510218035312,0.)); #33984=DIRECTION('',(0.237770496720696,0.971321363344384,0.)); #33985=DIRECTION('',(0.,1.,0.)); #33986=DIRECTION('',(-0.237770496720696,0.971321363344384,0.)); #33987=DIRECTION('',(-0.699625005501663,0.714510218035262,0.)); #33988=DIRECTION('',(-0.890605111201675,0.454777457556387,0.)); #33989=DIRECTION('',(-0.968917830791504,0.247382774611101,0.)); #33990=DIRECTION('',(-1.,0.,0.)); #33991=DIRECTION('',(-0.968917661143723,0.247383439065306,0.)); #33992=DIRECTION('',(-0.826599278799587,0.562790931241791,0.)); #33993=DIRECTION('',(-0.546623098740299,0.837378760133999,0.)); #33994=DIRECTION('',(2.276635618577E-14,1.,0.)); #33995=DIRECTION('',(0.546623277701381,0.837378643311972,0.)); #33996=DIRECTION('',(0.8265991871191,0.5627910658975,0.)); #33997=DIRECTION('',(0.968917661143723,0.247383439065306,0.)); #33998=DIRECTION('',(1.,0.,0.)); #33999=DIRECTION('',(0.968917661143723,-0.247383439065306,0.)); #34000=DIRECTION('',(0.699624751803604,-0.714510466448005,0.)); #34001=DIRECTION('',(0.310282204311603,-0.95064449385011,0.)); #34002=DIRECTION('',(1.,0.,0.)); #34003=DIRECTION('',(-0.237770496720696,0.971321363344384,0.)); #34004=DIRECTION('',(-0.506549014401491,0.862211166715485,0.)); #34005=DIRECTION('',(-0.85265331344291,0.522477106747106,0.)); #34006=DIRECTION('',(-0.979770735780184,0.200123225310697,0.)); #34007=DIRECTION('',(-1.,0.,0.)); #34008=DIRECTION('',(-0.9797706459687,-0.2001236650126,0.)); #34009=DIRECTION('',(-0.890605614004778,-0.454776472899789,0.)); #34010=DIRECTION('',(-0.699624463288314,-0.714510748952414,0.)); #34011=DIRECTION('',(-0.439714110249412,-0.898137796358425,0.)); #34012=DIRECTION('',(0.,-1.,0.)); #34013=DIRECTION('',(0.439714048146191,-0.898137826763181,0.)); #34014=DIRECTION('',(0.699624494557094,-0.714510718335093,0.)); #34015=DIRECTION('',(0.890605638192835,-0.454776425531418,0.)); #34016=DIRECTION('',(0.979770649403853,-0.20012364819469,0.)); #34017=DIRECTION('',(1.,0.,0.)); #34018=DIRECTION('',(0.946649917770008,-0.322263763377203,0.)); #34019=DIRECTION('',(0.826599278799665,-0.562790931241676,0.)); #34020=DIRECTION('',(0.310282204311603,-0.95064449385011,0.)); #34021=DIRECTION('',(0.,-1.,0.)); #34022=DIRECTION('',(-0.310281942463393,-0.950644579315079,0.)); #34023=DIRECTION('',(-0.826599278799665,-0.562790931241676,0.)); #34024=DIRECTION('',(-0.946649917770008,-0.322263763377203,0.)); #34025=DIRECTION('',(-1.,0.,0.)); #34026=DIRECTION('',(-0.946649917770008,0.322263763377203,0.)); #34027=DIRECTION('',(-0.699623472664799,0.714511718935699,0.)); #34028=DIRECTION('center_axis',(0.,0.,1.)); #34029=DIRECTION('ref_axis',(1.,0.,0.)); #34030=DIRECTION('',(0.,-1.,0.)); #34031=DIRECTION('',(1.,0.,0.)); #34032=DIRECTION('',(0.,1.,0.)); #34033=DIRECTION('',(-1.,0.,0.)); #34034=DIRECTION('',(0.,1.,0.)); #34035=DIRECTION('',(-1.,0.,0.)); #34036=DIRECTION('',(0.,-1.,0.)); #34037=DIRECTION('',(-1.,0.,0.)); #34038=DIRECTION('center_axis',(0.,0.,1.)); #34039=DIRECTION('ref_axis',(1.,0.,0.)); #34040=DIRECTION('',(0.,-1.,0.)); #34041=DIRECTION('',(1.,0.,0.)); #34042=DIRECTION('',(0.,-1.,0.)); #34043=DIRECTION('',(-1.,0.,0.)); #34044=DIRECTION('',(0.,-1.,0.)); #34045=DIRECTION('',(1.,0.,0.)); #34046=DIRECTION('',(0.,-1.,0.)); #34047=DIRECTION('',(-1.,0.,0.)); #34048=DIRECTION('',(0.,-1.,0.)); #34049=DIRECTION('',(1.,0.,0.)); #34050=DIRECTION('',(0.,1.,0.)); #34051=DIRECTION('',(-1.,0.,0.)); #34052=DIRECTION('center_axis',(0.,0.,1.)); #34053=DIRECTION('ref_axis',(1.,0.,0.)); #34054=DIRECTION('',(-0.347417854644283,-0.937710421331854,0.)); #34055=DIRECTION('',(0.,1.,0.)); #34056=DIRECTION('',(-1.,0.,0.)); #34057=DIRECTION('',(0.,-1.,0.)); #34058=DIRECTION('',(1.,0.,0.)); #34059=DIRECTION('',(0.33010013653011,0.943945919988429,0.)); #34060=DIRECTION('',(0.33010013653011,-0.943945919988429,0.)); #34061=DIRECTION('',(1.,0.,0.)); #34062=DIRECTION('',(0.,1.,0.)); #34063=DIRECTION('',(-1.,0.,0.)); #34064=DIRECTION('',(0.,-1.,0.)); #34065=DIRECTION('',(-0.34741735521669,0.937710606367572,0.)); #34066=DIRECTION('',(-1.,0.,0.)); #34067=DIRECTION('center_axis',(0.,0.,1.)); #34068=DIRECTION('ref_axis',(1.,0.,0.)); #34069=DIRECTION('',(0.,-1.,0.)); #34070=DIRECTION('',(0.310279797550593,-0.950645279392878,0.)); #34071=DIRECTION('',(0.591909548749597,-0.806004395831095,0.)); #34072=DIRECTION('',(0.793878111008659,-0.608076923473769,0.)); #34073=DIRECTION('',(0.979770716762532,-0.200123318418006,0.)); #34074=DIRECTION('',(1.,0.,0.)); #34075=DIRECTION('',(0.,1.,0.)); #34076=DIRECTION('',(-1.,0.,0.)); #34077=DIRECTION('',(0.,-1.,0.)); #34078=DIRECTION('',(-1.,0.,0.)); #34079=DIRECTION('',(-0.979770716762512,-0.200123318418102,0.)); #34080=DIRECTION('',(-0.793878111008622,-0.608076923473817,0.)); #34081=DIRECTION('',(-0.591909548749597,-0.806004395831095,0.)); #34082=DIRECTION('',(-0.310279797550593,-0.950645279392878,0.)); #34083=DIRECTION('',(0.946649917770038,0.322263763377113,0.)); #34084=DIRECTION('',(1.,0.,0.)); #34085=DIRECTION('',(0.,-1.,0.)); #34086=DIRECTION('',(-1.,0.,0.)); #34087=DIRECTION('',(-0.946649917770038,0.322263763377113,0.)); #34088=DIRECTION('',(-0.699625005501663,0.714510218035262,0.)); #34089=DIRECTION('',(-0.310279797550593,0.950645279392878,0.)); #34090=DIRECTION('',(0.,1.,0.)); #34091=DIRECTION('',(0.310279797550593,0.950645279392878,0.)); #34092=DIRECTION('',(0.699625005501612,0.714510218035312,0.)); #34093=DIRECTION('center_axis',(0.,0.,1.)); #34094=DIRECTION('ref_axis',(1.,0.,0.)); #34095=DIRECTION('',(1.,0.,0.)); #34096=DIRECTION('',(0.,1.,0.)); #34097=DIRECTION('',(-1.,0.,0.)); #34098=DIRECTION('',(0.,-1.,0.)); #34099=DIRECTION('',(1.,0.,0.)); #34100=DIRECTION('',(0.,-1.,0.)); #34101=DIRECTION('center_axis',(0.,0.,1.)); #34102=DIRECTION('ref_axis',(1.,0.,0.)); #34103=DIRECTION('',(0.316227698161697,-0.948683320668891,0.)); #34104=DIRECTION('',(1.,0.,0.)); #34105=DIRECTION('',(0.316227799944408,0.948683286741323,0.)); #34106=DIRECTION('',(-1.,0.,0.)); #34107=DIRECTION('',(-0.310280165947002,-0.950645159152405,0.)); #34108=DIRECTION('',(-1.,0.,0.)); #34109=DIRECTION('',(-0.310279764819811,0.950645290075832,0.)); #34110=DIRECTION('',(-1.,0.,0.)); #34111=DIRECTION('',(-0.310280021327594,-0.950645206354583,0.)); #34112=DIRECTION('',(-0.310280021327594,0.950645206354583,0.)); #34113=DIRECTION('',(1.,0.,0.)); #34114=DIRECTION('center_axis',(0.,0.,1.)); #34115=DIRECTION('ref_axis',(1.,0.,0.)); #34116=DIRECTION('',(-0.43971214276601,0.89813875960462,0.)); #34117=DIRECTION('',(-1.,0.,0.)); #34118=DIRECTION('',(0.3102789952956,-0.950645541239401,0.)); #34119=DIRECTION('',(0.591909548749597,-0.806004395831095,0.)); #34120=DIRECTION('',(0.793878740881195,-0.608076101139396,0.)); #34121=DIRECTION('',(0.979770649403873,-0.200123648194594,0.)); #34122=DIRECTION('',(1.,0.,0.)); #34123=DIRECTION('',(0.968917661143747,0.247383439065212,0.)); #34124=DIRECTION('',(0.890605638192835,0.454776425531418,0.)); #34125=DIRECTION('',(0.699624494557094,0.714510718335093,0.)); #34126=DIRECTION('',(0.237770496720602,0.971321363344407,0.)); #34127=DIRECTION('',(0.,1.,0.)); #34128=DIRECTION('',(-0.237770496720602,0.971321363344407,0.)); #34129=DIRECTION('',(-0.699624494557094,0.714510718335093,0.)); #34130=DIRECTION('',(-0.890605638192835,0.454776425531418,0.)); #34131=DIRECTION('',(-0.968917661143723,0.247383439065306,0.)); #34132=DIRECTION('',(-1.,0.,0.)); #34133=DIRECTION('',(-0.968917661143723,0.247383439065306,0.)); #34134=DIRECTION('',(-0.826599278799712,0.562790931241608,0.)); #34135=DIRECTION('',(-0.546623098740299,0.837378760133999,0.)); #34136=DIRECTION('',(1.821308494861E-14,1.,0.)); #34137=DIRECTION('',(0.546623277701381,0.837378643311972,0.)); #34138=DIRECTION('',(0.826599187119021,0.562791065897615,0.)); #34139=DIRECTION('',(0.968917661143723,0.247383439065306,0.)); #34140=DIRECTION('',(1.,0.,0.)); #34141=DIRECTION('',(0.968917661143699,-0.2473834390654,0.)); #34142=DIRECTION('',(0.699624751803604,-0.714510466448005,0.)); #34143=DIRECTION('',(0.3102789952956,-0.950645541239401,0.)); #34144=DIRECTION('',(1.,0.,0.)); #34145=DIRECTION('',(-0.237770496720602,0.971321363344407,0.)); #34146=DIRECTION('',(-0.506549014401491,0.862211166715485,0.)); #34147=DIRECTION('',(-0.852653579814604,0.522476672043203,0.)); #34148=DIRECTION('',(-0.979770645968681,0.200123665012696,0.)); #34149=DIRECTION('',(-1.,0.,0.)); #34150=DIRECTION('',(-0.979770735780184,-0.200123225310697,0.)); #34151=DIRECTION('',(-0.890605087013583,-0.454777504924691,0.)); #34152=DIRECTION('',(-0.699624463288314,-0.714510748952414,0.)); #34153=DIRECTION('',(-0.439714110249412,-0.898137796358425,0.)); #34154=DIRECTION('',(0.,-1.,0.)); #34155=DIRECTION('',(0.439714048146191,-0.898137826763181,0.)); #34156=DIRECTION('',(0.699624494557043,-0.714510718335143,0.)); #34157=DIRECTION('',(0.890605111201675,-0.454777457556387,0.)); #34158=DIRECTION('',(0.979770739215325,-0.200123208492805,0.)); #34159=DIRECTION('',(1.,0.,0.)); #34160=DIRECTION('',(0.946649917770038,-0.322263763377113,0.)); #34161=DIRECTION('',(0.826599278799587,-0.562790931241791,0.)); #34162=DIRECTION('',(0.3102789952956,-0.950645541239401,0.)); #34163=DIRECTION('',(0.,-1.,0.)); #34164=DIRECTION('',(-0.310278733449591,-0.950645626702673,0.)); #34165=DIRECTION('',(-0.826599278799587,-0.562790931241791,0.)); #34166=DIRECTION('',(-0.946649917770008,-0.322263763377203,0.)); #34167=DIRECTION('',(-1.,0.,0.)); #34168=DIRECTION('',(-0.946649917770008,0.322263763377203,0.)); #34169=DIRECTION('',(-0.699625516445112,0.714509717735812,0.)); #34170=DIRECTION('center_axis',(0.,0.,1.)); #34171=DIRECTION('ref_axis',(1.,0.,0.)); #34172=DIRECTION('',(0.,-1.,0.)); #34173=DIRECTION('',(1.,0.,0.)); #34174=DIRECTION('',(0.,1.,0.)); #34175=DIRECTION('',(-1.,0.,0.)); #34176=DIRECTION('',(0.,1.,0.)); #34177=DIRECTION('',(-1.,0.,0.)); #34178=DIRECTION('',(0.,-1.,0.)); #34179=DIRECTION('',(-1.,0.,0.)); #34180=DIRECTION('center_axis',(-0.707106781186498,0.707106781186598,0.)); #34181=DIRECTION('ref_axis',(-0.707106781186598,-0.707106781186498,0.)); #34182=DIRECTION('',(0.,0.,1.)); #34183=DIRECTION('center_axis',(0.,0.,1.)); #34184=DIRECTION('ref_axis',(0.500000000000017,-0.866025403784429,0.)); #34185=DIRECTION('',(0.,0.,1.)); #34186=DIRECTION('center_axis',(0.258819045102493,-0.965925826289076,0.)); #34187=DIRECTION('ref_axis',(0.965925826289076,0.258819045102493,0.)); #34188=DIRECTION('center_axis',(-0.2588190451024,0.965925826289101,0.)); #34189=DIRECTION('ref_axis',(-0.965925826289101,-0.2588190451024,0.)); #34190=DIRECTION('',(0.,0.,1.)); #34191=DIRECTION('center_axis',(0.,0.,1.)); #34192=DIRECTION('ref_axis',(0.,-1.,0.)); #34193=DIRECTION('',(0.,0.,1.)); #34194=DIRECTION('center_axis',(-0.258819045102493,-0.965925826289076,0.)); #34195=DIRECTION('ref_axis',(0.965925826289076,-0.258819045102493,0.)); #34196=DIRECTION('center_axis',(0.258819045102612,0.965925826289044,0.)); #34197=DIRECTION('ref_axis',(-0.965925826289044,0.258819045102612,0.)); #34198=DIRECTION('',(0.,0.,1.)); #34199=DIRECTION('center_axis',(0.,0.,1.)); #34200=DIRECTION('ref_axis',(-0.500000000000017,-0.866025403784429,0.)); #34201=DIRECTION('',(0.,0.,1.)); #34202=DIRECTION('center_axis',(-0.707106781186598,-0.707106781186498,0.)); #34203=DIRECTION('ref_axis',(0.707106781186498,-0.707106781186598,0.)); #34204=DIRECTION('center_axis',(0.707106781186598,0.707106781186498,0.)); #34205=DIRECTION('ref_axis',(-0.707106781186498,0.707106781186598,0.)); #34206=DIRECTION('',(0.,0.,1.)); #34207=DIRECTION('center_axis',(0.,0.,1.)); #34208=DIRECTION('ref_axis',(-0.866025403784429,-0.500000000000017,0.)); #34209=DIRECTION('',(0.,0.,1.)); #34210=DIRECTION('center_axis',(-0.965925826289076,-0.258819045102493,0.)); #34211=DIRECTION('ref_axis',(0.258819045102493,-0.965925826289076,0.)); #34212=DIRECTION('center_axis',(0.965925826289101,0.2588190451024,0.)); #34213=DIRECTION('ref_axis',(-0.2588190451024,0.965925826289101,0.)); #34214=DIRECTION('',(0.,0.,1.)); #34215=DIRECTION('center_axis',(0.,0.,1.)); #34216=DIRECTION('ref_axis',(-1.,0.,0.)); #34217=DIRECTION('',(0.,0.,1.)); #34218=DIRECTION('center_axis',(-0.965925826289076,0.258819045102493,0.)); #34219=DIRECTION('ref_axis',(-0.258819045102493,-0.965925826289076,0.)); #34220=DIRECTION('center_axis',(0.965925826289044,-0.258819045102612,0.)); #34221=DIRECTION('ref_axis',(0.258819045102612,0.965925826289044,0.)); #34222=DIRECTION('',(0.,0.,1.)); #34223=DIRECTION('center_axis',(0.,0.,1.)); #34224=DIRECTION('ref_axis',(-0.866025403784429,0.500000000000017,0.)); #34225=DIRECTION('',(0.,0.,1.)); #34226=DIRECTION('center_axis',(-0.707106781186498,0.707106781186598,0.)); #34227=DIRECTION('ref_axis',(-0.707106781186598,-0.707106781186498,0.)); #34228=DIRECTION('center_axis',(0.707106781186498,-0.707106781186598,0.)); #34229=DIRECTION('ref_axis',(0.707106781186598,0.707106781186498,0.)); #34230=DIRECTION('',(0.,0.,1.)); #34231=DIRECTION('center_axis',(0.,0.,1.)); #34232=DIRECTION('ref_axis',(-0.500000000000017,0.866025403784429,0.)); #34233=DIRECTION('',(0.,0.,1.)); #34234=DIRECTION('center_axis',(-0.258819045102493,0.965925826289076,0.)); #34235=DIRECTION('ref_axis',(-0.965925826289076,-0.258819045102493,0.)); #34236=DIRECTION('center_axis',(0.2588190451024,-0.965925826289101,0.)); #34237=DIRECTION('ref_axis',(0.965925826289101,0.2588190451024,0.)); #34238=DIRECTION('',(0.,0.,1.)); #34239=DIRECTION('center_axis',(0.,0.,1.)); #34240=DIRECTION('ref_axis',(0.,1.,0.)); #34241=DIRECTION('',(0.,0.,1.)); #34242=DIRECTION('center_axis',(0.258819045102493,0.965925826289076,0.)); #34243=DIRECTION('ref_axis',(-0.965925826289076,0.258819045102493,0.)); #34244=DIRECTION('center_axis',(-0.258819045102612,-0.965925826289044,0.)); #34245=DIRECTION('ref_axis',(0.965925826289044,-0.258819045102612,0.)); #34246=DIRECTION('',(0.,0.,1.)); #34247=DIRECTION('center_axis',(0.,0.,1.)); #34248=DIRECTION('ref_axis',(0.500000000000017,0.866025403784429,0.)); #34249=DIRECTION('',(0.,0.,1.)); #34250=DIRECTION('center_axis',(0.707106781186598,0.707106781186498,0.)); #34251=DIRECTION('ref_axis',(-0.707106781186498,0.707106781186598,0.)); #34252=DIRECTION('center_axis',(-0.707106781186598,-0.707106781186498,0.)); #34253=DIRECTION('ref_axis',(0.707106781186498,-0.707106781186598,0.)); #34254=DIRECTION('',(0.,0.,1.)); #34255=DIRECTION('center_axis',(0.,0.,1.)); #34256=DIRECTION('ref_axis',(0.866025403784429,0.500000000000017,0.)); #34257=DIRECTION('',(0.,0.,1.)); #34258=DIRECTION('center_axis',(0.965925826289076,0.258819045102493,0.)); #34259=DIRECTION('ref_axis',(-0.258819045102493,0.965925826289076,0.)); #34260=DIRECTION('center_axis',(-0.965925826289101,-0.2588190451024,0.)); #34261=DIRECTION('ref_axis',(0.2588190451024,-0.965925826289101,0.)); #34262=DIRECTION('',(0.,0.,1.)); #34263=DIRECTION('center_axis',(0.,0.,1.)); #34264=DIRECTION('ref_axis',(1.,0.,0.)); #34265=DIRECTION('',(0.,0.,1.)); #34266=DIRECTION('center_axis',(0.965925826289076,-0.258819045102493,0.)); #34267=DIRECTION('ref_axis',(0.258819045102493,0.965925826289076,0.)); #34268=DIRECTION('center_axis',(-0.965925826289044,0.258819045102612,0.)); #34269=DIRECTION('ref_axis',(-0.258819045102612,-0.965925826289044,0.)); #34270=DIRECTION('',(0.,0.,1.)); #34271=DIRECTION('center_axis',(0.,0.,1.)); #34272=DIRECTION('ref_axis',(0.866025403784429,-0.500000000000017,0.)); #34273=DIRECTION('center_axis',(0.,0.,1.)); #34274=DIRECTION('ref_axis',(1.,0.,0.)); #34275=DIRECTION('',(0.,0.,-1.)); #34276=DIRECTION('center_axis',(0.,0.,-1.)); #34277=DIRECTION('ref_axis',(-1.,0.,0.)); #34278=DIRECTION('',(0.,0.,-1.)); #34279=DIRECTION('center_axis',(0.,1.,0.)); #34280=DIRECTION('ref_axis',(-1.,0.,0.)); #34281=DIRECTION('',(1.,0.,0.)); #34282=DIRECTION('',(0.,0.,-1.)); #34283=DIRECTION('center_axis',(0.,0.,1.)); #34284=DIRECTION('ref_axis',(1.,0.,0.)); #34285=DIRECTION('center_axis',(0.,0.,-1.)); #34286=DIRECTION('ref_axis',(0.,1.,0.)); #34287=DIRECTION('',(0.,0.,-1.)); #34288=DIRECTION('center_axis',(1.,0.,0.)); #34289=DIRECTION('ref_axis',(0.,1.,0.)); #34290=DIRECTION('',(0.,-1.,0.)); #34291=DIRECTION('',(0.,0.,-1.)); #34292=DIRECTION('center_axis',(0.,0.,1.)); #34293=DIRECTION('ref_axis',(1.,0.,0.)); #34294=DIRECTION('center_axis',(0.,0.,-1.)); #34295=DIRECTION('ref_axis',(1.,0.,0.)); #34296=DIRECTION('',(0.,0.,-1.)); #34297=DIRECTION('center_axis',(0.,-1.,0.)); #34298=DIRECTION('ref_axis',(1.,0.,0.)); #34299=DIRECTION('',(-1.,0.,0.)); #34300=DIRECTION('',(0.,0.,-1.)); #34301=DIRECTION('center_axis',(0.,0.,1.)); #34302=DIRECTION('ref_axis',(1.,0.,0.)); #34303=DIRECTION('center_axis',(0.,0.,-1.)); #34304=DIRECTION('ref_axis',(0.,-1.,0.)); #34305=DIRECTION('',(0.,0.,-1.)); #34306=DIRECTION('center_axis',(-1.,0.,0.)); #34307=DIRECTION('ref_axis',(0.,-1.,0.)); #34308=DIRECTION('',(0.,1.,0.)); #34309=DIRECTION('center_axis',(0.,0.,1.)); #34310=DIRECTION('ref_axis',(1.,0.,0.)); #34311=DIRECTION('',(0.439713157560209,-0.898138262779418,0.)); #34312=DIRECTION('',(0.699625005501764,-0.714510218035163,0.)); #34313=DIRECTION('',(0.946649870890512,-0.322263901085704,0.)); #34314=DIRECTION('',(1.,0.,0.)); #34315=DIRECTION('',(0.946649917770008,0.322263763377203,0.)); #34316=DIRECTION('',(0.826599341220478,0.562790839561085,0.)); #34317=DIRECTION('',(0.310279736267494,0.950645299394982,0.)); #34318=DIRECTION('',(0.,1.,0.)); #34319=DIRECTION('',(-0.310279998114193,0.950645213931179,0.)); #34320=DIRECTION('',(-0.826599341220478,0.562790839561085,0.)); #34321=DIRECTION('',(-0.946649917770008,0.322263763377203,0.)); #34322=DIRECTION('',(-1.,0.,0.)); #34323=DIRECTION('',(-0.979770705536091,0.200123373380798,0.)); #34324=DIRECTION('',(-0.890605242949827,0.454777199549714,0.)); #34325=DIRECTION('',(-0.699625005501663,0.714510218035262,0.)); #34326=DIRECTION('',(-0.439713033353817,0.898138323588736,0.)); #34327=DIRECTION('',(0.,1.,0.)); #34328=DIRECTION('',(0.439713095456994,0.898138293184089,0.)); #34329=DIRECTION('',(0.699624974232905,0.714510248652605,0.)); #34330=DIRECTION('',(0.890605218761668,0.454777246918183,0.)); #34331=DIRECTION('',(0.979770702100947,0.200123390198689,0.)); #34332=DIRECTION('',(1.,0.,0.)); #34333=DIRECTION('',(0.979770702100947,-0.200123390198689,0.)); #34334=DIRECTION('',(0.852653396684114,-0.522476970902109,0.)); #34335=DIRECTION('',(0.506549025624294,-0.862211160122089,0.)); #34336=DIRECTION('',(0.23777135915331,-0.971321152228442,0.)); #34337=DIRECTION('',(-1.,0.,0.)); #34338=DIRECTION('',(-0.310279998114313,0.950645213931139,0.)); #34339=DIRECTION('',(-0.699624751803604,0.714510466448005,0.)); #34340=DIRECTION('',(-0.9689177141588,0.247383231423,0.)); #34341=DIRECTION('',(-1.,0.,0.)); #34342=DIRECTION('',(-0.968917724761795,-0.247383189894599,0.)); #34343=DIRECTION('',(-0.8265991871191,-0.5627910658975,0.)); #34344=DIRECTION('',(-0.546622181089484,-0.837379359156276,0.)); #34345=DIRECTION('',(0.,-1.,0.)); #34346=DIRECTION('',(0.546622002128502,-0.837379475977903,0.)); #34347=DIRECTION('',(0.826599278799587,-0.562790931241791,0.)); #34348=DIRECTION('',(0.968917724761795,-0.247383189894599,0.)); #34349=DIRECTION('',(1.,0.,0.)); #34350=DIRECTION('',(0.968917724761819,-0.247383189894505,0.)); #34351=DIRECTION('',(0.890605275886777,-0.454777135048188,0.)); #34352=DIRECTION('',(0.699624845831612,-0.714510374378912,0.)); #34353=DIRECTION('',(0.237771379209889,-0.971321147318757,0.)); #34354=DIRECTION('',(0.,-1.,0.)); #34355=DIRECTION('',(-0.237771379209889,-0.971321147318757,0.)); #34356=DIRECTION('',(-0.699624845831612,-0.714510374378912,0.)); #34357=DIRECTION('',(-0.890605275886777,-0.454777135048188,0.)); #34358=DIRECTION('',(-0.968917724761795,-0.247383189894599,0.)); #34359=DIRECTION('',(-1.,0.,0.)); #34360=DIRECTION('',(-0.979770716762512,0.200123318418102,0.)); #34361=DIRECTION('',(-0.793878111008622,0.608076923473817,0.)); #34362=DIRECTION('',(-0.591909548749597,0.806004395831095,0.)); #34363=DIRECTION('',(-0.310279797550593,0.950645279392878,0.)); #34364=DIRECTION('',(1.,0.,0.)); #34365=DIRECTION('',(0.562965002783003,0.826480735190805,0.)); #34366=DIRECTION('',(0.,1.,0.)); #34367=DIRECTION('',(1.,0.,0.)); #34368=DIRECTION('',(0.,-1.,0.)); #34369=DIRECTION('',(0.562965140306906,-0.826480641515109,0.)); #34370=DIRECTION('',(-1.,0.,0.)); #34371=DIRECTION('',(-0.55659078175671,0.830786796755615,0.)); #34372=DIRECTION('',(-0.556590612664669,-0.830786910039854,0.)); #34373=DIRECTION('',(-1.,0.,0.)); #34374=DIRECTION('',(0.439716201932674,-0.898136772300246,0.)); #34375=DIRECTION('',(0.699623472664799,-0.714511718935699,0.)); #34376=DIRECTION('',(0.946649917770008,-0.322263763377203,0.)); #34377=DIRECTION('',(1.,0.,0.)); #34378=DIRECTION('',(0.946649917770008,0.322263763377203,0.)); #34379=DIRECTION('',(0.826599278799665,0.562790931241676,0.)); #34380=DIRECTION('',(0.310281942463393,0.950644579315079,0.)); #34381=DIRECTION('',(0.,1.,0.)); #34382=DIRECTION('',(-0.310282204311603,0.95064449385011,0.)); #34383=DIRECTION('',(-0.826599278799665,0.562790931241676,0.)); #34384=DIRECTION('',(-0.946649917770008,0.322263763377203,0.)); #34385=DIRECTION('',(-1.,0.,0.)); #34386=DIRECTION('',(-0.979770649403853,0.20012364819469,0.)); #34387=DIRECTION('',(-0.890605638192835,0.454776425531418,0.)); #34388=DIRECTION('',(-0.699624494557094,0.714510718335093,0.)); #34389=DIRECTION('',(-0.439714048146191,0.898137826763181,0.)); #34390=DIRECTION('',(0.,1.,0.)); #34391=DIRECTION('',(0.439714110249412,0.898137796358425,0.)); #34392=DIRECTION('',(0.699624463288314,0.714510748952414,0.)); #34393=DIRECTION('',(0.890605614004778,0.454776472899789,0.)); #34394=DIRECTION('',(0.9797706459687,0.2001236650126,0.)); #34395=DIRECTION('',(1.,0.,0.)); #34396=DIRECTION('',(0.979770735780184,-0.200123225310697,0.)); #34397=DIRECTION('',(0.85265331344291,-0.522477106747106,0.)); #34398=DIRECTION('',(0.506549014401491,-0.862211166715485,0.)); #34399=DIRECTION('',(0.237770496720696,-0.971321363344384,0.)); #34400=DIRECTION('',(-1.,0.,0.)); #34401=DIRECTION('',(-0.310282204311603,0.95064449385011,0.)); #34402=DIRECTION('',(-0.699624751803604,0.714510466448005,0.)); #34403=DIRECTION('',(-0.968917661143723,0.247383439065306,0.)); #34404=DIRECTION('',(-1.,0.,0.)); #34405=DIRECTION('',(-0.968917661143723,-0.247383439065306,0.)); #34406=DIRECTION('',(-0.8265991871191,-0.5627910658975,0.)); #34407=DIRECTION('',(-0.546623277701381,-0.837378643311972,0.)); #34408=DIRECTION('',(0.,-1.,0.)); #34409=DIRECTION('',(0.546623098740299,-0.837378760133999,0.)); #34410=DIRECTION('',(0.826599278799587,-0.562790931241791,0.)); #34411=DIRECTION('',(0.968917661143723,-0.247383439065306,0.)); #34412=DIRECTION('',(1.,0.,0.)); #34413=DIRECTION('',(0.968917830791504,-0.247382774611101,0.)); #34414=DIRECTION('',(0.890605111201675,-0.454777457556387,0.)); #34415=DIRECTION('',(0.699625005501663,-0.714510218035262,0.)); #34416=DIRECTION('',(0.237770496720696,-0.971321363344384,0.)); #34417=DIRECTION('',(0.,-1.,0.)); #34418=DIRECTION('',(-0.237770496720696,-0.971321363344384,0.)); #34419=DIRECTION('',(-0.699625005501663,-0.714510218035262,0.)); #34420=DIRECTION('',(-0.890605111201675,-0.454777457556387,0.)); #34421=DIRECTION('',(-0.96891783079148,-0.247382774611195,0.)); #34422=DIRECTION('',(-1.,0.,0.)); #34423=DIRECTION('',(-0.979770739215325,0.200123208492805,0.)); #34424=DIRECTION('',(-0.793877901050538,0.608077197585629,0.)); #34425=DIRECTION('',(-0.591909548749597,0.806004395831095,0.)); #34426=DIRECTION('',(-0.310282204311603,0.95064449385011,0.)); #34427=DIRECTION('',(1.,0.,0.)); #34428=DIRECTION('',(0.,1.,0.)); #34429=DIRECTION('',(1.,0.,0.)); #34430=DIRECTION('',(0.,1.,0.)); #34431=DIRECTION('',(1.,0.,0.)); #34432=DIRECTION('',(0.,-1.,0.)); #34433=DIRECTION('',(1.,0.,0.)); #34434=DIRECTION('',(0.,-1.,0.)); #34435=DIRECTION('',(-1.,0.,0.)); #34436=DIRECTION('',(0.,1.,0.)); #34437=DIRECTION('',(1.,0.,0.)); #34438=DIRECTION('',(0.,-1.,0.)); #34439=DIRECTION('',(-1.,0.,0.)); #34440=DIRECTION('',(0.,1.,0.)); #34441=DIRECTION('',(1.,0.,0.)); #34442=DIRECTION('',(0.,1.,0.)); #34443=DIRECTION('',(-1.,0.,0.)); #34444=DIRECTION('',(0.,1.,0.)); #34445=DIRECTION('',(1.,0.,0.)); #34446=DIRECTION('',(0.,1.,0.)); #34447=DIRECTION('',(-1.,0.,0.)); #34448=DIRECTION('',(0.347417854644283,0.937710421331854,0.)); #34449=DIRECTION('',(1.,0.,0.)); #34450=DIRECTION('',(0.34741735521669,-0.937710606367572,0.)); #34451=DIRECTION('',(0.,1.,0.)); #34452=DIRECTION('',(1.,0.,0.)); #34453=DIRECTION('',(0.,-1.,0.)); #34454=DIRECTION('',(-1.,0.,0.)); #34455=DIRECTION('',(-0.33010013653011,0.943945919988429,0.)); #34456=DIRECTION('',(-0.33010013653011,-0.943945919988429,0.)); #34457=DIRECTION('',(-1.,0.,0.)); #34458=DIRECTION('',(0.,1.,0.)); #34459=DIRECTION('',(1.,0.,0.)); #34460=DIRECTION('',(0.,-1.,0.)); #34461=DIRECTION('',(0.,1.,0.)); #34462=DIRECTION('',(0.310279797550593,0.950645279392878,0.)); #34463=DIRECTION('',(0.591909548749597,0.806004395831095,0.)); #34464=DIRECTION('',(0.793878111008622,0.608076923473817,0.)); #34465=DIRECTION('',(0.979770716762512,0.200123318418102,0.)); #34466=DIRECTION('',(1.,0.,0.)); #34467=DIRECTION('',(0.,1.,0.)); #34468=DIRECTION('',(1.,0.,0.)); #34469=DIRECTION('',(0.,-1.,0.)); #34470=DIRECTION('',(-1.,0.,0.)); #34471=DIRECTION('',(-0.979770716762532,0.200123318418006,0.)); #34472=DIRECTION('',(-0.793878111008622,0.608076923473817,0.)); #34473=DIRECTION('',(-0.591909548749597,0.806004395831095,0.)); #34474=DIRECTION('',(-0.310279797550593,0.950645279392878,0.)); #34475=DIRECTION('',(-1.,0.,0.)); #34476=DIRECTION('',(0.,1.,0.)); #34477=DIRECTION('',(-1.,0.,0.)); #34478=DIRECTION('',(0.,1.,0.)); #34479=DIRECTION('',(1.,0.,0.)); #34480=DIRECTION('',(0.,-1.,0.)); #34481=DIRECTION('',(-0.316227698161697,0.948683320668891,0.)); #34482=DIRECTION('',(1.,0.,0.)); #34483=DIRECTION('',(0.310279764819811,-0.950645290075832,0.)); #34484=DIRECTION('',(1.,0.,0.)); #34485=DIRECTION('',(0.310280165947002,0.950645159152405,0.)); #34486=DIRECTION('',(1.,0.,0.)); #34487=DIRECTION('',(-0.316227799944408,-0.948683286741323,0.)); #34488=DIRECTION('',(-1.,0.,0.)); #34489=DIRECTION('',(0.43971214276601,-0.89813875960462,0.)); #34490=DIRECTION('',(0.699625516445112,-0.714509717735812,0.)); #34491=DIRECTION('',(0.946649917770008,-0.322263763377203,0.)); #34492=DIRECTION('',(1.,0.,0.)); #34493=DIRECTION('',(0.946649917770008,0.322263763377203,0.)); #34494=DIRECTION('',(0.826599278799587,0.562790931241791,0.)); #34495=DIRECTION('',(0.310278733449591,0.950645626702673,0.)); #34496=DIRECTION('',(0.,1.,0.)); #34497=DIRECTION('',(-0.3102789952956,0.950645541239401,0.)); #34498=DIRECTION('',(-0.826599278799587,0.562790931241791,0.)); #34499=DIRECTION('',(-0.946649917770038,0.322263763377113,0.)); #34500=DIRECTION('',(-1.,0.,0.)); #34501=DIRECTION('',(-0.979770739215325,0.200123208492805,0.)); #34502=DIRECTION('',(-0.890605111201675,0.454777457556387,0.)); #34503=DIRECTION('',(-0.699624494557043,0.714510718335143,0.)); #34504=DIRECTION('',(-0.439714048146191,0.898137826763181,0.)); #34505=DIRECTION('',(0.,1.,0.)); #34506=DIRECTION('',(0.439714110249412,0.898137796358425,0.)); #34507=DIRECTION('',(0.699624463288314,0.714510748952414,0.)); #34508=DIRECTION('',(0.890605087013583,0.454777504924691,0.)); #34509=DIRECTION('',(0.979770735780165,0.200123225310793,0.)); #34510=DIRECTION('',(1.,0.,0.)); #34511=DIRECTION('',(0.979770645968681,-0.200123665012696,0.)); #34512=DIRECTION('',(0.852653579814604,-0.522476672043203,0.)); #34513=DIRECTION('',(0.506549014401491,-0.862211166715485,0.)); #34514=DIRECTION('',(0.237770496720602,-0.971321363344407,0.)); #34515=DIRECTION('',(-1.,0.,0.)); #34516=DIRECTION('',(-0.3102789952956,0.950645541239401,0.)); #34517=DIRECTION('',(-0.699624751803604,0.714510466448005,0.)); #34518=DIRECTION('',(-0.968917661143723,0.247383439065306,0.)); #34519=DIRECTION('',(-1.,0.,0.)); #34520=DIRECTION('',(-0.968917661143723,-0.247383439065306,0.)); #34521=DIRECTION('',(-0.826599187119021,-0.562791065897615,0.)); #34522=DIRECTION('',(-0.546623277701381,-0.837378643311972,0.)); #34523=DIRECTION('',(0.,-1.,0.)); #34524=DIRECTION('',(0.546623098740299,-0.837378760133999,0.)); #34525=DIRECTION('',(0.826599278799665,-0.562790931241676,0.)); #34526=DIRECTION('',(0.968917661143723,-0.247383439065306,0.)); #34527=DIRECTION('',(1.,0.,0.)); #34528=DIRECTION('',(0.968917661143723,-0.247383439065306,0.)); #34529=DIRECTION('',(0.890605638192835,-0.454776425531418,0.)); #34530=DIRECTION('',(0.699624494557094,-0.714510718335093,0.)); #34531=DIRECTION('',(0.237770496720602,-0.971321363344407,0.)); #34532=DIRECTION('',(0.,-1.,0.)); #34533=DIRECTION('',(-0.237770496720602,-0.971321363344407,0.)); #34534=DIRECTION('',(-0.699624494557094,-0.714510718335093,0.)); #34535=DIRECTION('',(-0.890605638192835,-0.454776425531418,0.)); #34536=DIRECTION('',(-0.968917661143753,-0.247383439065188,0.)); #34537=DIRECTION('',(-1.,0.,0.)); #34538=DIRECTION('',(-0.979770649403873,0.200123648194594,0.)); #34539=DIRECTION('',(-0.793878740881195,0.608076101139396,0.)); #34540=DIRECTION('',(-0.591909548749597,0.806004395831095,0.)); #34541=DIRECTION('',(-0.3102789952956,0.950645541239401,0.)); #34542=DIRECTION('',(1.,0.,0.)); #34543=DIRECTION('',(0.,1.,0.)); #34544=DIRECTION('',(1.,0.,0.)); #34545=DIRECTION('',(0.,1.,0.)); #34546=DIRECTION('',(1.,0.,0.)); #34547=DIRECTION('',(0.,-1.,0.)); #34548=DIRECTION('',(1.,0.,0.)); #34549=DIRECTION('',(0.,-1.,0.)); #34550=DIRECTION('',(-1.,0.,0.)); #34551=DIRECTION('center_axis',(0.,0.,1.)); #34552=DIRECTION('ref_axis',(1.,0.,0.)); #34553=DIRECTION('',(-0.946649917770038,-0.322263763377113,0.)); #34554=DIRECTION('',(-0.699625005501612,-0.714510218035312,0.)); #34555=DIRECTION('',(-0.310279797550593,-0.950645279392878,0.)); #34556=DIRECTION('',(0.,-1.,0.)); #34557=DIRECTION('',(0.310279797550593,-0.950645279392878,0.)); #34558=DIRECTION('',(0.699625005501663,-0.714510218035262,0.)); #34559=DIRECTION('',(0.946649917770038,-0.322263763377113,0.)); #34560=DIRECTION('',(1.,0.,0.)); #34561=DIRECTION('',(0.,1.,0.)); #34562=DIRECTION('',(-1.,0.,0.)); #34563=DIRECTION('center_axis',(0.,0.,1.)); #34564=DIRECTION('ref_axis',(1.,0.,0.)); #34565=DIRECTION('',(0.310280021327594,0.950645206354583,0.)); #34566=DIRECTION('',(-1.,0.,0.)); #34567=DIRECTION('',(0.310280021327594,-0.950645206354583,0.)); #34568=DIRECTION('center_axis',(0.898138262779418,0.439713157560209,0.)); #34569=DIRECTION('ref_axis',(-0.439713157560209,0.898138262779418,0.)); #34570=DIRECTION('',(1.7763568394E-14,0.,-1.)); #34571=DIRECTION('',(0.,0.,-1.)); #34572=DIRECTION('center_axis',(0.,1.,0.)); #34573=DIRECTION('ref_axis',(-1.,0.,0.)); #34574=DIRECTION('',(0.,0.,-1.)); #34575=DIRECTION('center_axis',(-0.950645279392878,-0.310279797550593,0.)); #34576=DIRECTION('ref_axis',(0.310279797550593,-0.950645279392878,0.)); #34577=DIRECTION('',(0.,0.,-1.)); #34578=DIRECTION('center_axis',(-0.806004395831095,-0.591909548749597,0.)); #34579=DIRECTION('ref_axis',(0.591909548749597,-0.806004395831095,0.)); #34580=DIRECTION('',(0.,-7.105427357601E-14,-1.)); #34581=DIRECTION('center_axis',(-0.608076923473769,-0.793878111008659,0.)); #34582=DIRECTION('ref_axis',(0.793878111008659,-0.608076923473769,0.)); #34583=DIRECTION('',(1.7763568394E-14,0.,-1.)); #34584=DIRECTION('center_axis',(-0.200123318418102,-0.979770716762512,0.)); #34585=DIRECTION('ref_axis',(0.979770716762512,-0.200123318418102,0.)); #34586=DIRECTION('',(0.,0.,-1.)); #34587=DIRECTION('center_axis',(0.,-1.,0.)); #34588=DIRECTION('ref_axis',(1.,0.,0.)); #34589=DIRECTION('',(0.,0.,-1.)); #34590=DIRECTION('center_axis',(0.247383189894599,-0.968917724761795,0.)); #34591=DIRECTION('ref_axis',(0.968917724761795,0.247383189894599,0.)); #34592=DIRECTION('',(0.,0.,-1.)); #34593=DIRECTION('center_axis',(0.454777135048109,-0.890605275886817,0.)); #34594=DIRECTION('ref_axis',(0.890605275886817,0.454777135048109,0.)); #34595=DIRECTION('',(0.,0.,-1.)); #34596=DIRECTION('center_axis',(0.714510374378912,-0.699624845831612,0.)); #34597=DIRECTION('ref_axis',(0.699624845831612,0.714510374378912,0.)); #34598=DIRECTION('',(0.,0.,-1.)); #34599=DIRECTION('center_axis',(0.971321147318757,-0.23777137920989,0.)); #34600=DIRECTION('ref_axis',(0.23777137920989,0.971321147318757,0.)); #34601=DIRECTION('',(0.,0.,-1.)); #34602=DIRECTION('center_axis',(1.,0.,0.)); #34603=DIRECTION('ref_axis',(0.,1.,0.)); #34604=DIRECTION('',(0.,0.,-1.)); #34605=DIRECTION('center_axis',(0.971321147318757,0.23777137920989,0.)); #34606=DIRECTION('ref_axis',(-0.23777137920989,0.971321147318757,0.)); #34607=DIRECTION('',(0.,0.,-1.)); #34608=DIRECTION('center_axis',(0.714510374378912,0.699624845831612,0.)); #34609=DIRECTION('ref_axis',(-0.699624845831612,0.714510374378912,0.)); #34610=DIRECTION('',(0.,0.,-1.)); #34611=DIRECTION('center_axis',(0.454777135048188,0.890605275886777,0.)); #34612=DIRECTION('ref_axis',(-0.890605275886777,0.454777135048188,0.)); #34613=DIRECTION('',(0.,0.,-1.)); #34614=DIRECTION('center_axis',(0.247383189894505,0.968917724761819,0.)); #34615=DIRECTION('ref_axis',(-0.968917724761819,0.247383189894505,0.)); #34616=DIRECTION('',(0.,0.,-1.)); #34617=DIRECTION('center_axis',(0.,1.,0.)); #34618=DIRECTION('ref_axis',(-1.,0.,0.)); #34619=DIRECTION('',(0.,0.,-1.)); #34620=DIRECTION('center_axis',(0.247383189894599,0.968917724761795,0.)); #34621=DIRECTION('ref_axis',(-0.968917724761795,0.247383189894599,0.)); #34622=DIRECTION('',(0.,0.,-1.)); #34623=DIRECTION('center_axis',(0.562790931241723,0.826599278799634,0.)); #34624=DIRECTION('ref_axis',(-0.826599278799634,0.562790931241723,0.)); #34625=DIRECTION('',(0.,0.,-1.)); #34626=DIRECTION('center_axis',(0.837379475977903,0.546622002128502,0.)); #34627=DIRECTION('ref_axis',(-0.546622002128502,0.837379475977903,0.)); #34628=DIRECTION('',(0.,0.,-1.)); #34629=DIRECTION('center_axis',(1.,0.,0.)); #34630=DIRECTION('ref_axis',(0.,1.,0.)); #34631=DIRECTION('',(0.,0.,-1.)); #34632=DIRECTION('center_axis',(0.837379359156276,-0.546622181089484,0.)); #34633=DIRECTION('ref_axis',(0.546622181089484,0.837379359156276,0.)); #34634=DIRECTION('',(0.,0.,-1.)); #34635=DIRECTION('center_axis',(0.5627910658975,-0.8265991871191,0.)); #34636=DIRECTION('ref_axis',(0.8265991871191,0.5627910658975,0.)); #34637=DIRECTION('',(0.,0.,-1.)); #34638=DIRECTION('center_axis',(0.247383189894599,-0.968917724761795,0.)); #34639=DIRECTION('ref_axis',(0.968917724761795,0.247383189894599,0.)); #34640=DIRECTION('',(0.,0.,-1.)); #34641=DIRECTION('center_axis',(0.,-1.,0.)); #34642=DIRECTION('ref_axis',(1.,0.,0.)); #34643=DIRECTION('',(0.,0.,-1.)); #34644=DIRECTION('center_axis',(-0.247383231423,-0.9689177141588,0.)); #34645=DIRECTION('ref_axis',(0.9689177141588,-0.247383231423,0.)); #34646=DIRECTION('',(1.7763568394E-14,0.,-1.)); #34647=DIRECTION('center_axis',(-0.714510466448005,-0.699624751803604,0.)); #34648=DIRECTION('ref_axis',(0.699624751803605,-0.714510466448005,0.)); #34649=DIRECTION('',(0.,0.,-1.)); #34650=DIRECTION('center_axis',(-0.950645213931149,-0.310279998114283,0.)); #34651=DIRECTION('ref_axis',(0.310279998114283,-0.950645213931149,0.)); #34652=DIRECTION('',(0.,0.,-1.)); #34653=DIRECTION('center_axis',(0.,-1.,0.)); #34654=DIRECTION('ref_axis',(1.,0.,0.)); #34655=DIRECTION('',(0.,0.,-1.)); #34656=DIRECTION('center_axis',(0.971321152228442,0.23777135915331,0.)); #34657=DIRECTION('ref_axis',(-0.23777135915331,0.971321152228442,0.)); #34658=DIRECTION('',(0.,0.,-1.)); #34659=DIRECTION('center_axis',(0.862211160122089,0.506549025624294,0.)); #34660=DIRECTION('ref_axis',(-0.506549025624294,0.862211160122089,0.)); #34661=DIRECTION('',(0.,0.,-1.)); #34662=DIRECTION('center_axis',(0.522476970902109,0.852653396684114,0.)); #34663=DIRECTION('ref_axis',(-0.852653396684114,0.522476970902109,0.)); #34664=DIRECTION('',(0.,0.,-1.)); #34665=DIRECTION('center_axis',(0.200123390198709,0.979770702100943,0.)); #34666=DIRECTION('ref_axis',(-0.979770702100943,0.200123390198709,0.)); #34667=DIRECTION('',(0.,0.,-1.)); #34668=DIRECTION('center_axis',(0.,1.,0.)); #34669=DIRECTION('ref_axis',(-1.,0.,0.)); #34670=DIRECTION('',(0.,0.,-1.)); #34671=DIRECTION('center_axis',(-0.200123390198709,0.979770702100943,0.)); #34672=DIRECTION('ref_axis',(-0.979770702100943,-0.200123390198709,0.)); #34673=DIRECTION('',(0.,0.,-1.)); #34674=DIRECTION('center_axis',(-0.454777246918104,0.890605218761708,0.)); #34675=DIRECTION('ref_axis',(-0.890605218761708,-0.454777246918104,0.)); #34676=DIRECTION('',(-1.33226762955E-14,0.,-1.)); #34677=DIRECTION('center_axis',(-0.714510248652605,0.699624974232905,0.)); #34678=DIRECTION('ref_axis',(-0.699624974232905,-0.714510248652605,0.)); #34679=DIRECTION('',(0.,0.,-1.)); #34680=DIRECTION('center_axis',(-0.898138293184089,0.439713095456995,0.)); #34681=DIRECTION('ref_axis',(-0.439713095456995,-0.898138293184089,0.)); #34682=DIRECTION('',(0.,0.,-1.)); #34683=DIRECTION('center_axis',(-1.,0.,0.)); #34684=DIRECTION('ref_axis',(0.,-1.,0.)); #34685=DIRECTION('',(0.,0.,-1.)); #34686=DIRECTION('center_axis',(-0.898138323588736,-0.439713033353818,0.)); #34687=DIRECTION('ref_axis',(0.439713033353818,-0.898138323588736,0.)); #34688=DIRECTION('',(0.,0.,-1.)); #34689=DIRECTION('center_axis',(-0.714510218035262,-0.699625005501663,0.)); #34690=DIRECTION('ref_axis',(0.699625005501663,-0.714510218035262,0.)); #34691=DIRECTION('',(-1.33226762955E-14,0.,-1.)); #34692=DIRECTION('center_axis',(-0.454777199549714,-0.890605242949827,0.)); #34693=DIRECTION('ref_axis',(0.890605242949827,-0.454777199549714,0.)); #34694=DIRECTION('',(0.,0.,-1.)); #34695=DIRECTION('center_axis',(-0.200123373380798,-0.979770705536091,0.)); #34696=DIRECTION('ref_axis',(0.979770705536091,-0.200123373380798,0.)); #34697=DIRECTION('',(0.,0.,-1.)); #34698=DIRECTION('center_axis',(0.,-1.,0.)); #34699=DIRECTION('ref_axis',(1.,0.,0.)); #34700=DIRECTION('',(0.,0.,-1.)); #34701=DIRECTION('center_axis',(-0.322263763377203,-0.946649917770008,0.)); #34702=DIRECTION('ref_axis',(0.946649917770008,-0.322263763377203,0.)); #34703=DIRECTION('',(-2.22044604925E-14,0.,-1.)); #34704=DIRECTION('center_axis',(-0.5627908395612,-0.8265993412204,0.)); #34705=DIRECTION('ref_axis',(0.8265993412204,-0.5627908395612,0.)); #34706=DIRECTION('',(0.,0.,-1.)); #34707=DIRECTION('center_axis',(-0.950645213931149,-0.310279998114283,0.)); #34708=DIRECTION('ref_axis',(0.310279998114283,-0.950645213931149,0.)); #34709=DIRECTION('',(0.,0.,-1.)); #34710=DIRECTION('center_axis',(-1.,0.,0.)); #34711=DIRECTION('ref_axis',(0.,-1.,0.)); #34712=DIRECTION('',(0.,0.,-1.)); #34713=DIRECTION('center_axis',(-0.950645299394982,0.310279736267494,0.)); #34714=DIRECTION('ref_axis',(-0.310279736267494,-0.950645299394982,0.)); #34715=DIRECTION('',(0.,0.,-1.)); #34716=DIRECTION('center_axis',(-0.5627908395612,0.8265993412204,0.)); #34717=DIRECTION('ref_axis',(-0.8265993412204,-0.5627908395612,0.)); #34718=DIRECTION('',(-2.6645352591E-14,0.,-1.)); #34719=DIRECTION('center_axis',(-0.322263763377203,0.946649917770008,0.)); #34720=DIRECTION('ref_axis',(-0.946649917770008,-0.322263763377203,0.)); #34721=DIRECTION('',(0.,0.,-1.)); #34722=DIRECTION('center_axis',(0.,1.,0.)); #34723=DIRECTION('ref_axis',(-1.,0.,0.)); #34724=DIRECTION('',(0.,0.,-1.)); #34725=DIRECTION('center_axis',(0.322263901085704,0.946649870890512,0.)); #34726=DIRECTION('ref_axis',(-0.946649870890512,0.322263901085704,0.)); #34727=DIRECTION('',(0.,0.,-1.)); #34728=DIRECTION('center_axis',(0.714510218035213,0.699625005501713,0.)); #34729=DIRECTION('ref_axis',(-0.699625005501713,0.714510218035213,0.)); #34730=DIRECTION('center_axis',(-0.826480735190805,0.562965002783003,0.)); #34731=DIRECTION('ref_axis',(-0.562965002783003,-0.826480735190805,0.)); #34732=DIRECTION('',(0.,0.,-1.)); #34733=DIRECTION('',(-2.6645352591E-14,0.,-1.)); #34734=DIRECTION('center_axis',(0.,-1.,0.)); #34735=DIRECTION('ref_axis',(1.,0.,0.)); #34736=DIRECTION('',(1.33226762955E-14,0.,-1.)); #34737=DIRECTION('center_axis',(0.830786910039854,-0.556590612664669,0.)); #34738=DIRECTION('ref_axis',(0.556590612664669,0.830786910039854,0.)); #34739=DIRECTION('',(0.,0.,-1.)); #34740=DIRECTION('center_axis',(-0.830786796755615,-0.55659078175671,0.)); #34741=DIRECTION('ref_axis',(0.55659078175671,-0.830786796755615,0.)); #34742=DIRECTION('',(-2.22044604925E-14,0.,-1.)); #34743=DIRECTION('center_axis',(0.,-1.,0.)); #34744=DIRECTION('ref_axis',(1.,0.,0.)); #34745=DIRECTION('',(-1.998401444325E-14,0.,-1.)); #34746=DIRECTION('center_axis',(0.826480641515109,0.562965140306906,0.)); #34747=DIRECTION('ref_axis',(-0.562965140306906,0.826480641515109,0.)); #34748=DIRECTION('',(0.,0.,-1.)); #34749=DIRECTION('center_axis',(1.,0.,0.)); #34750=DIRECTION('ref_axis',(0.,1.,0.)); #34751=DIRECTION('',(0.,0.,-1.)); #34752=DIRECTION('center_axis',(0.,1.,0.)); #34753=DIRECTION('ref_axis',(-1.,0.,0.)); #34754=DIRECTION('',(0.,0.,-1.)); #34755=DIRECTION('center_axis',(-1.,0.,0.)); #34756=DIRECTION('ref_axis',(0.,-1.,0.)); #34757=DIRECTION('center_axis',(0.898136772300246,0.439716201932674,0.)); #34758=DIRECTION('ref_axis',(-0.439716201932674,0.898136772300246,0.)); #34759=DIRECTION('',(0.,0.,-1.)); #34760=DIRECTION('',(0.,0.,-1.)); #34761=DIRECTION('center_axis',(0.,1.,0.)); #34762=DIRECTION('ref_axis',(-1.,0.,0.)); #34763=DIRECTION('',(0.,0.,-1.)); #34764=DIRECTION('center_axis',(-0.95064449385011,-0.310282204311603,0.)); #34765=DIRECTION('ref_axis',(0.310282204311603,-0.95064449385011,0.)); #34766=DIRECTION('',(0.,0.,-1.)); #34767=DIRECTION('center_axis',(-0.806004395831095,-0.591909548749597,0.)); #34768=DIRECTION('ref_axis',(0.591909548749597,-0.806004395831095,0.)); #34769=DIRECTION('',(0.,0.,-1.)); #34770=DIRECTION('center_axis',(-0.608077197585581,-0.793877901050575,0.)); #34771=DIRECTION('ref_axis',(0.793877901050575,-0.608077197585581,0.)); #34772=DIRECTION('',(0.,0.,-1.)); #34773=DIRECTION('center_axis',(-0.200123208492805,-0.979770739215325,0.)); #34774=DIRECTION('ref_axis',(0.979770739215325,-0.200123208492805,0.)); #34775=DIRECTION('',(0.,0.,-1.)); #34776=DIRECTION('center_axis',(0.,-1.,0.)); #34777=DIRECTION('ref_axis',(1.,0.,0.)); #34778=DIRECTION('',(0.,0.,-1.)); #34779=DIRECTION('center_axis',(0.247382774611195,-0.96891783079148,0.)); #34780=DIRECTION('ref_axis',(0.96891783079148,0.247382774611195,0.)); #34781=DIRECTION('',(0.,0.,-1.)); #34782=DIRECTION('center_axis',(0.454777457556308,-0.890605111201716,0.)); #34783=DIRECTION('ref_axis',(0.890605111201716,0.454777457556308,0.)); #34784=DIRECTION('',(1.554312234475E-14,0.,-1.)); #34785=DIRECTION('center_axis',(0.714510218035262,-0.699625005501663,0.)); #34786=DIRECTION('ref_axis',(0.699625005501663,0.714510218035262,0.)); #34787=DIRECTION('',(0.,0.,-1.)); #34788=DIRECTION('center_axis',(0.971321363344384,-0.237770496720696,0.)); #34789=DIRECTION('ref_axis',(0.237770496720696,0.971321363344384,0.)); #34790=DIRECTION('',(0.,0.,-1.)); #34791=DIRECTION('center_axis',(1.,0.,0.)); #34792=DIRECTION('ref_axis',(0.,1.,0.)); #34793=DIRECTION('',(0.,0.,-1.)); #34794=DIRECTION('center_axis',(0.971321363344384,0.237770496720696,0.)); #34795=DIRECTION('ref_axis',(-0.237770496720696,0.971321363344384,0.)); #34796=DIRECTION('',(-2.442490654175E-14,1.42108547152E-13,-1.)); #34797=DIRECTION('center_axis',(0.714510218035262,0.699625005501663,0.)); #34798=DIRECTION('ref_axis',(-0.699625005501663,0.714510218035262,0.)); #34799=DIRECTION('',(0.,0.,-1.)); #34800=DIRECTION('center_axis',(0.454777457556387,0.890605111201675,0.)); #34801=DIRECTION('ref_axis',(-0.890605111201675,0.454777457556387,0.)); #34802=DIRECTION('',(0.,0.,-1.)); #34803=DIRECTION('center_axis',(0.247382774611101,0.968917830791504,0.)); #34804=DIRECTION('ref_axis',(-0.968917830791504,0.247382774611101,0.)); #34805=DIRECTION('',(0.,0.,-1.)); #34806=DIRECTION('center_axis',(0.,1.,0.)); #34807=DIRECTION('ref_axis',(-1.,0.,0.)); #34808=DIRECTION('',(0.,0.,-1.)); #34809=DIRECTION('center_axis',(0.247383439065306,0.968917661143723,0.)); #34810=DIRECTION('ref_axis',(-0.968917661143723,0.247383439065306,0.)); #34811=DIRECTION('',(0.,0.,-1.)); #34812=DIRECTION('center_axis',(0.562790931241723,0.826599278799634,0.)); #34813=DIRECTION('ref_axis',(-0.826599278799634,0.562790931241723,0.)); #34814=DIRECTION('',(0.,0.,-1.)); #34815=DIRECTION('center_axis',(0.837378760133999,0.546623098740299,0.)); #34816=DIRECTION('ref_axis',(-0.546623098740299,0.837378760133999,0.)); #34817=DIRECTION('',(0.,0.,-1.)); #34818=DIRECTION('center_axis',(1.,0.,0.)); #34819=DIRECTION('ref_axis',(0.,1.,0.)); #34820=DIRECTION('',(1.387778780781E-14,3.5527136788E-14,-1.)); #34821=DIRECTION('center_axis',(0.837378643311972,-0.546623277701382,0.)); #34822=DIRECTION('ref_axis',(0.546623277701382,0.837378643311972,0.)); #34823=DIRECTION('',(0.,0.,-1.)); #34824=DIRECTION('center_axis',(0.5627910658975,-0.8265991871191,0.)); #34825=DIRECTION('ref_axis',(0.8265991871191,0.5627910658975,0.)); #34826=DIRECTION('',(0.,0.,-1.)); #34827=DIRECTION('center_axis',(0.247383439065306,-0.968917661143723,0.)); #34828=DIRECTION('ref_axis',(0.968917661143723,0.247383439065306,0.)); #34829=DIRECTION('',(0.,0.,-1.)); #34830=DIRECTION('center_axis',(0.,-1.,0.)); #34831=DIRECTION('ref_axis',(1.,0.,0.)); #34832=DIRECTION('',(0.,0.,-1.)); #34833=DIRECTION('center_axis',(-0.247383439065306,-0.968917661143723,0.)); #34834=DIRECTION('ref_axis',(0.968917661143723,-0.247383439065306,0.)); #34835=DIRECTION('',(0.,0.,-1.)); #34836=DIRECTION('center_axis',(-0.714510466448005,-0.699624751803604,0.)); #34837=DIRECTION('ref_axis',(0.699624751803605,-0.714510466448005,0.)); #34838=DIRECTION('',(0.,0.,-1.)); #34839=DIRECTION('center_axis',(-0.95064449385011,-0.310282204311603,0.)); #34840=DIRECTION('ref_axis',(0.310282204311603,-0.95064449385011,0.)); #34841=DIRECTION('',(0.,0.,-1.)); #34842=DIRECTION('center_axis',(0.,-1.,0.)); #34843=DIRECTION('ref_axis',(1.,0.,0.)); #34844=DIRECTION('',(0.,0.,-1.)); #34845=DIRECTION('center_axis',(0.971321363344384,0.237770496720696,0.)); #34846=DIRECTION('ref_axis',(-0.237770496720696,0.971321363344384,0.)); #34847=DIRECTION('',(0.,0.,-1.)); #34848=DIRECTION('center_axis',(0.862211166715485,0.506549014401491,0.)); #34849=DIRECTION('ref_axis',(-0.506549014401491,0.862211166715485,0.)); #34850=DIRECTION('',(0.,0.,-1.)); #34851=DIRECTION('center_axis',(0.522477106747106,0.85265331344291,0.)); #34852=DIRECTION('ref_axis',(-0.85265331344291,0.522477106747106,0.)); #34853=DIRECTION('',(0.,0.,-1.)); #34854=DIRECTION('center_axis',(0.200123225310697,0.979770735780185,0.)); #34855=DIRECTION('ref_axis',(-0.979770735780185,0.200123225310697,0.)); #34856=DIRECTION('',(0.,0.,-1.)); #34857=DIRECTION('center_axis',(0.,1.,0.)); #34858=DIRECTION('ref_axis',(-1.,0.,0.)); #34859=DIRECTION('',(0.,0.,-1.)); #34860=DIRECTION('center_axis',(-0.200123665012696,0.979770645968681,0.)); #34861=DIRECTION('ref_axis',(-0.979770645968681,-0.200123665012696,0.)); #34862=DIRECTION('',(1.221245327088E-14,0.,-1.)); #34863=DIRECTION('center_axis',(-0.454776472899789,0.890605614004778,0.)); #34864=DIRECTION('ref_axis',(-0.890605614004778,-0.454776472899789,0.)); #34865=DIRECTION('',(0.,0.,-1.)); #34866=DIRECTION('center_axis',(-0.714510748952414,0.699624463288314,0.)); #34867=DIRECTION('ref_axis',(-0.699624463288314,-0.714510748952414,0.)); #34868=DIRECTION('',(0.,0.,-1.)); #34869=DIRECTION('center_axis',(-0.898137796358425,0.439714110249412,0.)); #34870=DIRECTION('ref_axis',(-0.439714110249412,-0.898137796358425,0.)); #34871=DIRECTION('',(0.,0.,-1.)); #34872=DIRECTION('center_axis',(-1.,0.,0.)); #34873=DIRECTION('ref_axis',(0.,-1.,0.)); #34874=DIRECTION('',(0.,0.,-1.)); #34875=DIRECTION('center_axis',(-0.898137826763181,-0.439714048146191,0.)); #34876=DIRECTION('ref_axis',(0.439714048146191,-0.898137826763181,0.)); #34877=DIRECTION('',(0.,0.,-1.)); #34878=DIRECTION('center_axis',(-0.714510718335093,-0.699624494557094,0.)); #34879=DIRECTION('ref_axis',(0.699624494557094,-0.714510718335093,0.)); #34880=DIRECTION('',(0.,0.,-1.)); #34881=DIRECTION('center_axis',(-0.454776425531418,-0.890605638192835,0.)); #34882=DIRECTION('ref_axis',(0.890605638192835,-0.454776425531418,0.)); #34883=DIRECTION('',(0.,0.,-1.)); #34884=DIRECTION('center_axis',(-0.20012364819469,-0.979770649403853,0.)); #34885=DIRECTION('ref_axis',(0.979770649403853,-0.20012364819469,0.)); #34886=DIRECTION('',(0.,0.,-1.)); #34887=DIRECTION('center_axis',(0.,-1.,0.)); #34888=DIRECTION('ref_axis',(1.,0.,0.)); #34889=DIRECTION('',(0.,0.,-1.)); #34890=DIRECTION('center_axis',(-0.322263763377203,-0.946649917770008,0.)); #34891=DIRECTION('ref_axis',(0.946649917770008,-0.322263763377203,0.)); #34892=DIRECTION('',(0.,0.,-1.)); #34893=DIRECTION('center_axis',(-0.562790931241723,-0.826599278799634,0.)); #34894=DIRECTION('ref_axis',(0.826599278799634,-0.562790931241723,0.)); #34895=DIRECTION('',(-1.998401444325E-14,0.,-1.)); #34896=DIRECTION('center_axis',(-0.95064449385011,-0.310282204311603,0.)); #34897=DIRECTION('ref_axis',(0.310282204311603,-0.95064449385011,0.)); #34898=DIRECTION('',(0.,0.,-1.)); #34899=DIRECTION('center_axis',(-1.,0.,0.)); #34900=DIRECTION('ref_axis',(0.,-1.,0.)); #34901=DIRECTION('',(0.,0.,-1.)); #34902=DIRECTION('center_axis',(-0.950644579315079,0.310281942463393,0.)); #34903=DIRECTION('ref_axis',(-0.310281942463393,-0.950644579315079,0.)); #34904=DIRECTION('',(0.,0.,-1.)); #34905=DIRECTION('center_axis',(-0.562790931241723,0.826599278799634,0.)); #34906=DIRECTION('ref_axis',(-0.826599278799634,-0.562790931241723,0.)); #34907=DIRECTION('',(0.,0.,-1.)); #34908=DIRECTION('center_axis',(-0.322263763377203,0.946649917770008,0.)); #34909=DIRECTION('ref_axis',(-0.946649917770008,-0.322263763377203,0.)); #34910=DIRECTION('',(0.,0.,-1.)); #34911=DIRECTION('center_axis',(0.,1.,0.)); #34912=DIRECTION('ref_axis',(-1.,0.,0.)); #34913=DIRECTION('',(0.,0.,-1.)); #34914=DIRECTION('center_axis',(0.322263763377203,0.946649917770008,0.)); #34915=DIRECTION('ref_axis',(-0.946649917770008,0.322263763377203,0.)); #34916=DIRECTION('',(0.,0.,-1.)); #34917=DIRECTION('center_axis',(0.714511718935798,0.699623472664698,0.)); #34918=DIRECTION('ref_axis',(-0.699623472664698,0.714511718935798,0.)); #34919=DIRECTION('center_axis',(-1.,0.,0.)); #34920=DIRECTION('ref_axis',(0.,-1.,0.)); #34921=DIRECTION('',(0.,0.,-1.)); #34922=DIRECTION('',(0.,0.,-1.)); #34923=DIRECTION('center_axis',(0.,-1.,0.)); #34924=DIRECTION('ref_axis',(1.,0.,0.)); #34925=DIRECTION('',(0.,0.,-1.)); #34926=DIRECTION('center_axis',(1.,0.,0.)); #34927=DIRECTION('ref_axis',(0.,1.,0.)); #34928=DIRECTION('',(0.,0.,-1.)); #34929=DIRECTION('center_axis',(0.,1.,0.)); #34930=DIRECTION('ref_axis',(-1.,0.,0.)); #34931=DIRECTION('',(0.,0.,-1.)); #34932=DIRECTION('center_axis',(1.,0.,0.)); #34933=DIRECTION('ref_axis',(0.,1.,0.)); #34934=DIRECTION('',(0.,0.,-1.)); #34935=DIRECTION('center_axis',(0.,1.,0.)); #34936=DIRECTION('ref_axis',(-1.,0.,0.)); #34937=DIRECTION('',(0.,0.,-1.)); #34938=DIRECTION('center_axis',(-1.,0.,0.)); #34939=DIRECTION('ref_axis',(0.,-1.,0.)); #34940=DIRECTION('',(0.,0.,-1.)); #34941=DIRECTION('center_axis',(0.,1.,0.)); #34942=DIRECTION('ref_axis',(-1.,0.,0.)); #34943=DIRECTION('center_axis',(-1.,0.,0.)); #34944=DIRECTION('ref_axis',(0.,-1.,0.)); #34945=DIRECTION('',(0.,0.,-1.)); #34946=DIRECTION('',(0.,0.,-1.)); #34947=DIRECTION('center_axis',(0.,-1.,0.)); #34948=DIRECTION('ref_axis',(1.,0.,0.)); #34949=DIRECTION('',(0.,0.,-1.)); #34950=DIRECTION('center_axis',(-1.,0.,0.)); #34951=DIRECTION('ref_axis',(0.,-1.,0.)); #34952=DIRECTION('',(0.,0.,-1.)); #34953=DIRECTION('center_axis',(0.,1.,0.)); #34954=DIRECTION('ref_axis',(-1.,0.,0.)); #34955=DIRECTION('',(0.,0.,-1.)); #34956=DIRECTION('center_axis',(-1.,0.,0.)); #34957=DIRECTION('ref_axis',(0.,-1.,0.)); #34958=DIRECTION('',(0.,0.,-1.)); #34959=DIRECTION('center_axis',(0.,-1.,0.)); #34960=DIRECTION('ref_axis',(1.,0.,0.)); #34961=DIRECTION('',(0.,0.,-1.)); #34962=DIRECTION('center_axis',(-1.,0.,0.)); #34963=DIRECTION('ref_axis',(0.,-1.,0.)); #34964=DIRECTION('',(0.,0.,-1.)); #34965=DIRECTION('center_axis',(0.,1.,0.)); #34966=DIRECTION('ref_axis',(-1.,0.,0.)); #34967=DIRECTION('',(0.,0.,-1.)); #34968=DIRECTION('center_axis',(-1.,0.,0.)); #34969=DIRECTION('ref_axis',(0.,-1.,0.)); #34970=DIRECTION('',(0.,0.,-1.)); #34971=DIRECTION('center_axis',(0.,-1.,0.)); #34972=DIRECTION('ref_axis',(1.,0.,0.)); #34973=DIRECTION('',(0.,0.,-1.)); #34974=DIRECTION('center_axis',(1.,0.,0.)); #34975=DIRECTION('ref_axis',(0.,1.,0.)); #34976=DIRECTION('',(0.,0.,-1.)); #34977=DIRECTION('center_axis',(0.,1.,0.)); #34978=DIRECTION('ref_axis',(-1.,0.,0.)); #34979=DIRECTION('center_axis',(-0.937710421331854,0.347417854644283,0.)); #34980=DIRECTION('ref_axis',(-0.347417854644283,-0.937710421331854,0.)); #34981=DIRECTION('',(0.,0.,-1.)); #34982=DIRECTION('',(0.,0.,-1.)); #34983=DIRECTION('center_axis',(1.,0.,0.)); #34984=DIRECTION('ref_axis',(0.,1.,0.)); #34985=DIRECTION('',(0.,0.,-1.)); #34986=DIRECTION('center_axis',(0.,1.,0.)); #34987=DIRECTION('ref_axis',(-1.,0.,0.)); #34988=DIRECTION('',(0.,0.,-1.)); #34989=DIRECTION('center_axis',(-1.,0.,0.)); #34990=DIRECTION('ref_axis',(0.,-1.,0.)); #34991=DIRECTION('',(0.,0.,-1.)); #34992=DIRECTION('center_axis',(0.,-1.,0.)); #34993=DIRECTION('ref_axis',(1.,0.,0.)); #34994=DIRECTION('',(0.,0.,-1.)); #34995=DIRECTION('center_axis',(0.943945919988429,-0.33010013653011,0.)); #34996=DIRECTION('ref_axis',(0.33010013653011,0.943945919988429,0.)); #34997=DIRECTION('',(0.,3.5527136788E-14,-1.)); #34998=DIRECTION('center_axis',(-0.943945919988429,-0.33010013653011,0.)); #34999=DIRECTION('ref_axis',(0.33010013653011,-0.943945919988429,0.)); #35000=DIRECTION('',(0.,0.,-1.)); #35001=DIRECTION('center_axis',(0.,-1.,0.)); #35002=DIRECTION('ref_axis',(1.,0.,0.)); #35003=DIRECTION('',(0.,0.,-1.)); #35004=DIRECTION('center_axis',(1.,0.,0.)); #35005=DIRECTION('ref_axis',(0.,1.,0.)); #35006=DIRECTION('',(0.,0.,-1.)); #35007=DIRECTION('center_axis',(0.,1.,0.)); #35008=DIRECTION('ref_axis',(-1.,0.,0.)); #35009=DIRECTION('',(0.,0.,-1.)); #35010=DIRECTION('center_axis',(-1.,0.,0.)); #35011=DIRECTION('ref_axis',(0.,-1.,0.)); #35012=DIRECTION('',(0.,0.,-1.)); #35013=DIRECTION('center_axis',(0.937710606367572,0.34741735521669,0.)); #35014=DIRECTION('ref_axis',(-0.34741735521669,0.937710606367572,0.)); #35015=DIRECTION('',(0.,0.,-1.)); #35016=DIRECTION('center_axis',(0.,1.,0.)); #35017=DIRECTION('ref_axis',(-1.,0.,0.)); #35018=DIRECTION('center_axis',(-1.,0.,0.)); #35019=DIRECTION('ref_axis',(0.,-1.,0.)); #35020=DIRECTION('',(0.,0.,-1.)); #35021=DIRECTION('',(0.,0.,-1.)); #35022=DIRECTION('center_axis',(-0.950645279392878,-0.310279797550593,0.)); #35023=DIRECTION('ref_axis',(0.310279797550593,-0.950645279392878,0.)); #35024=DIRECTION('',(0.,0.,-1.)); #35025=DIRECTION('center_axis',(-0.806004395831095,-0.591909548749597,0.)); #35026=DIRECTION('ref_axis',(0.591909548749597,-0.806004395831095,0.)); #35027=DIRECTION('',(0.,-7.105427357601E-14,-1.)); #35028=DIRECTION('center_axis',(-0.608076923473769,-0.793878111008659,0.)); #35029=DIRECTION('ref_axis',(0.793878111008659,-0.608076923473769,0.)); #35030=DIRECTION('',(-1.110223024625E-14,0.,-1.)); #35031=DIRECTION('center_axis',(-0.200123318418006,-0.979770716762532,0.)); #35032=DIRECTION('ref_axis',(0.979770716762532,-0.200123318418006,0.)); #35033=DIRECTION('',(0.,0.,-1.)); #35034=DIRECTION('center_axis',(0.,-1.,0.)); #35035=DIRECTION('ref_axis',(1.,0.,0.)); #35036=DIRECTION('',(0.,0.,-1.)); #35037=DIRECTION('center_axis',(1.,0.,0.)); #35038=DIRECTION('ref_axis',(0.,1.,0.)); #35039=DIRECTION('',(0.,0.,-1.)); #35040=DIRECTION('center_axis',(0.,1.,0.)); #35041=DIRECTION('ref_axis',(-1.,0.,0.)); #35042=DIRECTION('',(0.,0.,-1.)); #35043=DIRECTION('center_axis',(-1.,0.,0.)); #35044=DIRECTION('ref_axis',(0.,-1.,0.)); #35045=DIRECTION('',(0.,0.,-1.)); #35046=DIRECTION('center_axis',(0.,1.,0.)); #35047=DIRECTION('ref_axis',(-1.,0.,0.)); #35048=DIRECTION('',(0.,0.,-1.)); #35049=DIRECTION('center_axis',(-0.200123318418006,0.979770716762532,0.)); #35050=DIRECTION('ref_axis',(-0.979770716762532,-0.200123318418006,0.)); #35051=DIRECTION('',(0.,0.,-1.)); #35052=DIRECTION('center_axis',(-0.608076923473769,0.793878111008659,0.)); #35053=DIRECTION('ref_axis',(-0.793878111008659,-0.608076923473769,0.)); #35054=DIRECTION('',(0.,0.,-1.)); #35055=DIRECTION('center_axis',(-0.806004395831095,0.591909548749597,0.)); #35056=DIRECTION('ref_axis',(-0.591909548749597,-0.806004395831095,0.)); #35057=DIRECTION('',(0.,0.,-1.)); #35058=DIRECTION('center_axis',(-0.950645279392878,0.310279797550593,0.)); #35059=DIRECTION('ref_axis',(-0.310279797550593,-0.950645279392878,0.)); #35060=DIRECTION('center_axis',(0.322263763377203,-0.946649917770008,0.)); #35061=DIRECTION('ref_axis',(0.946649917770008,0.322263763377203,0.)); #35062=DIRECTION('',(0.,0.,-1.)); #35063=DIRECTION('',(0.,0.,-1.)); #35064=DIRECTION('center_axis',(0.,-1.,0.)); #35065=DIRECTION('ref_axis',(1.,0.,0.)); #35066=DIRECTION('',(0.,0.,-1.)); #35067=DIRECTION('center_axis',(-1.,0.,0.)); #35068=DIRECTION('ref_axis',(0.,-1.,0.)); #35069=DIRECTION('',(0.,0.,-1.)); #35070=DIRECTION('center_axis',(0.,1.,0.)); #35071=DIRECTION('ref_axis',(-1.,0.,0.)); #35072=DIRECTION('',(0.,0.,-1.)); #35073=DIRECTION('center_axis',(0.322263763377203,0.946649917770008,0.)); #35074=DIRECTION('ref_axis',(-0.946649917770008,0.322263763377203,0.)); #35075=DIRECTION('',(0.,0.,-1.)); #35076=DIRECTION('center_axis',(0.714510218035262,0.699625005501663,0.)); #35077=DIRECTION('ref_axis',(-0.699625005501663,0.714510218035262,0.)); #35078=DIRECTION('',(0.,0.,-1.)); #35079=DIRECTION('center_axis',(0.950645279392878,0.310279797550593,0.)); #35080=DIRECTION('ref_axis',(-0.310279797550593,0.950645279392878,0.)); #35081=DIRECTION('',(0.,0.,-1.)); #35082=DIRECTION('center_axis',(1.,0.,0.)); #35083=DIRECTION('ref_axis',(0.,1.,0.)); #35084=DIRECTION('',(0.,0.,-1.)); #35085=DIRECTION('center_axis',(0.950645279392878,-0.310279797550593,0.)); #35086=DIRECTION('ref_axis',(0.310279797550593,0.950645279392878,0.)); #35087=DIRECTION('',(0.,0.,-1.)); #35088=DIRECTION('center_axis',(0.714510218035262,-0.699625005501663,0.)); #35089=DIRECTION('ref_axis',(0.699625005501663,0.714510218035262,0.)); #35090=DIRECTION('center_axis',(0.,-1.,0.)); #35091=DIRECTION('ref_axis',(1.,0.,0.)); #35092=DIRECTION('',(0.,0.,-1.)); #35093=DIRECTION('',(0.,0.,-1.)); #35094=DIRECTION('center_axis',(1.,0.,0.)); #35095=DIRECTION('ref_axis',(0.,1.,0.)); #35096=DIRECTION('',(0.,0.,-1.)); #35097=DIRECTION('center_axis',(0.,1.,0.)); #35098=DIRECTION('ref_axis',(-1.,0.,0.)); #35099=DIRECTION('',(0.,0.,-1.)); #35100=DIRECTION('center_axis',(-1.,0.,0.)); #35101=DIRECTION('ref_axis',(0.,-1.,0.)); #35102=DIRECTION('',(0.,0.,-1.)); #35103=DIRECTION('center_axis',(0.,-1.,0.)); #35104=DIRECTION('ref_axis',(1.,0.,0.)); #35105=DIRECTION('',(0.,0.,-1.)); #35106=DIRECTION('center_axis',(-1.,0.,0.)); #35107=DIRECTION('ref_axis',(0.,-1.,0.)); #35108=DIRECTION('center_axis',(-0.948683320668891,-0.316227698161697,0.)); #35109=DIRECTION('ref_axis',(0.316227698161697,-0.948683320668891,0.)); #35110=DIRECTION('',(0.,0.,-1.)); #35111=DIRECTION('',(0.,0.,-1.)); #35112=DIRECTION('center_axis',(0.,-1.,0.)); #35113=DIRECTION('ref_axis',(1.,0.,0.)); #35114=DIRECTION('',(0.,0.,-1.)); #35115=DIRECTION('center_axis',(0.948683286741323,-0.316227799944408,0.)); #35116=DIRECTION('ref_axis',(0.316227799944408,0.948683286741323,0.)); #35117=DIRECTION('',(0.,0.,-1.)); #35118=DIRECTION('center_axis',(0.,1.,0.)); #35119=DIRECTION('ref_axis',(-1.,0.,0.)); #35120=DIRECTION('',(0.,0.,-1.)); #35121=DIRECTION('center_axis',(-0.950645159152405,0.310280165947002,0.)); #35122=DIRECTION('ref_axis',(-0.310280165947002,-0.950645159152405,0.)); #35123=DIRECTION('',(0.,0.,-1.)); #35124=DIRECTION('center_axis',(0.,1.,0.)); #35125=DIRECTION('ref_axis',(-1.,0.,0.)); #35126=DIRECTION('',(0.,3.5527136788E-14,-1.)); #35127=DIRECTION('center_axis',(0.950645290075832,0.310279764819811,0.)); #35128=DIRECTION('ref_axis',(-0.310279764819811,0.950645290075832,0.)); #35129=DIRECTION('',(0.,0.,-1.)); #35130=DIRECTION('center_axis',(0.,1.,0.)); #35131=DIRECTION('ref_axis',(-1.,0.,0.)); #35132=DIRECTION('center_axis',(-0.950645206354583,0.310280021327594,0.)); #35133=DIRECTION('ref_axis',(-0.310280021327594,-0.950645206354583,0.)); #35134=DIRECTION('',(0.,0.,-1.)); #35135=DIRECTION('',(0.,0.,-1.)); #35136=DIRECTION('center_axis',(0.950645206354583,0.310280021327594,0.)); #35137=DIRECTION('ref_axis',(-0.310280021327594,0.950645206354583,0.)); #35138=DIRECTION('',(0.,0.,-1.)); #35139=DIRECTION('center_axis',(0.,-1.,0.)); #35140=DIRECTION('ref_axis',(1.,0.,0.)); #35141=DIRECTION('center_axis',(0.89813875960462,0.43971214276601,0.)); #35142=DIRECTION('ref_axis',(-0.43971214276601,0.89813875960462,0.)); #35143=DIRECTION('',(0.,0.,-1.)); #35144=DIRECTION('',(0.,0.,-1.)); #35145=DIRECTION('center_axis',(0.,1.,0.)); #35146=DIRECTION('ref_axis',(-1.,0.,0.)); #35147=DIRECTION('',(0.,0.,-1.)); #35148=DIRECTION('center_axis',(-0.950645541239401,-0.3102789952956,0.)); #35149=DIRECTION('ref_axis',(0.3102789952956,-0.950645541239401,0.)); #35150=DIRECTION('',(0.,0.,-1.)); #35151=DIRECTION('center_axis',(-0.806004395831095,-0.591909548749597,0.)); #35152=DIRECTION('ref_axis',(0.591909548749597,-0.806004395831095,0.)); #35153=DIRECTION('',(0.,0.,-1.)); #35154=DIRECTION('center_axis',(-0.608076101139396,-0.793878740881195,0.)); #35155=DIRECTION('ref_axis',(0.793878740881195,-0.608076101139396,0.)); #35156=DIRECTION('',(0.,0.,-1.)); #35157=DIRECTION('center_axis',(-0.200123648194594,-0.979770649403873,0.)); #35158=DIRECTION('ref_axis',(0.979770649403873,-0.200123648194594,0.)); #35159=DIRECTION('',(0.,0.,-1.)); #35160=DIRECTION('center_axis',(0.,-1.,0.)); #35161=DIRECTION('ref_axis',(1.,0.,0.)); #35162=DIRECTION('',(0.,0.,-1.)); #35163=DIRECTION('center_axis',(0.247383439065306,-0.968917661143723,0.)); #35164=DIRECTION('ref_axis',(0.968917661143723,0.247383439065306,0.)); #35165=DIRECTION('',(0.,0.,-1.)); #35166=DIRECTION('center_axis',(0.454776425531418,-0.890605638192835,0.)); #35167=DIRECTION('ref_axis',(0.890605638192835,0.454776425531418,0.)); #35168=DIRECTION('',(0.,0.,-1.)); #35169=DIRECTION('center_axis',(0.714510718335093,-0.699624494557094,0.)); #35170=DIRECTION('ref_axis',(0.699624494557094,0.714510718335093,0.)); #35171=DIRECTION('',(1.221245327088E-14,3.5527136788E-14,-1.)); #35172=DIRECTION('center_axis',(0.971321363344407,-0.237770496720602,0.)); #35173=DIRECTION('ref_axis',(0.237770496720602,0.971321363344407,0.)); #35174=DIRECTION('',(0.,0.,-1.)); #35175=DIRECTION('center_axis',(1.,0.,0.)); #35176=DIRECTION('ref_axis',(0.,1.,0.)); #35177=DIRECTION('',(0.,0.,-1.)); #35178=DIRECTION('center_axis',(0.971321363344384,0.237770496720696,0.)); #35179=DIRECTION('ref_axis',(-0.237770496720696,0.971321363344384,0.)); #35180=DIRECTION('',(0.,-7.105427357601E-14,-1.)); #35181=DIRECTION('center_axis',(0.714510718335093,0.699624494557094,0.)); #35182=DIRECTION('ref_axis',(-0.699624494557094,0.714510718335093,0.)); #35183=DIRECTION('',(0.,0.,-1.)); #35184=DIRECTION('center_axis',(0.454776425531418,0.890605638192835,0.)); #35185=DIRECTION('ref_axis',(-0.890605638192835,0.454776425531418,0.)); #35186=DIRECTION('',(-1.443289932013E-14,0.,-1.)); #35187=DIRECTION('center_axis',(0.247383439065306,0.968917661143723,0.)); #35188=DIRECTION('ref_axis',(-0.968917661143723,0.247383439065306,0.)); #35189=DIRECTION('',(0.,0.,-1.)); #35190=DIRECTION('center_axis',(0.,1.,0.)); #35191=DIRECTION('ref_axis',(-1.,0.,0.)); #35192=DIRECTION('',(0.,0.,-1.)); #35193=DIRECTION('center_axis',(0.247383439065306,0.968917661143723,0.)); #35194=DIRECTION('ref_axis',(-0.968917661143723,0.247383439065306,0.)); #35195=DIRECTION('',(0.,0.,-1.)); #35196=DIRECTION('center_axis',(0.562790931241723,0.826599278799634,0.)); #35197=DIRECTION('ref_axis',(-0.826599278799634,0.562790931241723,0.)); #35198=DIRECTION('',(0.,0.,-1.)); #35199=DIRECTION('center_axis',(0.837378760133999,0.546623098740299,0.)); #35200=DIRECTION('ref_axis',(-0.546623098740299,0.837378760133999,0.)); #35201=DIRECTION('',(0.,0.,-1.)); #35202=DIRECTION('center_axis',(1.,0.,0.)); #35203=DIRECTION('ref_axis',(0.,1.,0.)); #35204=DIRECTION('',(0.,3.5527136788E-14,-1.)); #35205=DIRECTION('center_axis',(0.837378643311972,-0.546623277701382,0.)); #35206=DIRECTION('ref_axis',(0.546623277701382,0.837378643311972,0.)); #35207=DIRECTION('',(0.,0.,-1.)); #35208=DIRECTION('center_axis',(0.5627910658975,-0.8265991871191,0.)); #35209=DIRECTION('ref_axis',(0.8265991871191,0.5627910658975,0.)); #35210=DIRECTION('',(0.,0.,-1.)); #35211=DIRECTION('center_axis',(0.247383439065306,-0.968917661143723,0.)); #35212=DIRECTION('ref_axis',(0.968917661143723,0.247383439065306,0.)); #35213=DIRECTION('',(0.,0.,-1.)); #35214=DIRECTION('center_axis',(0.,-1.,0.)); #35215=DIRECTION('ref_axis',(1.,0.,0.)); #35216=DIRECTION('',(0.,0.,-1.)); #35217=DIRECTION('center_axis',(-0.247383439065306,-0.968917661143723,0.)); #35218=DIRECTION('ref_axis',(0.968917661143723,-0.247383439065306,0.)); #35219=DIRECTION('',(3.441691376338E-14,0.,-1.)); #35220=DIRECTION('center_axis',(-0.714510466448005,-0.699624751803604,0.)); #35221=DIRECTION('ref_axis',(0.699624751803605,-0.714510466448005,0.)); #35222=DIRECTION('',(0.,0.,-1.)); #35223=DIRECTION('center_axis',(-0.950645541239401,-0.3102789952956,0.)); #35224=DIRECTION('ref_axis',(0.3102789952956,-0.950645541239401,0.)); #35225=DIRECTION('',(0.,0.,-1.)); #35226=DIRECTION('center_axis',(0.,-1.,0.)); #35227=DIRECTION('ref_axis',(1.,0.,0.)); #35228=DIRECTION('',(0.,0.,-1.)); #35229=DIRECTION('center_axis',(0.971321363344384,0.237770496720696,0.)); #35230=DIRECTION('ref_axis',(-0.237770496720696,0.971321363344384,0.)); #35231=DIRECTION('',(0.,0.,-1.)); #35232=DIRECTION('center_axis',(0.862211166715485,0.506549014401491,0.)); #35233=DIRECTION('ref_axis',(-0.506549014401491,0.862211166715485,0.)); #35234=DIRECTION('',(0.,0.,-1.)); #35235=DIRECTION('center_axis',(0.522476672043275,0.85265357981456,0.)); #35236=DIRECTION('ref_axis',(-0.85265357981456,0.522476672043275,0.)); #35237=DIRECTION('',(0.,0.,-1.)); #35238=DIRECTION('center_axis',(0.200123665012696,0.979770645968681,0.)); #35239=DIRECTION('ref_axis',(-0.979770645968681,0.200123665012696,0.)); #35240=DIRECTION('',(0.,0.,-1.)); #35241=DIRECTION('center_axis',(0.,1.,0.)); #35242=DIRECTION('ref_axis',(-1.,0.,0.)); #35243=DIRECTION('',(0.,0.,-1.)); #35244=DIRECTION('center_axis',(-0.200123225310697,0.979770735780185,0.)); #35245=DIRECTION('ref_axis',(-0.979770735780185,-0.200123225310697,0.)); #35246=DIRECTION('',(-1.554312234475E-14,0.,-1.)); #35247=DIRECTION('center_axis',(-0.454777504924771,0.890605087013543,0.)); #35248=DIRECTION('ref_axis',(-0.890605087013543,-0.454777504924771,0.)); #35249=DIRECTION('',(0.,0.,-1.)); #35250=DIRECTION('center_axis',(-0.714510748952414,0.699624463288314,0.)); #35251=DIRECTION('ref_axis',(-0.699624463288314,-0.714510748952414,0.)); #35252=DIRECTION('',(0.,0.,-1.)); #35253=DIRECTION('center_axis',(-0.898137796358425,0.439714110249412,0.)); #35254=DIRECTION('ref_axis',(-0.439714110249412,-0.898137796358425,0.)); #35255=DIRECTION('',(0.,0.,-1.)); #35256=DIRECTION('center_axis',(-1.,0.,0.)); #35257=DIRECTION('ref_axis',(0.,-1.,0.)); #35258=DIRECTION('',(0.,0.,-1.)); #35259=DIRECTION('center_axis',(-0.898137826763181,-0.439714048146191,0.)); #35260=DIRECTION('ref_axis',(0.439714048146191,-0.898137826763181,0.)); #35261=DIRECTION('',(0.,0.,-1.)); #35262=DIRECTION('center_axis',(-0.714510718335093,-0.699624494557094,0.)); #35263=DIRECTION('ref_axis',(0.699624494557094,-0.714510718335093,0.)); #35264=DIRECTION('',(0.,0.,-1.)); #35265=DIRECTION('center_axis',(-0.454777457556308,-0.890605111201716,0.)); #35266=DIRECTION('ref_axis',(0.890605111201716,-0.454777457556308,0.)); #35267=DIRECTION('',(0.,0.,-1.)); #35268=DIRECTION('center_axis',(-0.200123208492805,-0.979770739215325,0.)); #35269=DIRECTION('ref_axis',(0.979770739215325,-0.200123208492805,0.)); #35270=DIRECTION('',(0.,0.,-1.)); #35271=DIRECTION('center_axis',(0.,-1.,0.)); #35272=DIRECTION('ref_axis',(1.,0.,0.)); #35273=DIRECTION('',(0.,0.,-1.)); #35274=DIRECTION('center_axis',(-0.322263763377203,-0.946649917770008,0.)); #35275=DIRECTION('ref_axis',(0.946649917770008,-0.322263763377203,0.)); #35276=DIRECTION('',(0.,0.,-1.)); #35277=DIRECTION('center_axis',(-0.562790931241791,-0.826599278799587,0.)); #35278=DIRECTION('ref_axis',(0.826599278799587,-0.562790931241791,0.)); #35279=DIRECTION('',(1.33226762955E-14,0.,-1.)); #35280=DIRECTION('center_axis',(-0.950645541239401,-0.3102789952956,0.)); #35281=DIRECTION('ref_axis',(0.3102789952956,-0.950645541239401,0.)); #35282=DIRECTION('',(0.,0.,-1.)); #35283=DIRECTION('center_axis',(-1.,0.,0.)); #35284=DIRECTION('ref_axis',(0.,-1.,0.)); #35285=DIRECTION('',(0.,0.,-1.)); #35286=DIRECTION('center_axis',(-0.950645626702673,0.310278733449591,0.)); #35287=DIRECTION('ref_axis',(-0.310278733449591,-0.950645626702673,0.)); #35288=DIRECTION('',(1.665334536938E-14,0.,-1.)); #35289=DIRECTION('center_axis',(-0.562790931241791,0.826599278799587,0.)); #35290=DIRECTION('ref_axis',(-0.826599278799587,-0.562790931241791,0.)); #35291=DIRECTION('',(1.7763568394E-14,3.5527136788E-14,-1.)); #35292=DIRECTION('center_axis',(-0.322263763377203,0.946649917770008,0.)); #35293=DIRECTION('ref_axis',(-0.946649917770008,-0.322263763377203,0.)); #35294=DIRECTION('',(0.,0.,-1.)); #35295=DIRECTION('center_axis',(0.,1.,0.)); #35296=DIRECTION('ref_axis',(-1.,0.,0.)); #35297=DIRECTION('',(0.,0.,-1.)); #35298=DIRECTION('center_axis',(0.322263763377203,0.946649917770008,0.)); #35299=DIRECTION('ref_axis',(-0.946649917770008,0.322263763377203,0.)); #35300=DIRECTION('',(-1.110223024625E-14,0.,-1.)); #35301=DIRECTION('center_axis',(0.714509717735762,0.699625516445163,0.)); #35302=DIRECTION('ref_axis',(-0.699625516445163,0.714509717735762,0.)); #35303=DIRECTION('center_axis',(-1.,0.,0.)); #35304=DIRECTION('ref_axis',(0.,-1.,0.)); #35305=DIRECTION('',(0.,0.,-1.)); #35306=DIRECTION('',(0.,0.,-1.)); #35307=DIRECTION('center_axis',(0.,-1.,0.)); #35308=DIRECTION('ref_axis',(1.,0.,0.)); #35309=DIRECTION('',(0.,0.,-1.)); #35310=DIRECTION('center_axis',(1.,0.,0.)); #35311=DIRECTION('ref_axis',(0.,1.,0.)); #35312=DIRECTION('',(0.,0.,-1.)); #35313=DIRECTION('center_axis',(0.,1.,0.)); #35314=DIRECTION('ref_axis',(-1.,0.,0.)); #35315=DIRECTION('',(0.,0.,-1.)); #35316=DIRECTION('center_axis',(1.,0.,0.)); #35317=DIRECTION('ref_axis',(0.,1.,0.)); #35318=DIRECTION('',(0.,0.,-1.)); #35319=DIRECTION('center_axis',(0.,1.,0.)); #35320=DIRECTION('ref_axis',(-1.,0.,0.)); #35321=DIRECTION('',(0.,0.,-1.)); #35322=DIRECTION('center_axis',(-1.,0.,0.)); #35323=DIRECTION('ref_axis',(0.,-1.,0.)); #35324=DIRECTION('',(0.,0.,-1.)); #35325=DIRECTION('center_axis',(0.,1.,0.)); #35326=DIRECTION('ref_axis',(-1.,0.,0.)); #35327=DIRECTION('center_axis',(0.,0.,1.)); #35328=DIRECTION('ref_axis',(-0.999968636263497,0.00792000563899798,0.)); #35329=DIRECTION('center_axis',(0.,0.,1.)); #35330=DIRECTION('ref_axis',(-1.,0.,0.)); #35331=DIRECTION('center_axis',(0.,0.,1.)); #35332=DIRECTION('ref_axis',(-1.,0.,0.)); #35333=DIRECTION('center_axis',(-0.00792000563899785,-0.999968636263497, 0.)); #35334=DIRECTION('ref_axis',(0.999968636263497,-0.00792000563899785,0.)); #35335=DIRECTION('center_axis',(0.,0.,1.)); #35336=DIRECTION('ref_axis',(1.,0.,0.)); #35337=DIRECTION('center_axis',(0.,0.,-1.)); #35338=DIRECTION('ref_axis',(-1.,0.,0.)); #35339=DIRECTION('center_axis',(0.,0.,-1.)); #35340=DIRECTION('ref_axis',(-1.,0.,0.)); #35341=DIRECTION('',(0.,0.,-1.)); #35342=DIRECTION('center_axis',(0.,0.,-1.)); #35343=DIRECTION('ref_axis',(-1.,0.,0.)); #35344=DIRECTION('center_axis',(0.,0.,-1.)); #35345=DIRECTION('ref_axis',(-1.,0.,0.)); #35346=DIRECTION('center_axis',(0.,0.,1.)); #35347=DIRECTION('ref_axis',(1.,0.,0.)); #35348=DIRECTION('center_axis',(0.,0.,1.)); #35349=DIRECTION('ref_axis',(1.,0.,0.)); #35350=DIRECTION('',(0.,0.,-1.)); #35351=DIRECTION('center_axis',(0.,0.,1.)); #35352=DIRECTION('ref_axis',(1.,0.,0.)); #35353=DIRECTION('center_axis',(0.,0.,1.)); #35354=DIRECTION('ref_axis',(-0.999936708996094,-0.0112506889593899,0.)); #35355=DIRECTION('center_axis',(-0.0112506889593901,0.999936708996094,0.)); #35356=DIRECTION('ref_axis',(0.999936708996094,0.0112506889593901,0.)); #35357=DIRECTION('center_axis',(0.,0.,-1.)); #35358=DIRECTION('ref_axis',(-1.,0.,0.)); #35359=DIRECTION('center_axis',(0.,0.,1.)); #35360=DIRECTION('ref_axis',(1.,0.,0.)); #35361=DIRECTION('center_axis',(0.,0.,1.)); #35362=DIRECTION('ref_axis',(-0.249997842353505,0.968246393651219,0.)); #35363=DIRECTION('',(0.,1.,0.)); #35364=DIRECTION('',(-1.,0.,0.)); #35365=DIRECTION('',(0.,-1.,0.)); #35366=DIRECTION('center_axis',(0.,0.,-1.)); #35367=DIRECTION('ref_axis',(0.,-1.,0.)); #35368=DIRECTION('center_axis',(1.,0.,0.)); #35369=DIRECTION('ref_axis',(0.,1.,0.)); #35370=DIRECTION('',(0.,1.,0.)); #35371=DIRECTION('',(0.,0.,1.)); #35372=DIRECTION('',(0.,0.,1.)); #35373=DIRECTION('center_axis',(0.,0.,1.)); #35374=DIRECTION('ref_axis',(0.,-1.,0.)); #35375=DIRECTION('center_axis',(0.,0.,-1.)); #35376=DIRECTION('ref_axis',(0.249997281027304,0.968246538583514,0.)); #35377=DIRECTION('center_axis',(0.,0.,1.)); #35378=DIRECTION('ref_axis',(1.,0.,0.)); #35379=DIRECTION('center_axis',(0.,0.,1.)); #35380=DIRECTION('ref_axis',(-1.,0.,0.)); #35381=DIRECTION('',(0.,-1.,0.)); #35382=DIRECTION('',(-1.,0.,0.)); #35383=DIRECTION('center_axis',(0.,1.,0.)); #35384=DIRECTION('ref_axis',(-1.,0.,0.)); #35385=DIRECTION('',(0.,0.,1.)); #35386=DIRECTION('center_axis',(-1.,0.,0.)); #35387=DIRECTION('ref_axis',(0.,-1.,0.)); #35388=DIRECTION('',(0.,0.,1.)); #35389=DIRECTION('center_axis',(0.,0.,1.)); #35390=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,0.)); #35391=DIRECTION('center_axis',(0.,0.,1.)); #35392=DIRECTION('ref_axis',(-0.999968636263497,0.00792000563899798,0.)); #35393=DIRECTION('center_axis',(0.00792000563899786,0.999968636263497,0.)); #35394=DIRECTION('ref_axis',(0.999968636263497,-0.00792000563899786,0.)); #35395=DIRECTION('center_axis',(0.,0.,1.)); #35396=DIRECTION('ref_axis',(0.999936708996094,0.0112506889593899,0.)); #35397=DIRECTION('center_axis',(-0.0112506889593901,0.999936708996094,0.)); #35398=DIRECTION('ref_axis',(-0.999936708996094,-0.0112506889593901,0.)); #35399=DIRECTION('axis',(0.,0.,1.)); #35400=DIRECTION('refdir',(1.,0.,0.)); #35401=DIRECTION('center_axis',(0.,1.,0.)); #35402=DIRECTION('ref_axis',(0.,0.,1.)); #35403=DIRECTION('',(1.,0.,0.)); #35404=DIRECTION('',(0.,0.,1.)); #35405=DIRECTION('',(1.,0.,0.)); #35406=DIRECTION('',(0.,0.,-1.)); #35407=DIRECTION('center_axis',(0.,0.,-1.)); #35408=DIRECTION('ref_axis',(0.,-1.,0.)); #35409=DIRECTION('center_axis',(0.,0.,-1.)); #35410=DIRECTION('ref_axis',(0.,-1.,0.)); #35411=DIRECTION('',(0.,0.,-1.)); #35412=DIRECTION('center_axis',(0.,0.,-1.)); #35413=DIRECTION('ref_axis',(0.,-1.,0.)); #35414=DIRECTION('center_axis',(1.38777878078145E-16,-1.,0.)); #35415=DIRECTION('ref_axis',(0.,0.,-1.)); #35416=DIRECTION('',(-1.,-1.38777878078145E-16,0.)); #35417=DIRECTION('',(0.,0.,-1.)); #35418=DIRECTION('',(-1.,-1.38777878078145E-16,0.)); #35419=DIRECTION('center_axis',(0.,0.,-1.)); #35420=DIRECTION('ref_axis',(0.,1.,0.)); #35421=DIRECTION('center_axis',(0.,0.,-1.)); #35422=DIRECTION('ref_axis',(0.,1.,0.)); #35423=DIRECTION('center_axis',(0.,0.,-1.)); #35424=DIRECTION('ref_axis',(0.,1.,0.)); #35425=DIRECTION('center_axis',(0.,0.,1.)); #35426=DIRECTION('ref_axis',(1.,0.,0.)); #35427=DIRECTION('center_axis',(0.,0.,1.)); #35428=DIRECTION('ref_axis',(1.,0.,0.)); #35429=DIRECTION('axis',(0.,0.,1.)); #35430=DIRECTION('refdir',(1.,0.,0.)); #35431=DIRECTION('center_axis',(0.,0.,1.)); #35432=DIRECTION('ref_axis',(1.,0.,0.)); #35433=DIRECTION('center_axis',(0.,0.,1.)); #35434=DIRECTION('ref_axis',(1.,0.,0.)); #35435=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #35436=DIRECTION('center_axis',(0.,0.,1.)); #35437=DIRECTION('ref_axis',(1.,0.,0.)); #35438=DIRECTION('center_axis',(0.,0.,-1.)); #35439=DIRECTION('ref_axis',(1.,0.,0.)); #35440=DIRECTION('',(0.,0.,-1.)); #35441=DIRECTION('center_axis',(0.,0.,-1.)); #35442=DIRECTION('ref_axis',(-1.,0.,0.)); #35443=DIRECTION('center_axis',(0.,0.,-1.)); #35444=DIRECTION('ref_axis',(-1.,0.,0.)); #35445=DIRECTION('',(0.707106781186542,8.65956056235487E-17,-0.707106781186553)); #35446=DIRECTION('center_axis',(0.,0.,1.)); #35447=DIRECTION('ref_axis',(-1.,0.,0.)); #35448=DIRECTION('center_axis',(0.,0.,-1.)); #35449=DIRECTION('ref_axis',(-1.,0.,0.)); #35450=DIRECTION('center_axis',(0.,0.,1.)); #35451=DIRECTION('ref_axis',(-1.,0.,0.)); #35452=DIRECTION('',(0.,0.,1.)); #35453=DIRECTION('',(0.,0.,-1.)); #35454=DIRECTION('',(0.,0.,1.)); #35455=DIRECTION('center_axis',(0.,0.,-1.)); #35456=DIRECTION('ref_axis',(-1.,0.,0.)); #35457=DIRECTION('center_axis',(0.,0.,-1.)); #35458=DIRECTION('ref_axis',(-1.,0.,0.)); #35459=DIRECTION('center_axis',(0.,0.,-1.)); #35460=DIRECTION('ref_axis',(-1.,0.,0.)); #35461=DIRECTION('',(0.707106781186549,8.65956056235495E-17,-0.707106781186546)); #35462=DIRECTION('center_axis',(0.,0.,1.)); #35463=DIRECTION('ref_axis',(-1.,0.,0.)); #35464=DIRECTION('center_axis',(0.,0.,-1.)); #35465=DIRECTION('ref_axis',(-1.,0.,0.)); #35466=DIRECTION('center_axis',(0.,0.,1.)); #35467=DIRECTION('ref_axis',(-1.,0.,0.)); #35468=DIRECTION('',(0.,0.,1.)); #35469=DIRECTION('center_axis',(0.,0.,-1.)); #35470=DIRECTION('ref_axis',(-1.,0.,0.)); #35471=DIRECTION('center_axis',(0.,0.,1.)); #35472=DIRECTION('ref_axis',(1.,0.,0.)); #35473=DIRECTION('center_axis',(0.,0.,1.)); #35474=DIRECTION('ref_axis',(1.,0.,0.)); #35475=DIRECTION('',(-0.707106781186543,8.65956056235487E-17,0.707106781186552)); #35476=DIRECTION('center_axis',(0.,0.,-1.)); #35477=DIRECTION('ref_axis',(1.,0.,0.)); #35478=DIRECTION('center_axis',(0.,0.,1.)); #35479=DIRECTION('ref_axis',(1.,0.,0.)); #35480=DIRECTION('center_axis',(0.,0.,-1.)); #35481=DIRECTION('ref_axis',(1.,0.,0.)); #35482=DIRECTION('',(0.,0.,-1.)); #35483=DIRECTION('center_axis',(0.,0.,-1.)); #35484=DIRECTION('ref_axis',(-1.,0.,0.)); #35485=DIRECTION('center_axis',(0.,-1.,0.)); #35486=DIRECTION('ref_axis',(0.,0.,-1.)); #35487=DIRECTION('center_axis',(0.,1.,0.)); #35488=DIRECTION('ref_axis',(0.707106781186543,0.,0.707106781186553)); #35489=DIRECTION('',(0.,0.,-1.)); #35490=DIRECTION('center_axis',(0.,1.,0.)); #35491=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #35492=DIRECTION('',(0.,0.,-1.)); #35493=DIRECTION('center_axis',(1.,0.,0.)); #35494=DIRECTION('ref_axis',(0.,0.,-1.)); #35495=DIRECTION('',(0.,-1.,0.)); #35496=DIRECTION('',(0.,1.,0.)); #35497=DIRECTION('center_axis',(0.,-1.,0.)); #35498=DIRECTION('ref_axis',(-0.707106781186543,0.,0.707106781186553)); #35499=DIRECTION('',(0.,1.,0.)); #35500=DIRECTION('center_axis',(-1.,0.,0.)); #35501=DIRECTION('ref_axis',(0.,0.,1.)); #35502=DIRECTION('',(0.,-1.,0.)); #35503=DIRECTION('center_axis',(0.,1.,0.)); #35504=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #35505=DIRECTION('center_axis',(-1.60583871293803E-16,-1.,-7.03055091498116E-29)); #35506=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #35507=DIRECTION('center_axis',(0.,1.,0.)); #35508=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #35509=DIRECTION('',(1.60583871293803E-16,1.,7.03055091498116E-29)); #35510=DIRECTION('',(1.60583871293803E-16,-1.,-7.03055091498116E-29)); #35511=DIRECTION('center_axis',(1.,-1.60583871293803E-16,0.)); #35512=DIRECTION('ref_axis',(0.,0.,-1.)); #35513=DIRECTION('',(0.,0.,1.)); #35514=DIRECTION('',(-1.60583871293803E-16,-1.,-1.24613357534996E-28)); #35515=DIRECTION('',(0.,0.,1.)); #35516=DIRECTION('center_axis',(0.,-1.,0.)); #35517=DIRECTION('ref_axis',(0.,0.,-1.)); #35518=DIRECTION('',(0.,0.,1.)); #35519=DIRECTION('center_axis',(0.,1.,0.)); #35520=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #35521=DIRECTION('center_axis',(-1.60583871293803E-16,-1.,-1.24613357534996E-28)); #35522=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #35523=DIRECTION('',(-1.60583871293803E-16,1.,1.24613357534996E-28)); #35524=DIRECTION('center_axis',(-1.,-1.60583871293803E-16,0.)); #35525=DIRECTION('ref_axis',(0.,0.,1.)); #35526=DIRECTION('',(0.,0.,-1.)); #35527=DIRECTION('center_axis',(0.,0.,-1.)); #35528=DIRECTION('ref_axis',(1.,0.,0.)); #35529=DIRECTION('center_axis',(0.,0.,1.)); #35530=DIRECTION('ref_axis',(1.,0.,0.)); #35531=DIRECTION('',(0.,0.,1.)); #35532=DIRECTION('center_axis',(0.,0.,-1.)); #35533=DIRECTION('ref_axis',(1.,0.,0.)); #35534=DIRECTION('center_axis',(0.,0.,1.)); #35535=DIRECTION('ref_axis',(1.,0.,0.)); #35536=DIRECTION('center_axis',(0.,0.,-1.)); #35537=DIRECTION('ref_axis',(-1.,0.,0.)); #35538=DIRECTION('center_axis',(0.,0.,1.)); #35539=DIRECTION('ref_axis',(1.,0.,0.)); #35540=DIRECTION('center_axis',(0.,0.,1.)); #35541=DIRECTION('ref_axis',(-1.,0.,0.)); #35542=DIRECTION('center_axis',(0.,0.,1.)); #35543=DIRECTION('ref_axis',(-1.,0.,0.)); #35544=DIRECTION('center_axis',(0.,0.,-1.)); #35545=DIRECTION('ref_axis',(-1.,0.,0.)); #35546=DIRECTION('',(0.,0.,-1.)); #35547=DIRECTION('center_axis',(0.,0.,1.)); #35548=DIRECTION('ref_axis',(1.,0.,0.)); #35549=DIRECTION('center_axis',(0.,0.,-1.)); #35550=DIRECTION('ref_axis',(1.,0.,0.)); #35551=DIRECTION('',(0.,0.,-1.)); #35552=DIRECTION('center_axis',(0.,0.,1.)); #35553=DIRECTION('ref_axis',(1.,0.,0.)); #35554=DIRECTION('center_axis',(0.,0.,1.)); #35555=DIRECTION('ref_axis',(1.,0.,0.)); #35556=DIRECTION('center_axis',(0.,0.,-1.)); #35557=DIRECTION('ref_axis',(-1.,0.,0.)); #35558=DIRECTION('center_axis',(0.,0.,1.)); #35559=DIRECTION('ref_axis',(1.,0.,0.)); #35560=DIRECTION('center_axis',(0.,0.,1.)); #35561=DIRECTION('ref_axis',(-1.,0.,0.)); #35562=DIRECTION('center_axis',(0.,0.,1.)); #35563=DIRECTION('ref_axis',(-1.,0.,0.)); #35564=DIRECTION('',(0.,0.,-1.)); #35565=DIRECTION('center_axis',(0.,0.,1.)); #35566=DIRECTION('ref_axis',(1.,0.,0.)); #35567=DIRECTION('center_axis',(0.,0.,1.)); #35568=DIRECTION('ref_axis',(-1.,0.,0.)); #35569=DIRECTION('center_axis',(0.,0.,-1.)); #35570=DIRECTION('ref_axis',(-1.,0.,0.)); #35571=DIRECTION('center_axis',(0.,0.,1.)); #35572=DIRECTION('ref_axis',(-1.,0.,0.)); #35573=DIRECTION('',(0.,0.,-1.)); #35574=DIRECTION('axis',(0.,0.,1.)); #35575=DIRECTION('refdir',(1.,0.,0.)); #35576=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35577=DIRECTION('ref_axis',(0.,0.,-1.)); #35578=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35579=DIRECTION('ref_axis',(9.44228612973191E-18,-1.,1.22460635382238E-16)); #35580=DIRECTION('center_axis',(9.44228612973191E-18,-1.,-1.22464679914735E-16)); #35581=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #35582=DIRECTION('center_axis',(-1.,-9.44228612973191E-18,0.)); #35583=DIRECTION('ref_axis',(6.93889390390723E-18,-1.,1.22460635382238E-16)); #35584=DIRECTION('center_axis',(-1.,-9.44228612973191E-18,0.)); #35585=DIRECTION('ref_axis',(6.93889390390723E-18,-1.,1.22460635382238E-16)); #35586=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35587=DIRECTION('ref_axis',(0.,1.,0.)); #35588=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35589=DIRECTION('ref_axis',(0.,-1.,0.)); #35590=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35591=DIRECTION('ref_axis',(0.,-1.,0.)); #35592=DIRECTION('',(-1.,-9.44228612973191E-18,0.)); #35593=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35594=DIRECTION('ref_axis',(0.,0.,-1.)); #35595=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35596=DIRECTION('ref_axis',(0.,1.,0.)); #35597=DIRECTION('center_axis',(-1.,-9.44228612973191E-18,0.)); #35598=DIRECTION('ref_axis',(4.20732074214337E-18,-1.,0.)); #35599=DIRECTION('center_axis',(-1.,-9.44228612973191E-18,0.)); #35600=DIRECTION('ref_axis',(9.44228612973191E-18,-1.,0.)); #35601=DIRECTION('',(-0.707106781186549,0.707106781186546,8.65956056235492E-17)); #35602=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35603=DIRECTION('ref_axis',(0.,0.,-1.)); #35604=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35605=DIRECTION('ref_axis',(0.,0.,-1.)); #35606=DIRECTION('center_axis',(-1.,-9.44228612973191E-18,0.)); #35607=DIRECTION('ref_axis',(1.63268091856641E-17,-1.,1.22460635382238E-16)); #35608=DIRECTION('center_axis',(-1.,-9.44228612973191E-18,0.)); #35609=DIRECTION('ref_axis',(1.63268091856641E-17,-1.,1.22460635382238E-16)); #35610=DIRECTION('center_axis',(9.44228612973191E-18,-1.,-1.22464679914735E-16)); #35611=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #35612=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35613=DIRECTION('ref_axis',(9.44228612973191E-18,-1.,1.22460635382238E-16)); #35614=DIRECTION('center_axis',(-1.,-9.44228612973191E-18,0.)); #35615=DIRECTION('ref_axis',(0.,0.,1.)); #35616=DIRECTION('',(-9.07858195081578E-33,9.61481343191783E-16,1.)); #35617=DIRECTION('',(8.17725965814928E-18,-0.866025403784438,0.500000000000001)); #35618=DIRECTION('',(8.17725965814929E-18,-0.866025403784439,-0.5)); #35619=DIRECTION('',(1.51309699180263E-33,-1.60246890531964E-16,-1.)); #35620=DIRECTION('',(-8.17725965814928E-18,0.866025403784438,-0.5)); #35621=DIRECTION('',(-8.17725965814929E-18,0.866025403784439,0.5)); #35622=DIRECTION('center_axis',(1.,9.44228612973191E-18,0.)); #35623=DIRECTION('ref_axis',(0.,1.,0.)); #35624=DIRECTION('',(-1.,-9.44228612973191E-18,0.)); #35625=DIRECTION('center_axis',(4.72114306486596E-18,-0.5,-0.866025403784439)); #35626=DIRECTION('ref_axis',(-1.,0.,-5.45150643876619E-18)); #35627=DIRECTION('',(-1.,-9.44228612973191E-18,0.)); #35628=DIRECTION('',(-1.,-9.44228612973191E-18,0.)); #35629=DIRECTION('center_axis',(-4.72114306486596E-18,0.5,-0.866025403784439)); #35630=DIRECTION('ref_axis',(-1.,0.,5.45150643876619E-18)); #35631=DIRECTION('',(-1.,-9.44228612973191E-18,0.)); #35632=DIRECTION('center_axis',(-9.44228612973191E-18,1.,-9.61481343191783E-16)); #35633=DIRECTION('ref_axis',(0.,9.61481343191783E-16,1.)); #35634=DIRECTION('',(-1.,-9.44228612973191E-18,0.)); #35635=DIRECTION('center_axis',(-4.72114306486596E-18,0.500000000000001, 0.866025403784438)); #35636=DIRECTION('ref_axis',(1.,0.,5.4515064387662E-18)); #35637=DIRECTION('',(1.,9.44228612973191E-18,0.)); #35638=DIRECTION('center_axis',(9.44228612973191E-18,-1.,1.60246890531964E-16)); #35639=DIRECTION('ref_axis',(0.,-1.60246890531964E-16,-1.)); #35640=DIRECTION('',(-1.,-9.44228612973191E-18,0.)); #35641=DIRECTION('center_axis',(4.72114306486596E-18,-0.5,0.866025403784439)); #35642=DIRECTION('ref_axis',(1.,0.,-5.45150643876619E-18)); #35643=DIRECTION('center_axis',(-1.,-9.44228612973191E-18,0.)); #35644=DIRECTION('ref_axis',(0.,1.,0.)); #35645=DIRECTION('',(-0.5,-0.866025403784439,-1.06057523872491E-16)); #35646=DIRECTION('axis',(0.,0.,1.)); #35647=DIRECTION('refdir',(1.,0.,0.)); #35648=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35649=DIRECTION('ref_axis',(0.,0.,-1.)); #35650=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35651=DIRECTION('ref_axis',(5.17425586675712E-18,-1.,1.22460635382238E-16)); #35652=DIRECTION('center_axis',(5.17425586675712E-18,-1.,-1.22464679914735E-16)); #35653=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #35654=DIRECTION('center_axis',(-1.,-5.17425586675712E-18,0.)); #35655=DIRECTION('ref_axis',(4.33680868994202E-18,-1.,1.22460635382238E-16)); #35656=DIRECTION('center_axis',(-1.,-5.17425586675712E-18,0.)); #35657=DIRECTION('ref_axis',(4.33680868994202E-18,-1.,1.22460635382238E-16)); #35658=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35659=DIRECTION('ref_axis',(0.,1.,0.)); #35660=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35661=DIRECTION('ref_axis',(0.,-1.,0.)); #35662=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35663=DIRECTION('ref_axis',(0.,-1.,0.)); #35664=DIRECTION('',(-1.,-5.17425586675712E-18,0.)); #35665=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35666=DIRECTION('ref_axis',(0.,0.,-1.)); #35667=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35668=DIRECTION('ref_axis',(0.,1.,0.)); #35669=DIRECTION('center_axis',(-1.,-5.17425586675712E-18,0.)); #35670=DIRECTION('ref_axis',(2.31285852747503E-18,-1.,0.)); #35671=DIRECTION('center_axis',(-1.,-5.17425586675712E-18,0.)); #35672=DIRECTION('ref_axis',(5.17425586675712E-18,-1.,0.)); #35673=DIRECTION('',(-0.707106781186548,0.707106781186547,8.65956056235493E-17)); #35674=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35675=DIRECTION('ref_axis',(0.,0.,-1.)); #35676=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35677=DIRECTION('ref_axis',(0.,0.,-1.)); #35678=DIRECTION('center_axis',(-1.,-5.17425586675712E-18,0.)); #35679=DIRECTION('ref_axis',(0.,-1.,1.22460635382238E-16)); #35680=DIRECTION('center_axis',(-1.,-5.17425586675712E-18,0.)); #35681=DIRECTION('ref_axis',(0.,-1.,1.22460635382238E-16)); #35682=DIRECTION('center_axis',(5.17425586675712E-18,-1.,-1.22464679914735E-16)); #35683=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #35684=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35685=DIRECTION('ref_axis',(5.17425586675712E-18,-1.,1.22460635382238E-16)); #35686=DIRECTION('center_axis',(-1.,-5.17425586675712E-18,0.)); #35687=DIRECTION('ref_axis',(0.,0.,1.)); #35688=DIRECTION('',(-4.97495048078759E-33,9.61481343191782E-16,1.)); #35689=DIRECTION('',(4.48103702629233E-18,-0.866025403784438,0.500000000000001)); #35690=DIRECTION('',(4.48103702629233E-18,-0.866025403784439,-0.5)); #35691=DIRECTION('',(4.97495048078759E-34,-9.61481343191782E-17,-1.)); #35692=DIRECTION('',(-4.48103702629233E-18,0.866025403784439,-0.5)); #35693=DIRECTION('',(-4.48103702629233E-18,0.866025403784439,0.5)); #35694=DIRECTION('center_axis',(1.,5.17425586675712E-18,0.)); #35695=DIRECTION('ref_axis',(0.,1.,0.)); #35696=DIRECTION('',(-1.,-5.17425586675712E-18,0.)); #35697=DIRECTION('center_axis',(2.58712793337856E-18,-0.5,-0.866025403784439)); #35698=DIRECTION('ref_axis',(-1.,0.,-2.98735801752822E-18)); #35699=DIRECTION('',(-1.,-5.17425586675712E-18,0.)); #35700=DIRECTION('',(-1.,-5.17425586675712E-18,0.)); #35701=DIRECTION('center_axis',(-2.58712793337856E-18,0.5,-0.866025403784439)); #35702=DIRECTION('ref_axis',(-1.,0.,2.98735801752822E-18)); #35703=DIRECTION('',(-1.,-5.17425586675712E-18,0.)); #35704=DIRECTION('center_axis',(-5.17425586675712E-18,1.,-9.61481343191782E-16)); #35705=DIRECTION('ref_axis',(0.,9.61481343191782E-16,1.)); #35706=DIRECTION('',(-1.,-5.17425586675712E-18,0.)); #35707=DIRECTION('center_axis',(-2.58712793337856E-18,0.500000000000001, 0.866025403784438)); #35708=DIRECTION('ref_axis',(1.,0.,2.98735801752823E-18)); #35709=DIRECTION('',(1.,5.17425586675712E-18,0.)); #35710=DIRECTION('center_axis',(5.17425586675712E-18,-1.,9.61481343191782E-17)); #35711=DIRECTION('ref_axis',(0.,-9.61481343191782E-17,-1.)); #35712=DIRECTION('',(-1.,-5.17425586675712E-18,0.)); #35713=DIRECTION('center_axis',(2.58712793337856E-18,-0.5,0.866025403784439)); #35714=DIRECTION('ref_axis',(1.,0.,-2.98735801752822E-18)); #35715=DIRECTION('center_axis',(-1.,-5.17425586675712E-18,0.)); #35716=DIRECTION('ref_axis',(0.,1.,0.)); #35717=DIRECTION('',(-0.5,-0.866025403784439,-1.06057523872491E-16)); #35718=DIRECTION('axis',(0.,0.,1.)); #35719=DIRECTION('refdir',(1.,0.,0.)); #35720=DIRECTION('center_axis',(0.,1.,0.)); #35721=DIRECTION('ref_axis',(1.,0.,0.)); #35722=DIRECTION('center_axis',(0.,-1.,0.)); #35723=DIRECTION('ref_axis',(1.,0.,0.)); #35724=DIRECTION('',(0.,-1.,0.)); #35725=DIRECTION('center_axis',(0.,1.,0.)); #35726=DIRECTION('ref_axis',(1.,0.,0.)); #35727=DIRECTION('center_axis',(0.,1.,0.)); #35728=DIRECTION('ref_axis',(1.,0.,0.)); #35729=DIRECTION('center_axis',(0.,-1.,0.)); #35730=DIRECTION('ref_axis',(1.,0.,0.)); #35731=DIRECTION('',(0.,-1.,0.)); #35732=DIRECTION('center_axis',(0.,1.,0.)); #35733=DIRECTION('ref_axis',(1.,0.,0.)); #35734=DIRECTION('center_axis',(0.,0.,1.)); #35735=DIRECTION('ref_axis',(1.,0.,0.)); #35736=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #35737=DIRECTION('',(-1.,0.,0.)); #35738=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #35739=DIRECTION('',(0.,1.,0.)); #35740=DIRECTION('',(-1.,0.,0.)); #35741=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #35742=DIRECTION('',(0.,1.,0.)); #35743=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #35744=DIRECTION('',(1.,0.,0.)); #35745=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #35746=DIRECTION('',(0.,-1.,0.)); #35747=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #35748=DIRECTION('',(-1.,0.,0.)); #35749=DIRECTION('',(0.,-1.,0.)); #35750=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #35751=DIRECTION('ref_axis',(0.,0.,-1.)); #35752=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #35753=DIRECTION('',(0.,0.,-1.)); #35754=DIRECTION('',(0.,0.,-1.)); #35755=DIRECTION('center_axis',(1.,0.,0.)); #35756=DIRECTION('ref_axis',(0.,0.,-1.)); #35757=DIRECTION('',(0.,-1.,0.)); #35758=DIRECTION('',(0.,0.,-1.)); #35759=DIRECTION('center_axis',(0.,1.,0.)); #35760=DIRECTION('ref_axis',(0.,0.,1.)); #35761=DIRECTION('',(1.,0.,0.)); #35762=DIRECTION('',(0.,0.,-1.)); #35763=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #35764=DIRECTION('ref_axis',(0.,0.,-1.)); #35765=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #35766=DIRECTION('',(0.,0.,-1.)); #35767=DIRECTION('center_axis',(1.,0.,0.)); #35768=DIRECTION('ref_axis',(0.,0.,-1.)); #35769=DIRECTION('',(0.,-1.,0.)); #35770=DIRECTION('',(0.,0.,-1.)); #35771=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #35772=DIRECTION('ref_axis',(0.,0.,-1.)); #35773=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #35774=DIRECTION('',(0.,0.,-1.)); #35775=DIRECTION('center_axis',(0.,-1.,0.)); #35776=DIRECTION('ref_axis',(0.,0.,-1.)); #35777=DIRECTION('',(-1.,0.,0.)); #35778=DIRECTION('',(0.,0.,-1.)); #35779=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,0.)); #35780=DIRECTION('ref_axis',(0.,0.,1.)); #35781=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #35782=DIRECTION('',(0.,0.,-1.)); #35783=DIRECTION('center_axis',(-1.,0.,0.)); #35784=DIRECTION('ref_axis',(0.,0.,1.)); #35785=DIRECTION('',(0.,1.,0.)); #35786=DIRECTION('',(0.,0.,-1.)); #35787=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #35788=DIRECTION('ref_axis',(0.,0.,1.)); #35789=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #35790=DIRECTION('',(0.,0.,-1.)); #35791=DIRECTION('center_axis',(0.,1.,0.)); #35792=DIRECTION('ref_axis',(0.,0.,1.)); #35793=DIRECTION('',(1.,0.,0.)); #35794=DIRECTION('',(0.,0.,-1.)); #35795=DIRECTION('center_axis',(-1.,0.,0.)); #35796=DIRECTION('ref_axis',(0.,0.,1.)); #35797=DIRECTION('',(0.,1.,0.)); #35798=DIRECTION('',(0.,0.,-1.)); #35799=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #35800=DIRECTION('ref_axis',(0.,0.,1.)); #35801=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #35802=DIRECTION('',(0.,0.,1.)); #35803=DIRECTION('center_axis',(0.,1.,0.)); #35804=DIRECTION('ref_axis',(0.,0.,1.)); #35805=DIRECTION('',(1.,0.,0.)); #35806=DIRECTION('center_axis',(0.,0.,1.)); #35807=DIRECTION('ref_axis',(1.,0.,0.)); #35808=DIRECTION('axis',(0.,0.,1.)); #35809=DIRECTION('refdir',(1.,0.,0.)); #35810=DIRECTION('center_axis',(0.,0.,1.)); #35811=DIRECTION('ref_axis',(1.,0.,0.)); #35812=DIRECTION('center_axis',(0.,0.,1.)); #35813=DIRECTION('ref_axis',(1.,0.,0.)); #35814=DIRECTION('center_axis',(0.,0.,1.)); #35815=DIRECTION('ref_axis',(1.,0.,0.)); #35816=DIRECTION('center_axis',(0.,0.,1.)); #35817=DIRECTION('ref_axis',(1.,0.,0.)); #35818=DIRECTION('center_axis',(0.,0.,-1.)); #35819=DIRECTION('ref_axis',(1.,0.,0.)); #35820=DIRECTION('',(0.,0.,1.)); #35821=DIRECTION('center_axis',(0.,0.,1.)); #35822=DIRECTION('ref_axis',(1.,0.,0.)); #35823=DIRECTION('',(0.,0.,1.)); #35824=DIRECTION('center_axis',(0.,0.,1.)); #35825=DIRECTION('ref_axis',(1.,0.,0.)); #35826=DIRECTION('center_axis',(0.,0.,1.)); #35827=DIRECTION('ref_axis',(1.,0.,0.)); #35828=DIRECTION('center_axis',(0.,0.,1.)); #35829=DIRECTION('ref_axis',(1.,0.,0.)); #35830=DIRECTION('center_axis',(0.,0.,1.)); #35831=DIRECTION('ref_axis',(1.,0.,0.)); #35832=DIRECTION('center_axis',(0.,0.,1.)); #35833=DIRECTION('ref_axis',(1.,0.,0.)); #35834=DIRECTION('center_axis',(0.,0.,-1.)); #35835=DIRECTION('ref_axis',(1.,0.,0.)); #35836=DIRECTION('',(0.,0.,1.)); #35837=DIRECTION('center_axis',(0.,0.,1.)); #35838=DIRECTION('ref_axis',(1.,0.,0.)); #35839=DIRECTION('',(0.,0.,1.)); #35840=DIRECTION('center_axis',(0.,0.,1.)); #35841=DIRECTION('ref_axis',(1.,0.,0.)); #35842=DIRECTION('center_axis',(0.,0.,1.)); #35843=DIRECTION('ref_axis',(1.,0.,0.)); #35844=DIRECTION('center_axis',(0.,0.,1.)); #35845=DIRECTION('ref_axis',(1.,0.,0.)); #35846=DIRECTION('center_axis',(0.,0.,1.)); #35847=DIRECTION('ref_axis',(1.,0.,0.)); #35848=DIRECTION('center_axis',(0.,0.,1.)); #35849=DIRECTION('ref_axis',(1.,0.,0.)); #35850=DIRECTION('center_axis',(0.,0.,-1.)); #35851=DIRECTION('ref_axis',(1.,0.,0.)); #35852=DIRECTION('',(0.,0.,1.)); #35853=DIRECTION('center_axis',(0.,0.,1.)); #35854=DIRECTION('ref_axis',(1.,0.,0.)); #35855=DIRECTION('',(0.,0.,1.)); #35856=DIRECTION('center_axis',(0.,0.,1.)); #35857=DIRECTION('ref_axis',(1.,0.,0.)); #35858=DIRECTION('center_axis',(0.,0.,1.)); #35859=DIRECTION('ref_axis',(1.,0.,0.)); #35860=DIRECTION('center_axis',(0.,0.,1.)); #35861=DIRECTION('ref_axis',(1.,0.,0.)); #35862=DIRECTION('center_axis',(0.,0.,1.)); #35863=DIRECTION('ref_axis',(1.,0.,0.)); #35864=DIRECTION('center_axis',(0.,0.,1.)); #35865=DIRECTION('ref_axis',(1.,0.,0.)); #35866=DIRECTION('center_axis',(0.,0.,-1.)); #35867=DIRECTION('ref_axis',(1.,0.,0.)); #35868=DIRECTION('',(0.,0.,1.)); #35869=DIRECTION('center_axis',(0.,0.,1.)); #35870=DIRECTION('ref_axis',(1.,0.,0.)); #35871=DIRECTION('',(0.,0.,1.)); #35872=DIRECTION('center_axis',(0.,0.,1.)); #35873=DIRECTION('ref_axis',(1.,0.,0.)); #35874=DIRECTION('center_axis',(9.34924652315921E-17,-1.,0.)); #35875=DIRECTION('ref_axis',(1.,9.34924652315921E-17,0.)); #35876=DIRECTION('',(1.,9.34924652315921E-17,0.)); #35877=DIRECTION('',(0.,0.,1.)); #35878=DIRECTION('',(1.,9.34924652315921E-17,0.)); #35879=DIRECTION('',(0.,0.,1.)); #35880=DIRECTION('center_axis',(0.,0.,-1.)); #35881=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #35882=DIRECTION('center_axis',(0.,0.,-1.)); #35883=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #35884=DIRECTION('center_axis',(0.,0.,-1.)); #35885=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #35886=DIRECTION('',(0.,0.,1.)); #35887=DIRECTION('center_axis',(1.,0.,0.)); #35888=DIRECTION('ref_axis',(0.,1.,0.)); #35889=DIRECTION('',(0.,1.,0.)); #35890=DIRECTION('',(0.,1.,0.)); #35891=DIRECTION('',(0.,0.,-1.)); #35892=DIRECTION('center_axis',(0.,0.,1.)); #35893=DIRECTION('ref_axis',(1.,0.,0.)); #35894=DIRECTION('center_axis',(0.,0.,-1.)); #35895=DIRECTION('ref_axis',(0.,-1.,0.)); #35896=DIRECTION('',(-1.,-4.36780232005208E-16,0.)); #35897=DIRECTION('',(0.,-1.,0.)); #35898=DIRECTION('',(-1.,-5.2245789394125E-16,0.)); #35899=DIRECTION('center_axis',(0.,0.,1.)); #35900=DIRECTION('ref_axis',(0.,-1.,0.)); #35901=DIRECTION('',(-1.,-1.07657990266682E-16,0.)); #35902=DIRECTION('center_axis',(0.,0.,1.)); #35903=DIRECTION('ref_axis',(0.,-1.,0.)); #35904=DIRECTION('center_axis',(-5.2245789394125E-16,1.,0.)); #35905=DIRECTION('ref_axis',(1.,5.2245789394125E-16,0.)); #35906=DIRECTION('',(0.,0.,-1.)); #35907=DIRECTION('',(1.,5.2245789394125E-16,0.)); #35908=DIRECTION('',(0.,0.,-1.)); #35909=DIRECTION('center_axis',(0.,0.,1.)); #35910=DIRECTION('ref_axis',(0.,-1.,0.)); #35911=DIRECTION('center_axis',(0.,0.,-1.)); #35912=DIRECTION('ref_axis',(0.,-1.,0.)); #35913=DIRECTION('',(0.,0.,-1.)); #35914=DIRECTION('center_axis',(-1.07657990266682E-16,1.,0.)); #35915=DIRECTION('ref_axis',(1.,1.07657990266682E-16,0.)); #35916=DIRECTION('',(1.,1.07657990266682E-16,0.)); #35917=DIRECTION('',(0.,0.,-1.)); #35918=DIRECTION('center_axis',(0.,0.,1.)); #35919=DIRECTION('ref_axis',(0.,-1.,0.)); #35920=DIRECTION('center_axis',(0.,0.,-1.)); #35921=DIRECTION('ref_axis',(0.,-1.,0.)); #35922=DIRECTION('',(0.,0.,-1.)); #35923=DIRECTION('center_axis',(0.,0.,1.)); #35924=DIRECTION('ref_axis',(0.,-1.,0.)); #35925=DIRECTION('center_axis',(0.,0.,1.)); #35926=DIRECTION('ref_axis',(0.,-1.,0.)); #35927=DIRECTION('',(0.,0.,-1.)); #35928=DIRECTION('center_axis',(0.0348994967025009,-0.999390827019096,0.)); #35929=DIRECTION('ref_axis',(-0.999390827019096,-0.0348994967025009,0.)); #35930=DIRECTION('',(-0.999390827019096,-0.0348994967025009,0.)); #35931=DIRECTION('',(0.,0.,-1.)); #35932=DIRECTION('',(-0.999390827019096,-0.0348994967025009,0.)); #35933=DIRECTION('',(0.,0.,-1.)); #35934=DIRECTION('center_axis',(1.70653826087485E-16,-1.,0.)); #35935=DIRECTION('ref_axis',(-1.,-1.70653826087485E-16,0.)); #35936=DIRECTION('',(-1.,-1.70653826087485E-16,0.)); #35937=DIRECTION('',(0.,0.,-1.)); #35938=DIRECTION('',(-1.,-1.70653826087485E-16,0.)); #35939=DIRECTION('center_axis',(-1.,0.,0.)); #35940=DIRECTION('ref_axis',(0.,1.,0.)); #35941=DIRECTION('',(0.,1.,0.)); #35942=DIRECTION('',(0.,1.,0.)); #35943=DIRECTION('center_axis',(-4.36780232005208E-16,1.,0.)); #35944=DIRECTION('ref_axis',(1.,4.36780232005208E-16,0.)); #35945=DIRECTION('',(1.,4.36780232005208E-16,0.)); #35946=DIRECTION('',(0.,0.,-1.)); #35947=DIRECTION('',(1.,4.36780232005208E-16,0.)); #35948=DIRECTION('center_axis',(0.,0.,1.)); #35949=DIRECTION('ref_axis',(-0.996194698091746,-0.0871557427476546,0.)); #35950=DIRECTION('center_axis',(0.,0.,1.)); #35951=DIRECTION('ref_axis',(-0.996194698091746,-0.0871557427476546,0.)); #35952=DIRECTION('',(0.,0.,-1.)); #35953=DIRECTION('center_axis',(0.,0.,1.)); #35954=DIRECTION('ref_axis',(-0.996194698091746,-0.0871557427476546,0.)); #35955=DIRECTION('center_axis',(0.996194698091746,0.0871557427476584,0.)); #35956=DIRECTION('ref_axis',(0.0871557427476584,-0.996194698091746,0.)); #35957=DIRECTION('',(0.0871557427476584,-0.996194698091746,0.)); #35958=DIRECTION('',(0.,0.,-1.)); #35959=DIRECTION('',(0.0871557427476584,-0.996194698091746,0.)); #35960=DIRECTION('center_axis',(0.,0.,1.)); #35961=DIRECTION('ref_axis',(-0.899969823247703,0.435952196053074,0.)); #35962=DIRECTION('center_axis',(0.,0.,1.)); #35963=DIRECTION('ref_axis',(-0.899969823247703,0.435952196053074,0.)); #35964=DIRECTION('',(0.,0.,-1.)); #35965=DIRECTION('center_axis',(0.,0.,1.)); #35966=DIRECTION('ref_axis',(-0.899969823247703,0.435952196053074,0.)); #35967=DIRECTION('center_axis',(0.,0.,1.)); #35968=DIRECTION('ref_axis',(0.,1.,0.)); #35969=DIRECTION('center_axis',(0.,0.,1.)); #35970=DIRECTION('ref_axis',(0.,1.,0.)); #35971=DIRECTION('center_axis',(0.,0.,1.)); #35972=DIRECTION('ref_axis',(0.,1.,0.)); #35973=DIRECTION('center_axis',(0.,0.,1.)); #35974=DIRECTION('ref_axis',(1.,0.,0.)); #35975=DIRECTION('center_axis',(0.,0.,1.)); #35976=DIRECTION('ref_axis',(1.,0.,0.)); #35977=DIRECTION('axis',(0.,0.,1.)); #35978=DIRECTION('refdir',(1.,0.,0.)); #35979=DIRECTION('center_axis',(0.,0.,1.)); #35980=DIRECTION('ref_axis',(1.,0.,0.)); #35981=DIRECTION('center_axis',(0.,0.,1.)); #35982=DIRECTION('ref_axis',(1.,0.,0.)); #35983=DIRECTION('center_axis',(0.,0.,1.)); #35984=DIRECTION('ref_axis',(1.,0.,0.)); #35985=DIRECTION('center_axis',(0.,0.,1.)); #35986=DIRECTION('ref_axis',(1.,0.,0.)); #35987=DIRECTION('center_axis',(0.,0.,-1.)); #35988=DIRECTION('ref_axis',(1.,0.,0.)); #35989=DIRECTION('',(0.,0.,-1.)); #35990=DIRECTION('center_axis',(0.,0.,1.)); #35991=DIRECTION('ref_axis',(1.,0.,0.)); #35992=DIRECTION('',(0.,0.,-1.)); #35993=DIRECTION('center_axis',(0.,0.,1.)); #35994=DIRECTION('ref_axis',(1.,0.,0.)); #35995=DIRECTION('center_axis',(0.,0.,1.)); #35996=DIRECTION('ref_axis',(1.,0.,0.)); #35997=DIRECTION('center_axis',(0.,0.,1.)); #35998=DIRECTION('ref_axis',(1.,0.,0.)); #35999=DIRECTION('center_axis',(0.,0.,1.)); #36000=DIRECTION('ref_axis',(1.,0.,0.)); #36001=DIRECTION('center_axis',(0.,0.,1.)); #36002=DIRECTION('ref_axis',(1.,0.,0.)); #36003=DIRECTION('center_axis',(0.,0.,-1.)); #36004=DIRECTION('ref_axis',(1.,0.,0.)); #36005=DIRECTION('',(0.,0.,-1.)); #36006=DIRECTION('center_axis',(0.,0.,1.)); #36007=DIRECTION('ref_axis',(1.,0.,0.)); #36008=DIRECTION('',(0.,0.,-1.)); #36009=DIRECTION('center_axis',(0.,0.,1.)); #36010=DIRECTION('ref_axis',(1.,0.,0.)); #36011=DIRECTION('center_axis',(0.,0.,1.)); #36012=DIRECTION('ref_axis',(1.,0.,0.)); #36013=DIRECTION('center_axis',(0.,0.,1.)); #36014=DIRECTION('ref_axis',(1.,0.,0.)); #36015=DIRECTION('center_axis',(0.,0.,1.)); #36016=DIRECTION('ref_axis',(1.,0.,0.)); #36017=DIRECTION('center_axis',(0.,0.,1.)); #36018=DIRECTION('ref_axis',(1.,0.,0.)); #36019=DIRECTION('center_axis',(0.,0.,-1.)); #36020=DIRECTION('ref_axis',(1.,0.,0.)); #36021=DIRECTION('',(0.,0.,-1.)); #36022=DIRECTION('center_axis',(0.,0.,1.)); #36023=DIRECTION('ref_axis',(1.,0.,0.)); #36024=DIRECTION('',(0.,0.,-1.)); #36025=DIRECTION('center_axis',(0.,0.,1.)); #36026=DIRECTION('ref_axis',(1.,0.,0.)); #36027=DIRECTION('center_axis',(0.,0.,1.)); #36028=DIRECTION('ref_axis',(1.,0.,0.)); #36029=DIRECTION('center_axis',(0.,0.,1.)); #36030=DIRECTION('ref_axis',(1.,0.,0.)); #36031=DIRECTION('center_axis',(0.,0.,1.)); #36032=DIRECTION('ref_axis',(1.,0.,0.)); #36033=DIRECTION('center_axis',(0.,0.,1.)); #36034=DIRECTION('ref_axis',(1.,0.,0.)); #36035=DIRECTION('center_axis',(0.,0.,-1.)); #36036=DIRECTION('ref_axis',(1.,0.,0.)); #36037=DIRECTION('',(0.,0.,-1.)); #36038=DIRECTION('center_axis',(0.,0.,1.)); #36039=DIRECTION('ref_axis',(1.,0.,0.)); #36040=DIRECTION('',(0.,0.,-1.)); #36041=DIRECTION('center_axis',(0.,0.,1.)); #36042=DIRECTION('ref_axis',(1.,0.,0.)); #36043=DIRECTION('center_axis',(-9.34924652315921E-17,1.,0.)); #36044=DIRECTION('ref_axis',(1.,9.34924652315921E-17,0.)); #36045=DIRECTION('',(1.,9.34924652315921E-17,0.)); #36046=DIRECTION('',(0.,0.,-1.)); #36047=DIRECTION('',(1.,9.34924652315921E-17,0.)); #36048=DIRECTION('',(0.,0.,-1.)); #36049=DIRECTION('center_axis',(0.,0.,-1.)); #36050=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #36051=DIRECTION('center_axis',(0.,0.,-1.)); #36052=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #36053=DIRECTION('',(0.,0.,-1.)); #36054=DIRECTION('center_axis',(0.,0.,-1.)); #36055=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #36056=DIRECTION('center_axis',(-1.,0.,0.)); #36057=DIRECTION('ref_axis',(0.,1.,0.)); #36058=DIRECTION('',(0.,1.,0.)); #36059=DIRECTION('',(0.,0.,1.)); #36060=DIRECTION('',(0.,1.,0.)); #36061=DIRECTION('center_axis',(0.,0.,1.)); #36062=DIRECTION('ref_axis',(1.,0.,0.)); #36063=DIRECTION('center_axis',(0.,0.,-1.)); #36064=DIRECTION('ref_axis',(0.,-1.,0.)); #36065=DIRECTION('center_axis',(0.,0.,1.)); #36066=DIRECTION('ref_axis',(0.,-1.,0.)); #36067=DIRECTION('',(-1.,-1.07657990266682E-16,0.)); #36068=DIRECTION('center_axis',(0.,0.,1.)); #36069=DIRECTION('ref_axis',(0.,-1.,0.)); #36070=DIRECTION('',(-1.,-5.2245789394125E-16,0.)); #36071=DIRECTION('',(0.,-1.,0.)); #36072=DIRECTION('',(-1.,-4.36780232005208E-16,0.)); #36073=DIRECTION('center_axis',(5.2245789394125E-16,-1.,0.)); #36074=DIRECTION('ref_axis',(1.,5.2245789394125E-16,0.)); #36075=DIRECTION('',(0.,0.,1.)); #36076=DIRECTION('',(1.,5.2245789394125E-16,0.)); #36077=DIRECTION('',(0.,0.,1.)); #36078=DIRECTION('center_axis',(0.,0.,1.)); #36079=DIRECTION('ref_axis',(0.,-1.,0.)); #36080=DIRECTION('',(0.,0.,1.)); #36081=DIRECTION('center_axis',(0.,0.,-1.)); #36082=DIRECTION('ref_axis',(0.,-1.,0.)); #36083=DIRECTION('center_axis',(1.07657990266682E-16,-1.,0.)); #36084=DIRECTION('ref_axis',(1.,1.07657990266682E-16,0.)); #36085=DIRECTION('',(0.,0.,1.)); #36086=DIRECTION('',(1.,1.07657990266682E-16,0.)); #36087=DIRECTION('center_axis',(0.,0.,1.)); #36088=DIRECTION('ref_axis',(0.,-1.,0.)); #36089=DIRECTION('',(0.,0.,1.)); #36090=DIRECTION('center_axis',(0.,0.,-1.)); #36091=DIRECTION('ref_axis',(0.,-1.,0.)); #36092=DIRECTION('center_axis',(0.,0.,1.)); #36093=DIRECTION('ref_axis',(0.,-1.,0.)); #36094=DIRECTION('',(0.,0.,1.)); #36095=DIRECTION('center_axis',(0.,0.,1.)); #36096=DIRECTION('ref_axis',(0.,-1.,0.)); #36097=DIRECTION('center_axis',(-0.0348994967025009,0.999390827019096,0.)); #36098=DIRECTION('ref_axis',(-0.999390827019096,-0.0348994967025009,0.)); #36099=DIRECTION('',(-0.999390827019096,-0.0348994967025009,0.)); #36100=DIRECTION('',(0.,0.,1.)); #36101=DIRECTION('',(-0.999390827019096,-0.0348994967025009,0.)); #36102=DIRECTION('',(0.,0.,1.)); #36103=DIRECTION('center_axis',(-1.70653826087485E-16,1.,0.)); #36104=DIRECTION('ref_axis',(-1.,-1.70653826087485E-16,0.)); #36105=DIRECTION('',(-1.,-1.70653826087485E-16,0.)); #36106=DIRECTION('',(-1.,-1.70653826087485E-16,0.)); #36107=DIRECTION('',(0.,0.,1.)); #36108=DIRECTION('center_axis',(1.,0.,0.)); #36109=DIRECTION('ref_axis',(0.,1.,0.)); #36110=DIRECTION('',(0.,1.,0.)); #36111=DIRECTION('',(0.,1.,0.)); #36112=DIRECTION('center_axis',(4.36780232005208E-16,-1.,0.)); #36113=DIRECTION('ref_axis',(1.,4.36780232005208E-16,0.)); #36114=DIRECTION('',(1.,4.36780232005208E-16,0.)); #36115=DIRECTION('',(0.,0.,1.)); #36116=DIRECTION('',(1.,4.36780232005208E-16,0.)); #36117=DIRECTION('center_axis',(0.,0.,1.)); #36118=DIRECTION('ref_axis',(-0.996194698091746,-0.0871557427476546,0.)); #36119=DIRECTION('center_axis',(0.,0.,1.)); #36120=DIRECTION('ref_axis',(-0.996194698091746,-0.0871557427476546,0.)); #36121=DIRECTION('center_axis',(0.,0.,1.)); #36122=DIRECTION('ref_axis',(-0.996194698091746,-0.0871557427476546,0.)); #36123=DIRECTION('',(0.,0.,1.)); #36124=DIRECTION('center_axis',(-0.996194698091746,-0.0871557427476584, 0.)); #36125=DIRECTION('ref_axis',(0.0871557427476584,-0.996194698091746,0.)); #36126=DIRECTION('',(0.0871557427476584,-0.996194698091746,0.)); #36127=DIRECTION('',(0.0871557427476584,-0.996194698091746,0.)); #36128=DIRECTION('',(0.,0.,1.)); #36129=DIRECTION('center_axis',(0.,0.,1.)); #36130=DIRECTION('ref_axis',(-0.899969823247703,0.435952196053074,0.)); #36131=DIRECTION('center_axis',(0.,0.,1.)); #36132=DIRECTION('ref_axis',(-0.899969823247703,0.435952196053074,0.)); #36133=DIRECTION('center_axis',(0.,0.,1.)); #36134=DIRECTION('ref_axis',(-0.899969823247703,0.435952196053074,0.)); #36135=DIRECTION('',(0.,0.,1.)); #36136=DIRECTION('center_axis',(0.,0.,1.)); #36137=DIRECTION('ref_axis',(0.,1.,0.)); #36138=DIRECTION('center_axis',(0.,0.,1.)); #36139=DIRECTION('ref_axis',(0.,1.,0.)); #36140=DIRECTION('center_axis',(0.,0.,1.)); #36141=DIRECTION('ref_axis',(0.,1.,0.)); #36142=DIRECTION('center_axis',(0.,0.,1.)); #36143=DIRECTION('ref_axis',(1.,0.,0.)); #36144=DIRECTION('center_axis',(0.,0.,1.)); #36145=DIRECTION('ref_axis',(1.,0.,0.)); #36146=DIRECTION('axis',(0.,0.,1.)); #36147=DIRECTION('refdir',(1.,0.,0.)); #36148=DIRECTION('center_axis',(0.,-1.,0.)); #36149=DIRECTION('ref_axis',(1.,0.,0.)); #36150=DIRECTION('center_axis',(0.,-1.,0.)); #36151=DIRECTION('ref_axis',(1.,0.,0.)); #36152=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #36153=DIRECTION('center_axis',(0.,-1.,0.)); #36154=DIRECTION('ref_axis',(1.,0.,0.)); #36155=DIRECTION('center_axis',(0.,1.,0.)); #36156=DIRECTION('ref_axis',(1.,0.,0.)); #36157=DIRECTION('',(0.,1.,0.)); #36158=DIRECTION('center_axis',(0.,-1.,0.)); #36159=DIRECTION('ref_axis',(1.,0.,0.)); #36160=DIRECTION('center_axis',(0.,-1.,0.)); #36161=DIRECTION('ref_axis',(1.,0.,0.)); #36162=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #36163=DIRECTION('center_axis',(0.,-1.,0.)); #36164=DIRECTION('ref_axis',(1.,0.,0.)); #36165=DIRECTION('center_axis',(0.,1.,0.)); #36166=DIRECTION('ref_axis',(1.,0.,0.)); #36167=DIRECTION('',(0.,1.,0.)); #36168=DIRECTION('center_axis',(-1.,0.,0.)); #36169=DIRECTION('ref_axis',(0.,0.,1.)); #36170=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #36171=DIRECTION('',(0.,0.,1.)); #36172=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #36173=DIRECTION('',(0.,-1.,0.)); #36174=DIRECTION('',(0.,0.,1.)); #36175=DIRECTION('',(0.,1.,0.)); #36176=DIRECTION('center_axis',(1.,0.,0.)); #36177=DIRECTION('ref_axis',(0.,0.,-1.)); #36178=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #36179=DIRECTION('',(0.,-1.,0.)); #36180=DIRECTION('',(0.,0.,-1.)); #36181=DIRECTION('',(0.,1.,0.)); #36182=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #36183=DIRECTION('',(0.,0.,-1.)); #36184=DIRECTION('center_axis',(0.,1.,0.)); #36185=DIRECTION('ref_axis',(0.,0.,1.)); #36186=DIRECTION('',(1.,0.,0.)); #36187=DIRECTION('',(-1.,0.,0.)); #36188=DIRECTION('center_axis',(1.98001558531875E-16,-1.,0.)); #36189=DIRECTION('ref_axis',(1.,1.98001558531875E-16,0.)); #36190=DIRECTION('',(-1.,-1.98001558531875E-16,0.)); #36191=DIRECTION('',(0.,0.,-1.)); #36192=DIRECTION('',(1.,1.98001558531875E-16,0.)); #36193=DIRECTION('center_axis',(1.40008244723394E-16,-0.707106781186548, -0.707106781186547)); #36194=DIRECTION('ref_axis',(-1.,0.,-1.98001558531875E-16)); #36195=DIRECTION('',(1.,1.98001558531875E-16,0.)); #36196=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #36197=DIRECTION('center_axis',(0.,0.,-1.)); #36198=DIRECTION('ref_axis',(-1.,0.,0.)); #36199=DIRECTION('',(1.,1.98001558531875E-16,0.)); #36200=DIRECTION('',(0.,1.,0.)); #36201=DIRECTION('',(-1.,1.98001558531875E-16,0.)); #36202=DIRECTION('',(0.,-1.,0.)); #36203=DIRECTION('',(1.,0.,0.)); #36204=DIRECTION('',(0.,1.,0.)); #36205=DIRECTION('',(-1.,1.98001558531875E-16,0.)); #36206=DIRECTION('',(0.,-1.,0.)); #36207=DIRECTION('center_axis',(0.,0.,1.)); #36208=DIRECTION('ref_axis',(1.,0.,0.)); #36209=DIRECTION('center_axis',(0.,0.,1.)); #36210=DIRECTION('ref_axis',(1.,0.,0.)); #36211=DIRECTION('center_axis',(0.,0.,1.)); #36212=DIRECTION('ref_axis',(1.,0.,0.)); #36213=DIRECTION('center_axis',(0.,0.,1.)); #36214=DIRECTION('ref_axis',(1.,0.,0.)); #36215=DIRECTION('center_axis',(0.,0.,1.)); #36216=DIRECTION('ref_axis',(1.,0.,0.)); #36217=DIRECTION('center_axis',(0.,0.,1.)); #36218=DIRECTION('ref_axis',(1.,0.,0.)); #36219=DIRECTION('center_axis',(0.,0.,1.)); #36220=DIRECTION('ref_axis',(1.,0.,0.)); #36221=DIRECTION('center_axis',(0.,0.,1.)); #36222=DIRECTION('ref_axis',(1.,0.,0.)); #36223=DIRECTION('center_axis',(1.40008244723394E-16,-0.707106781186548, -0.707106781186547)); #36224=DIRECTION('ref_axis',(-1.,0.,-1.98001558531875E-16)); #36225=DIRECTION('',(-1.,-1.98001558531875E-16,0.)); #36226=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #36227=DIRECTION('center_axis',(0.,0.,1.)); #36228=DIRECTION('ref_axis',(1.,0.,0.)); #36229=DIRECTION('center_axis',(0.,0.,1.)); #36230=DIRECTION('ref_axis',(1.,0.,0.)); #36231=DIRECTION('center_axis',(0.,0.,1.)); #36232=DIRECTION('ref_axis',(1.,0.,0.)); #36233=DIRECTION('center_axis',(0.,0.,1.)); #36234=DIRECTION('ref_axis',(1.,0.,0.)); #36235=DIRECTION('center_axis',(0.,0.,-1.)); #36236=DIRECTION('ref_axis',(1.,0.,0.)); #36237=DIRECTION('',(0.,0.,-1.)); #36238=DIRECTION('center_axis',(0.,0.,1.)); #36239=DIRECTION('ref_axis',(1.,0.,0.)); #36240=DIRECTION('',(0.,0.,-1.)); #36241=DIRECTION('center_axis',(0.,0.,1.)); #36242=DIRECTION('ref_axis',(1.,0.,0.)); #36243=DIRECTION('center_axis',(0.,0.,1.)); #36244=DIRECTION('ref_axis',(1.,0.,0.)); #36245=DIRECTION('center_axis',(0.,0.,1.)); #36246=DIRECTION('ref_axis',(1.,0.,0.)); #36247=DIRECTION('center_axis',(0.,0.,1.)); #36248=DIRECTION('ref_axis',(1.,0.,0.)); #36249=DIRECTION('center_axis',(0.,0.,-1.)); #36250=DIRECTION('ref_axis',(1.,0.,0.)); #36251=DIRECTION('',(0.,0.,-1.)); #36252=DIRECTION('center_axis',(0.,0.,1.)); #36253=DIRECTION('ref_axis',(1.,0.,0.)); #36254=DIRECTION('',(0.,0.,-1.)); #36255=DIRECTION('center_axis',(0.,0.,1.)); #36256=DIRECTION('ref_axis',(1.,0.,0.)); #36257=DIRECTION('center_axis',(0.,0.,1.)); #36258=DIRECTION('ref_axis',(1.,0.,0.)); #36259=DIRECTION('center_axis',(0.,0.,1.)); #36260=DIRECTION('ref_axis',(1.,0.,0.)); #36261=DIRECTION('center_axis',(0.,0.,1.)); #36262=DIRECTION('ref_axis',(1.,0.,0.)); #36263=DIRECTION('center_axis',(0.,0.,-1.)); #36264=DIRECTION('ref_axis',(1.,0.,0.)); #36265=DIRECTION('',(0.,0.,-1.)); #36266=DIRECTION('center_axis',(0.,0.,1.)); #36267=DIRECTION('ref_axis',(1.,0.,0.)); #36268=DIRECTION('',(0.,0.,-1.)); #36269=DIRECTION('center_axis',(0.,0.,1.)); #36270=DIRECTION('ref_axis',(1.,0.,0.)); #36271=DIRECTION('center_axis',(0.,0.,1.)); #36272=DIRECTION('ref_axis',(1.,0.,0.)); #36273=DIRECTION('center_axis',(0.,0.,1.)); #36274=DIRECTION('ref_axis',(1.,0.,0.)); #36275=DIRECTION('center_axis',(0.,0.,1.)); #36276=DIRECTION('ref_axis',(1.,0.,0.)); #36277=DIRECTION('center_axis',(0.,0.,-1.)); #36278=DIRECTION('ref_axis',(1.,0.,0.)); #36279=DIRECTION('',(0.,0.,-1.)); #36280=DIRECTION('center_axis',(0.,0.,1.)); #36281=DIRECTION('ref_axis',(1.,0.,0.)); #36282=DIRECTION('',(0.,0.,-1.)); #36283=DIRECTION('center_axis',(-1.,0.,0.)); #36284=DIRECTION('ref_axis',(0.,1.,0.)); #36285=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #36286=DIRECTION('',(0.,1.,0.)); #36287=DIRECTION('',(0.,0.,-1.)); #36288=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #36289=DIRECTION('',(0.,0.,-1.)); #36290=DIRECTION('center_axis',(0.,-1.,0.)); #36291=DIRECTION('ref_axis',(-1.,0.,0.)); #36292=DIRECTION('',(-1.,0.,0.)); #36293=DIRECTION('',(0.,0.,-1.)); #36294=DIRECTION('center_axis',(1.,0.,0.)); #36295=DIRECTION('ref_axis',(0.,-1.,0.)); #36296=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #36297=DIRECTION('',(0.,0.,1.)); #36298=DIRECTION('',(0.,0.707106781186548,0.707106781186547)); #36299=DIRECTION('',(0.,-1.,0.)); #36300=DIRECTION('center_axis',(1.40008244723394E-16,0.707106781186547, 0.707106781186548)); #36301=DIRECTION('ref_axis',(1.,0.,-1.98001558531875E-16)); #36302=DIRECTION('',(1.,-1.98001558531875E-16,0.)); #36303=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #36304=DIRECTION('',(-1.,1.98001558531875E-16,0.)); #36305=DIRECTION('center_axis',(1.40008244723394E-16,0.707106781186547, 0.707106781186548)); #36306=DIRECTION('ref_axis',(1.,0.,-1.98001558531875E-16)); #36307=DIRECTION('',(-1.,1.98001558531875E-16,0.)); #36308=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #36309=DIRECTION('',(1.,-1.98001558531875E-16,0.)); #36310=DIRECTION('center_axis',(1.98001558531875E-16,1.,0.)); #36311=DIRECTION('ref_axis',(-1.,1.98001558531875E-16,0.)); #36312=DIRECTION('',(0.,0.,-1.)); #36313=DIRECTION('',(1.,-1.98001558531875E-16,0.)); #36314=DIRECTION('center_axis',(1.98001558531875E-16,1.,0.)); #36315=DIRECTION('ref_axis',(-1.,1.98001558531875E-16,0.)); #36316=DIRECTION('',(1.,-1.98001558531875E-16,0.)); #36317=DIRECTION('',(0.,0.,1.)); #36318=DIRECTION('center_axis',(1.40008244723394E-16,0.707106781186547, -0.707106781186548)); #36319=DIRECTION('ref_axis',(-1.,0.,-1.98001558531875E-16)); #36320=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #36321=DIRECTION('center_axis',(1.40008244723394E-16,-0.707106781186548, 0.707106781186547)); #36322=DIRECTION('ref_axis',(1.,0.,-1.98001558531875E-16)); #36323=DIRECTION('',(-1.,-1.98001558531875E-16,0.)); #36324=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #36325=DIRECTION('',(1.,1.98001558531875E-16,0.)); #36326=DIRECTION('center_axis',(1.40008244723394E-16,-0.707106781186548, 0.707106781186547)); #36327=DIRECTION('ref_axis',(1.,0.,-1.98001558531875E-16)); #36328=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #36329=DIRECTION('',(-1.,-1.98001558531875E-16,0.)); #36330=DIRECTION('center_axis',(1.98001558531875E-16,-1.,0.)); #36331=DIRECTION('ref_axis',(1.,1.98001558531875E-16,0.)); #36332=DIRECTION('',(0.,0.,-1.)); #36333=DIRECTION('center_axis',(1.,0.,0.)); #36334=DIRECTION('ref_axis',(0.,1.,0.)); #36335=DIRECTION('',(0.,1.,0.)); #36336=DIRECTION('center_axis',(1.,0.,0.)); #36337=DIRECTION('ref_axis',(0.,0.,-1.)); #36338=DIRECTION('center_axis',(1.,0.,0.)); #36339=DIRECTION('ref_axis',(0.,0.,-1.)); #36340=DIRECTION('center_axis',(0.,0.,1.)); #36341=DIRECTION('ref_axis',(1.,0.,0.)); #36342=DIRECTION('',(0.,-1.,0.)); #36343=DIRECTION('center_axis',(0.,0.,-1.)); #36344=DIRECTION('ref_axis',(1.,0.,0.)); #36345=DIRECTION('center_axis',(0.,0.,-1.)); #36346=DIRECTION('ref_axis',(1.,0.,0.)); #36347=DIRECTION('center_axis',(0.,0.,-1.)); #36348=DIRECTION('ref_axis',(1.,0.,0.)); #36349=DIRECTION('center_axis',(0.,0.,-1.)); #36350=DIRECTION('ref_axis',(1.,0.,0.)); #36351=DIRECTION('center_axis',(1.40008244723394E-16,0.707106781186547, -0.707106781186548)); #36352=DIRECTION('ref_axis',(-1.,0.,-1.98001558531875E-16)); #36353=DIRECTION('',(0.,0.707106781186548,0.707106781186547)); #36354=DIRECTION('center_axis',(-1.,0.,0.)); #36355=DIRECTION('ref_axis',(0.,-1.,0.)); #36356=DIRECTION('center_axis',(-1.,0.,0.)); #36357=DIRECTION('ref_axis',(0.,0.,-1.)); #36358=DIRECTION('center_axis',(-1.,0.,0.)); #36359=DIRECTION('ref_axis',(0.,0.,-1.)); #36360=DIRECTION('center_axis',(1.,0.,0.)); #36361=DIRECTION('ref_axis',(0.,0.,-1.)); #36362=DIRECTION('',(-0.707106781186552,8.65956056235488E-17,-0.707106781186543)); #36363=DIRECTION('center_axis',(-1.,0.,0.)); #36364=DIRECTION('ref_axis',(0.,0.,-1.)); #36365=DIRECTION('center_axis',(-1.,0.,0.)); #36366=DIRECTION('ref_axis',(0.,0.,-1.)); #36367=DIRECTION('center_axis',(-1.,0.,0.)); #36368=DIRECTION('ref_axis',(0.,0.,1.)); #36369=DIRECTION('',(-1.,0.,0.)); #36370=DIRECTION('center_axis',(-1.,0.,0.)); #36371=DIRECTION('ref_axis',(0.,0.,1.)); #36372=DIRECTION('center_axis',(1.,0.,0.)); #36373=DIRECTION('ref_axis',(0.,0.,-1.)); #36374=DIRECTION('',(-0.707106781186552,8.65956056235488E-17,-0.707106781186543)); #36375=DIRECTION('center_axis',(-1.,0.,0.)); #36376=DIRECTION('ref_axis',(0.,0.,-1.)); #36377=DIRECTION('center_axis',(-1.,0.,0.)); #36378=DIRECTION('ref_axis',(0.,0.,-1.)); #36379=DIRECTION('center_axis',(-1.,0.,0.)); #36380=DIRECTION('ref_axis',(0.,0.,1.)); #36381=DIRECTION('',(-1.,0.,0.)); #36382=DIRECTION('center_axis',(-1.,0.,0.)); #36383=DIRECTION('ref_axis',(0.,0.,1.)); #36384=DIRECTION('center_axis',(-1.,0.,0.)); #36385=DIRECTION('ref_axis',(0.,0.,-1.)); #36386=DIRECTION('',(0.707106781186552,-8.65956056235488E-17,-0.707106781186543)); #36387=DIRECTION('center_axis',(1.,0.,0.)); #36388=DIRECTION('ref_axis',(0.,0.,-1.)); #36389=DIRECTION('center_axis',(1.,0.,0.)); #36390=DIRECTION('ref_axis',(0.,0.,-1.)); #36391=DIRECTION('center_axis',(-1.,0.,0.)); #36392=DIRECTION('ref_axis',(0.,0.,1.)); #36393=DIRECTION('',(1.,0.,0.)); #36394=DIRECTION('center_axis',(-1.,0.,0.)); #36395=DIRECTION('ref_axis',(0.,0.,1.)); #36396=DIRECTION('center_axis',(-1.,0.,0.)); #36397=DIRECTION('ref_axis',(0.,0.,-1.)); #36398=DIRECTION('',(0.707106781186552,-8.65956056235488E-17,-0.707106781186543)); #36399=DIRECTION('center_axis',(1.,0.,0.)); #36400=DIRECTION('ref_axis',(0.,0.,-1.)); #36401=DIRECTION('center_axis',(1.,0.,0.)); #36402=DIRECTION('ref_axis',(0.,0.,-1.)); #36403=DIRECTION('center_axis',(-1.,0.,0.)); #36404=DIRECTION('ref_axis',(0.,0.,1.)); #36405=DIRECTION('',(1.,0.,0.)); #36406=DIRECTION('center_axis',(-1.,0.,0.)); #36407=DIRECTION('ref_axis',(0.,0.,1.)); #36408=DIRECTION('center_axis',(1.,0.,0.)); #36409=DIRECTION('ref_axis',(0.,0.,1.)); #36410=DIRECTION('center_axis',(-1.,0.,0.)); #36411=DIRECTION('ref_axis',(0.,0.,1.)); #36412=DIRECTION('',(-0.515038074910054,1.04972719113862E-16,0.857167300702112)); #36413=DIRECTION('center_axis',(-1.,0.,0.)); #36414=DIRECTION('ref_axis',(0.,0.,1.)); #36415=DIRECTION('center_axis',(-1.,0.,0.)); #36416=DIRECTION('ref_axis',(0.,0.,1.)); #36417=DIRECTION('center_axis',(-1.,0.,0.)); #36418=DIRECTION('ref_axis',(0.,0.,1.)); #36419=DIRECTION('',(-1.,0.,0.)); #36420=DIRECTION('center_axis',(1.,0.,0.)); #36421=DIRECTION('ref_axis',(0.,0.,1.)); #36422=DIRECTION('center_axis',(-1.,0.,0.)); #36423=DIRECTION('ref_axis',(0.,0.,1.)); #36424=DIRECTION('',(-0.515038074910054,1.04972719113862E-16,0.857167300702112)); #36425=DIRECTION('center_axis',(-1.,0.,0.)); #36426=DIRECTION('ref_axis',(0.,0.,1.)); #36427=DIRECTION('center_axis',(-1.,0.,0.)); #36428=DIRECTION('ref_axis',(0.,0.,1.)); #36429=DIRECTION('center_axis',(-1.,0.,0.)); #36430=DIRECTION('ref_axis',(0.,0.,1.)); #36431=DIRECTION('',(-1.,0.,0.)); #36432=DIRECTION('center_axis',(-1.,0.,0.)); #36433=DIRECTION('ref_axis',(0.,0.,1.)); #36434=DIRECTION('center_axis',(-1.,0.,0.)); #36435=DIRECTION('ref_axis',(0.,0.,1.)); #36436=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #36437=DIRECTION('center_axis',(-1.,0.,0.)); #36438=DIRECTION('ref_axis',(0.,0.,1.)); #36439=DIRECTION('center_axis',(-1.,0.,0.)); #36440=DIRECTION('ref_axis',(0.,0.,1.)); #36441=DIRECTION('center_axis',(-1.,0.,0.)); #36442=DIRECTION('ref_axis',(0.,0.,1.)); #36443=DIRECTION('',(1.,0.,0.)); #36444=DIRECTION('center_axis',(-1.,0.,0.)); #36445=DIRECTION('ref_axis',(0.,0.,1.)); #36446=DIRECTION('center_axis',(-1.,0.,0.)); #36447=DIRECTION('ref_axis',(0.,0.,1.)); #36448=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #36449=DIRECTION('center_axis',(-1.,0.,0.)); #36450=DIRECTION('ref_axis',(0.,0.,1.)); #36451=DIRECTION('center_axis',(-1.,0.,0.)); #36452=DIRECTION('ref_axis',(0.,0.,1.)); #36453=DIRECTION('center_axis',(-1.,0.,0.)); #36454=DIRECTION('ref_axis',(0.,0.,1.)); #36455=DIRECTION('',(1.,0.,0.)); #36456=DIRECTION('center_axis',(0.,0.,1.)); #36457=DIRECTION('ref_axis',(1.,0.,0.)); #36458=DIRECTION('center_axis',(0.,0.,1.)); #36459=DIRECTION('ref_axis',(1.,0.,0.)); #36460=DIRECTION('center_axis',(0.,0.,1.)); #36461=DIRECTION('ref_axis',(1.,0.,0.)); #36462=DIRECTION('center_axis',(0.,0.,1.)); #36463=DIRECTION('ref_axis',(1.,0.,0.)); #36464=DIRECTION('',(0.,0.,-1.)); #36465=DIRECTION('center_axis',(0.,0.,1.)); #36466=DIRECTION('ref_axis',(1.,0.,0.)); #36467=DIRECTION('',(0.,0.,-1.)); #36468=DIRECTION('center_axis',(0.,0.,1.)); #36469=DIRECTION('ref_axis',(1.,0.,0.)); #36470=DIRECTION('center_axis',(0.,0.,1.)); #36471=DIRECTION('ref_axis',(1.,0.,0.)); #36472=DIRECTION('center_axis',(0.,0.,1.)); #36473=DIRECTION('ref_axis',(1.,0.,0.)); #36474=DIRECTION('center_axis',(0.,0.,1.)); #36475=DIRECTION('ref_axis',(1.,0.,0.)); #36476=DIRECTION('',(0.,0.,-1.)); #36477=DIRECTION('center_axis',(0.,0.,1.)); #36478=DIRECTION('ref_axis',(1.,0.,0.)); #36479=DIRECTION('',(0.,0.,-1.)); #36480=DIRECTION('center_axis',(0.,0.,1.)); #36481=DIRECTION('ref_axis',(1.,0.,0.)); #36482=DIRECTION('center_axis',(0.,0.,1.)); #36483=DIRECTION('ref_axis',(1.,0.,0.)); #36484=DIRECTION('center_axis',(0.,0.,1.)); #36485=DIRECTION('ref_axis',(1.,0.,0.)); #36486=DIRECTION('center_axis',(0.,0.,1.)); #36487=DIRECTION('ref_axis',(1.,0.,0.)); #36488=DIRECTION('',(0.,0.,-1.)); #36489=DIRECTION('center_axis',(0.,0.,1.)); #36490=DIRECTION('ref_axis',(1.,0.,0.)); #36491=DIRECTION('',(0.,0.,-1.)); #36492=DIRECTION('center_axis',(0.,0.,1.)); #36493=DIRECTION('ref_axis',(1.,0.,0.)); #36494=DIRECTION('center_axis',(0.,0.,1.)); #36495=DIRECTION('ref_axis',(1.,0.,0.)); #36496=DIRECTION('center_axis',(0.,0.,1.)); #36497=DIRECTION('ref_axis',(1.,0.,0.)); #36498=DIRECTION('center_axis',(0.,0.,1.)); #36499=DIRECTION('ref_axis',(1.,0.,0.)); #36500=DIRECTION('',(0.,0.,-1.)); #36501=DIRECTION('center_axis',(0.,0.,1.)); #36502=DIRECTION('ref_axis',(1.,0.,0.)); #36503=DIRECTION('',(0.,0.,-1.)); #36504=DIRECTION('axis',(0.,0.,1.)); #36505=DIRECTION('refdir',(1.,0.,0.)); #36506=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36507=DIRECTION('ref_axis',(0.,0.,-1.)); #36508=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36509=DIRECTION('ref_axis',(3.22641823351843E-18,-1.,1.22460635382238E-16)); #36510=DIRECTION('center_axis',(3.22641823351843E-18,-1.,-1.22464679914735E-16)); #36511=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #36512=DIRECTION('center_axis',(-1.,-3.22641823351843E-18,0.)); #36513=DIRECTION('ref_axis',(4.33680868994202E-18,-1.,1.22460635382238E-16)); #36514=DIRECTION('center_axis',(-1.,-3.22641823351843E-18,0.)); #36515=DIRECTION('ref_axis',(4.33680868994202E-18,-1.,1.22460635382238E-16)); #36516=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36517=DIRECTION('ref_axis',(0.,1.,0.)); #36518=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36519=DIRECTION('ref_axis',(0.,-1.,0.)); #36520=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36521=DIRECTION('ref_axis',(0.,-1.,0.)); #36522=DIRECTION('',(-1.,-3.22641823351843E-18,0.)); #36523=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36524=DIRECTION('ref_axis',(0.,0.,-1.)); #36525=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36526=DIRECTION('ref_axis',(0.,1.,0.)); #36527=DIRECTION('center_axis',(-1.,-3.22641823351843E-18,0.)); #36528=DIRECTION('ref_axis',(1.44218784628269E-18,-1.,0.)); #36529=DIRECTION('center_axis',(-1.,-3.22641823351843E-18,0.)); #36530=DIRECTION('ref_axis',(3.22641823351843E-18,-1.,0.)); #36531=DIRECTION('',(-0.707106781186548,0.707106781186547,8.65956056235492E-17)); #36532=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36533=DIRECTION('ref_axis',(0.,0.,-1.)); #36534=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36535=DIRECTION('ref_axis',(0.,0.,-1.)); #36536=DIRECTION('center_axis',(-1.,-3.22641823351843E-18,0.)); #36537=DIRECTION('ref_axis',(0.,-1.,1.22460635382238E-16)); #36538=DIRECTION('center_axis',(-1.,-3.22641823351843E-18,0.)); #36539=DIRECTION('ref_axis',(0.,-1.,1.22460635382238E-16)); #36540=DIRECTION('center_axis',(3.22641823351843E-18,-1.,-1.22464679914735E-16)); #36541=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #36542=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36543=DIRECTION('ref_axis',(3.22641823351843E-18,-1.,1.22460635382238E-16)); #36544=DIRECTION('center_axis',(-1.,-3.22641823351843E-18,0.)); #36545=DIRECTION('ref_axis',(0.,0.,1.)); #36546=DIRECTION('',(-3.10214093686176E-33,9.61481343191782E-16,1.)); #36547=DIRECTION('',(2.79416015346028E-18,-0.866025403784438,0.500000000000001)); #36548=DIRECTION('',(2.79416015346028E-18,-0.866025403784439,-0.5)); #36549=DIRECTION('',(3.10214093686176E-34,-9.61481343191782E-17,-1.)); #36550=DIRECTION('',(-2.79416015346028E-18,0.866025403784439,-0.5)); #36551=DIRECTION('',(-2.79416015346028E-18,0.866025403784439,0.5)); #36552=DIRECTION('center_axis',(1.,3.22641823351843E-18,0.)); #36553=DIRECTION('ref_axis',(0.,1.,0.)); #36554=DIRECTION('',(-1.,-3.22641823351843E-18,0.)); #36555=DIRECTION('center_axis',(1.61320911675922E-18,-0.5,-0.866025403784439)); #36556=DIRECTION('ref_axis',(-1.,0.,-1.86277343564019E-18)); #36557=DIRECTION('',(-1.,-3.22641823351843E-18,0.)); #36558=DIRECTION('',(-1.,-3.22641823351843E-18,0.)); #36559=DIRECTION('center_axis',(-1.61320911675922E-18,0.5,-0.866025403784439)); #36560=DIRECTION('ref_axis',(-1.,0.,1.86277343564018E-18)); #36561=DIRECTION('',(-1.,-3.22641823351843E-18,0.)); #36562=DIRECTION('center_axis',(-3.22641823351843E-18,1.,-9.61481343191782E-16)); #36563=DIRECTION('ref_axis',(0.,9.61481343191782E-16,1.)); #36564=DIRECTION('',(-1.,-3.22641823351843E-18,0.)); #36565=DIRECTION('center_axis',(-1.61320911675922E-18,0.500000000000001, 0.866025403784438)); #36566=DIRECTION('ref_axis',(1.,0.,1.86277343564019E-18)); #36567=DIRECTION('',(1.,3.22641823351843E-18,0.)); #36568=DIRECTION('center_axis',(3.22641823351843E-18,-1.,9.61481343191782E-17)); #36569=DIRECTION('ref_axis',(0.,-9.61481343191782E-17,-1.)); #36570=DIRECTION('',(-1.,-3.22641823351843E-18,0.)); #36571=DIRECTION('center_axis',(1.61320911675922E-18,-0.5,0.866025403784439)); #36572=DIRECTION('ref_axis',(1.,0.,-1.86277343564018E-18)); #36573=DIRECTION('center_axis',(-1.,-3.22641823351843E-18,0.)); #36574=DIRECTION('ref_axis',(0.,1.,0.)); #36575=DIRECTION('',(-0.5,-0.866025403784439,-1.06057523872491E-16)); #36576=DIRECTION('axis',(0.,0.,1.)); #36577=DIRECTION('refdir',(1.,0.,0.)); #36578=DIRECTION('center_axis',(0.,0.,-1.)); #36579=DIRECTION('ref_axis',(0.,1.,0.)); #36580=DIRECTION('center_axis',(0.,0.,1.)); #36581=DIRECTION('ref_axis',(0.,1.,0.)); #36582=DIRECTION('',(0.,0.,-1.)); #36583=DIRECTION('center_axis',(0.,0.,-1.)); #36584=DIRECTION('ref_axis',(0.,1.,0.)); #36585=DIRECTION('',(0.,0.,-1.)); #36586=DIRECTION('center_axis',(8.88178419700125E-16,-1.,0.)); #36587=DIRECTION('ref_axis',(-1.,-8.88178419700125E-16,0.)); #36588=DIRECTION('',(1.,8.88178419700125E-16,0.)); #36589=DIRECTION('',(-1.,-8.88178419700125E-16,0.)); #36590=DIRECTION('',(0.,0.,-1.)); #36591=DIRECTION('center_axis',(0.,0.,-1.)); #36592=DIRECTION('ref_axis',(0.,-1.,0.)); #36593=DIRECTION('center_axis',(0.,0.,1.)); #36594=DIRECTION('ref_axis',(0.,-1.,0.)); #36595=DIRECTION('center_axis',(0.,0.,-1.)); #36596=DIRECTION('ref_axis',(0.,-1.,0.)); #36597=DIRECTION('',(0.,0.,-1.)); #36598=DIRECTION('center_axis',(0.,1.,0.)); #36599=DIRECTION('ref_axis',(1.,0.,0.)); #36600=DIRECTION('',(-1.,0.,0.)); #36601=DIRECTION('',(1.,0.,0.)); #36602=DIRECTION('center_axis',(0.,0.,-1.)); #36603=DIRECTION('ref_axis',(-1.61486985400023E-15,1.,0.)); #36604=DIRECTION('center_axis',(0.,0.,1.)); #36605=DIRECTION('ref_axis',(-1.61486985400023E-15,1.,0.)); #36606=DIRECTION('',(0.,0.,-1.)); #36607=DIRECTION('center_axis',(0.,0.,-1.)); #36608=DIRECTION('ref_axis',(-1.61486985400023E-15,1.,0.)); #36609=DIRECTION('',(0.,0.,-1.)); #36610=DIRECTION('center_axis',(8.88178419700125E-16,-1.,0.)); #36611=DIRECTION('ref_axis',(-1.,-8.88178419700125E-16,0.)); #36612=DIRECTION('',(1.,8.88178419700125E-16,0.)); #36613=DIRECTION('',(-1.,-8.88178419700125E-16,0.)); #36614=DIRECTION('',(0.,0.,-1.)); #36615=DIRECTION('center_axis',(0.,0.,-1.)); #36616=DIRECTION('ref_axis',(0.,-1.,0.)); #36617=DIRECTION('center_axis',(0.,0.,1.)); #36618=DIRECTION('ref_axis',(0.,-1.,0.)); #36619=DIRECTION('center_axis',(0.,0.,-1.)); #36620=DIRECTION('ref_axis',(0.,-1.,0.)); #36621=DIRECTION('',(0.,0.,-1.)); #36622=DIRECTION('center_axis',(-8.88178419700126E-16,1.,0.)); #36623=DIRECTION('ref_axis',(1.,8.88178419700126E-16,0.)); #36624=DIRECTION('',(-1.,-8.88178419700126E-16,0.)); #36625=DIRECTION('',(1.,8.88178419700126E-16,0.)); #36626=DIRECTION('center_axis',(0.,0.,-1.)); #36627=DIRECTION('ref_axis',(0.,1.,0.)); #36628=DIRECTION('center_axis',(0.,0.,1.)); #36629=DIRECTION('ref_axis',(0.,1.,0.)); #36630=DIRECTION('',(0.,0.,-1.)); #36631=DIRECTION('center_axis',(0.,0.,-1.)); #36632=DIRECTION('ref_axis',(0.,1.,0.)); #36633=DIRECTION('',(0.,0.,-1.)); #36634=DIRECTION('center_axis',(0.,-1.,0.)); #36635=DIRECTION('ref_axis',(-1.,0.,0.)); #36636=DIRECTION('',(1.,0.,0.)); #36637=DIRECTION('',(-1.,0.,0.)); #36638=DIRECTION('',(0.,0.,-1.)); #36639=DIRECTION('center_axis',(0.,0.,-1.)); #36640=DIRECTION('ref_axis',(1.29189588320018E-14,-1.,0.)); #36641=DIRECTION('center_axis',(0.,0.,1.)); #36642=DIRECTION('ref_axis',(1.29189588320018E-14,-1.,0.)); #36643=DIRECTION('center_axis',(0.,0.,-1.)); #36644=DIRECTION('ref_axis',(1.29189588320018E-14,-1.,0.)); #36645=DIRECTION('',(0.,0.,-1.)); #36646=DIRECTION('center_axis',(4.44089209850063E-16,1.,0.)); #36647=DIRECTION('ref_axis',(1.,-4.44089209850063E-16,0.)); #36648=DIRECTION('',(-1.,4.44089209850063E-16,0.)); #36649=DIRECTION('',(1.,-4.44089209850063E-16,0.)); #36650=DIRECTION('center_axis',(0.,0.,-1.)); #36651=DIRECTION('ref_axis',(0.,-1.,0.)); #36652=DIRECTION('center_axis',(0.,0.,1.)); #36653=DIRECTION('ref_axis',(0.,-1.,0.)); #36654=DIRECTION('',(0.,0.,1.)); #36655=DIRECTION('center_axis',(0.,0.,-1.)); #36656=DIRECTION('ref_axis',(0.,-1.,0.)); #36657=DIRECTION('',(0.,0.,1.)); #36658=DIRECTION('center_axis',(1.,0.,0.)); #36659=DIRECTION('ref_axis',(0.,1.,0.)); #36660=DIRECTION('',(0.,-1.,0.)); #36661=DIRECTION('',(0.,1.,0.)); #36662=DIRECTION('',(0.,0.,1.)); #36663=DIRECTION('center_axis',(0.,0.,-1.)); #36664=DIRECTION('ref_axis',(1.,0.,0.)); #36665=DIRECTION('center_axis',(0.,0.,1.)); #36666=DIRECTION('ref_axis',(1.,0.,0.)); #36667=DIRECTION('center_axis',(0.,0.,-1.)); #36668=DIRECTION('ref_axis',(1.,0.,0.)); #36669=DIRECTION('',(0.,0.,1.)); #36670=DIRECTION('center_axis',(-2.86509167645202E-16,1.,0.)); #36671=DIRECTION('ref_axis',(-1.,-2.86509167645202E-16,0.)); #36672=DIRECTION('',(1.,2.86509167645202E-16,0.)); #36673=DIRECTION('',(-1.,-2.86509167645202E-16,0.)); #36674=DIRECTION('',(0.,0.,1.)); #36675=DIRECTION('center_axis',(0.,0.,-1.)); #36676=DIRECTION('ref_axis',(0.,1.,0.)); #36677=DIRECTION('center_axis',(0.,0.,1.)); #36678=DIRECTION('ref_axis',(0.,1.,0.)); #36679=DIRECTION('center_axis',(0.,0.,-1.)); #36680=DIRECTION('ref_axis',(0.,1.,0.)); #36681=DIRECTION('',(0.,0.,1.)); #36682=DIRECTION('center_axis',(-1.,0.,0.)); #36683=DIRECTION('ref_axis',(0.,-1.,0.)); #36684=DIRECTION('',(0.,1.,0.)); #36685=DIRECTION('',(0.,-1.,0.)); #36686=DIRECTION('',(0.,0.,1.)); #36687=DIRECTION('center_axis',(0.,0.,-1.)); #36688=DIRECTION('ref_axis',(-1.,0.,0.)); #36689=DIRECTION('center_axis',(0.,0.,1.)); #36690=DIRECTION('ref_axis',(-1.,0.,0.)); #36691=DIRECTION('center_axis',(0.,0.,-1.)); #36692=DIRECTION('ref_axis',(-1.,0.,0.)); #36693=DIRECTION('',(0.,0.,1.)); #36694=DIRECTION('center_axis',(2.86509167645202E-16,-1.,0.)); #36695=DIRECTION('ref_axis',(1.,2.86509167645202E-16,0.)); #36696=DIRECTION('',(-1.,-2.86509167645202E-16,0.)); #36697=DIRECTION('',(1.,2.86509167645202E-16,0.)); #36698=DIRECTION('center_axis',(0.,0.,1.)); #36699=DIRECTION('ref_axis',(1.,0.,0.)); #36700=DIRECTION('center_axis',(0.,0.,-1.)); #36701=DIRECTION('ref_axis',(0.,1.,0.)); #36702=DIRECTION('center_axis',(0.,0.,1.)); #36703=DIRECTION('ref_axis',(0.,1.,0.)); #36704=DIRECTION('',(0.,0.,1.)); #36705=DIRECTION('center_axis',(0.,0.,-1.)); #36706=DIRECTION('ref_axis',(0.,1.,0.)); #36707=DIRECTION('',(0.,0.,1.)); #36708=DIRECTION('center_axis',(1.,0.,0.)); #36709=DIRECTION('ref_axis',(0.,1.,0.)); #36710=DIRECTION('',(0.,-1.,0.)); #36711=DIRECTION('',(0.,1.,0.)); #36712=DIRECTION('',(0.,0.,1.)); #36713=DIRECTION('center_axis',(0.,0.,-1.)); #36714=DIRECTION('ref_axis',(-1.,0.,0.)); #36715=DIRECTION('center_axis',(0.,0.,1.)); #36716=DIRECTION('ref_axis',(-1.,0.,0.)); #36717=DIRECTION('center_axis',(0.,0.,-1.)); #36718=DIRECTION('ref_axis',(-1.,0.,0.)); #36719=DIRECTION('',(0.,0.,1.)); #36720=DIRECTION('center_axis',(-1.43254583822601E-16,1.,0.)); #36721=DIRECTION('ref_axis',(-1.,-1.43254583822601E-16,0.)); #36722=DIRECTION('',(1.,1.43254583822601E-16,0.)); #36723=DIRECTION('',(-1.,-1.43254583822601E-16,0.)); #36724=DIRECTION('',(0.,0.,1.)); #36725=DIRECTION('center_axis',(0.,0.,-1.)); #36726=DIRECTION('ref_axis',(0.,-1.,0.)); #36727=DIRECTION('center_axis',(0.,0.,1.)); #36728=DIRECTION('ref_axis',(0.,-1.,0.)); #36729=DIRECTION('center_axis',(0.,0.,-1.)); #36730=DIRECTION('ref_axis',(0.,-1.,0.)); #36731=DIRECTION('',(0.,0.,1.)); #36732=DIRECTION('center_axis',(-1.,0.,0.)); #36733=DIRECTION('ref_axis',(0.,-1.,0.)); #36734=DIRECTION('',(0.,1.,0.)); #36735=DIRECTION('',(0.,-1.,0.)); #36736=DIRECTION('',(0.,0.,1.)); #36737=DIRECTION('center_axis',(0.,0.,-1.)); #36738=DIRECTION('ref_axis',(1.,0.,0.)); #36739=DIRECTION('center_axis',(0.,0.,1.)); #36740=DIRECTION('ref_axis',(1.,0.,0.)); #36741=DIRECTION('center_axis',(0.,0.,-1.)); #36742=DIRECTION('ref_axis',(1.,0.,0.)); #36743=DIRECTION('',(0.,0.,1.)); #36744=DIRECTION('center_axis',(0.,-1.,0.)); #36745=DIRECTION('ref_axis',(1.,0.,0.)); #36746=DIRECTION('',(-1.,0.,0.)); #36747=DIRECTION('',(1.,0.,0.)); #36748=DIRECTION('center_axis',(0.,0.,1.)); #36749=DIRECTION('ref_axis',(1.,0.,0.)); #36750=DIRECTION('center_axis',(0.,0.,-1.)); #36751=DIRECTION('ref_axis',(0.,-1.,0.)); #36752=DIRECTION('center_axis',(0.,0.,1.)); #36753=DIRECTION('ref_axis',(0.,-1.,0.)); #36754=DIRECTION('',(0.,0.,1.)); #36755=DIRECTION('center_axis',(0.,0.,-1.)); #36756=DIRECTION('ref_axis',(0.,-1.,0.)); #36757=DIRECTION('',(0.,0.,1.)); #36758=DIRECTION('center_axis',(1.,0.,0.)); #36759=DIRECTION('ref_axis',(0.,1.,0.)); #36760=DIRECTION('',(0.,-1.,0.)); #36761=DIRECTION('',(0.,1.,0.)); #36762=DIRECTION('',(0.,0.,1.)); #36763=DIRECTION('center_axis',(0.,0.,-1.)); #36764=DIRECTION('ref_axis',(1.,0.,0.)); #36765=DIRECTION('center_axis',(0.,0.,1.)); #36766=DIRECTION('ref_axis',(1.,0.,0.)); #36767=DIRECTION('center_axis',(0.,0.,-1.)); #36768=DIRECTION('ref_axis',(1.,0.,0.)); #36769=DIRECTION('',(0.,0.,1.)); #36770=DIRECTION('center_axis',(-1.43254583822601E-16,1.,0.)); #36771=DIRECTION('ref_axis',(-1.,-1.43254583822601E-16,0.)); #36772=DIRECTION('',(1.,1.43254583822601E-16,0.)); #36773=DIRECTION('',(-1.,-1.43254583822601E-16,0.)); #36774=DIRECTION('',(0.,0.,1.)); #36775=DIRECTION('center_axis',(0.,0.,-1.)); #36776=DIRECTION('ref_axis',(0.,1.,0.)); #36777=DIRECTION('center_axis',(0.,0.,1.)); #36778=DIRECTION('ref_axis',(0.,1.,0.)); #36779=DIRECTION('center_axis',(0.,0.,-1.)); #36780=DIRECTION('ref_axis',(0.,1.,0.)); #36781=DIRECTION('',(0.,0.,1.)); #36782=DIRECTION('center_axis',(-1.,0.,0.)); #36783=DIRECTION('ref_axis',(0.,-1.,0.)); #36784=DIRECTION('',(0.,1.,0.)); #36785=DIRECTION('',(0.,-1.,0.)); #36786=DIRECTION('',(0.,0.,1.)); #36787=DIRECTION('center_axis',(0.,0.,-1.)); #36788=DIRECTION('ref_axis',(-1.,0.,0.)); #36789=DIRECTION('center_axis',(0.,0.,1.)); #36790=DIRECTION('ref_axis',(-1.,0.,0.)); #36791=DIRECTION('center_axis',(0.,0.,-1.)); #36792=DIRECTION('ref_axis',(-1.,0.,0.)); #36793=DIRECTION('',(0.,0.,1.)); #36794=DIRECTION('center_axis',(0.,-1.,0.)); #36795=DIRECTION('ref_axis',(1.,0.,0.)); #36796=DIRECTION('',(-1.,0.,0.)); #36797=DIRECTION('',(1.,0.,0.)); #36798=DIRECTION('center_axis',(0.,0.,1.)); #36799=DIRECTION('ref_axis',(1.,0.,0.)); #36800=DIRECTION('center_axis',(0.,0.,-1.)); #36801=DIRECTION('ref_axis',(0.,-1.,0.)); #36802=DIRECTION('center_axis',(0.,0.,-1.)); #36803=DIRECTION('ref_axis',(0.,-1.,0.)); #36804=DIRECTION('',(0.,0.,-1.)); #36805=DIRECTION('center_axis',(0.,0.,-1.)); #36806=DIRECTION('ref_axis',(0.,-1.,0.)); #36807=DIRECTION('',(0.,0.,-1.)); #36808=DIRECTION('center_axis',(-1.,0.,0.)); #36809=DIRECTION('ref_axis',(0.,1.,0.)); #36810=DIRECTION('',(0.,1.,0.)); #36811=DIRECTION('',(0.,0.,-1.)); #36812=DIRECTION('',(0.,1.,0.)); #36813=DIRECTION('center_axis',(0.,0.,-1.)); #36814=DIRECTION('ref_axis',(1.,0.,0.)); #36815=DIRECTION('center_axis',(0.,0.,-1.)); #36816=DIRECTION('ref_axis',(1.,0.,0.)); #36817=DIRECTION('',(0.,0.,-1.)); #36818=DIRECTION('center_axis',(0.,0.,-1.)); #36819=DIRECTION('ref_axis',(1.,0.,0.)); #36820=DIRECTION('center_axis',(2.86509167645202E-16,-1.,0.)); #36821=DIRECTION('ref_axis',(-1.,-2.86509167645202E-16,0.)); #36822=DIRECTION('',(-1.,-2.86509167645202E-16,0.)); #36823=DIRECTION('',(0.,0.,-1.)); #36824=DIRECTION('',(-1.,-2.86509167645202E-16,0.)); #36825=DIRECTION('center_axis',(0.,0.,-1.)); #36826=DIRECTION('ref_axis',(0.,1.,0.)); #36827=DIRECTION('center_axis',(0.,0.,-1.)); #36828=DIRECTION('ref_axis',(0.,1.,0.)); #36829=DIRECTION('',(0.,0.,-1.)); #36830=DIRECTION('center_axis',(0.,0.,-1.)); #36831=DIRECTION('ref_axis',(0.,1.,0.)); #36832=DIRECTION('center_axis',(1.,0.,0.)); #36833=DIRECTION('ref_axis',(0.,-1.,0.)); #36834=DIRECTION('',(0.,-1.,0.)); #36835=DIRECTION('',(0.,0.,-1.)); #36836=DIRECTION('',(0.,-1.,0.)); #36837=DIRECTION('center_axis',(0.,0.,-1.)); #36838=DIRECTION('ref_axis',(-1.,0.,0.)); #36839=DIRECTION('center_axis',(0.,0.,-1.)); #36840=DIRECTION('ref_axis',(-1.,0.,0.)); #36841=DIRECTION('',(0.,0.,-1.)); #36842=DIRECTION('center_axis',(0.,0.,-1.)); #36843=DIRECTION('ref_axis',(-1.,0.,0.)); #36844=DIRECTION('center_axis',(-2.86509167645202E-16,1.,0.)); #36845=DIRECTION('ref_axis',(1.,2.86509167645202E-16,0.)); #36846=DIRECTION('',(1.,2.86509167645202E-16,0.)); #36847=DIRECTION('',(1.,2.86509167645202E-16,0.)); #36848=DIRECTION('center_axis',(0.,0.,1.)); #36849=DIRECTION('ref_axis',(1.,0.,0.)); #36850=DIRECTION('center_axis',(0.,0.,-1.)); #36851=DIRECTION('ref_axis',(0.,1.,0.)); #36852=DIRECTION('center_axis',(0.,0.,-1.)); #36853=DIRECTION('ref_axis',(0.,1.,0.)); #36854=DIRECTION('',(0.,0.,-1.)); #36855=DIRECTION('center_axis',(0.,0.,-1.)); #36856=DIRECTION('ref_axis',(0.,1.,0.)); #36857=DIRECTION('',(0.,0.,-1.)); #36858=DIRECTION('center_axis',(-1.,0.,0.)); #36859=DIRECTION('ref_axis',(0.,1.,0.)); #36860=DIRECTION('',(0.,1.,0.)); #36861=DIRECTION('',(0.,0.,-1.)); #36862=DIRECTION('',(0.,1.,0.)); #36863=DIRECTION('center_axis',(0.,0.,-1.)); #36864=DIRECTION('ref_axis',(-1.,0.,0.)); #36865=DIRECTION('center_axis',(0.,0.,-1.)); #36866=DIRECTION('ref_axis',(-1.,0.,0.)); #36867=DIRECTION('',(0.,0.,-1.)); #36868=DIRECTION('center_axis',(0.,0.,-1.)); #36869=DIRECTION('ref_axis',(-1.,0.,0.)); #36870=DIRECTION('center_axis',(1.43254583822601E-16,-1.,0.)); #36871=DIRECTION('ref_axis',(-1.,-1.43254583822601E-16,0.)); #36872=DIRECTION('',(-1.,-1.43254583822601E-16,0.)); #36873=DIRECTION('',(0.,0.,-1.)); #36874=DIRECTION('',(-1.,-1.43254583822601E-16,0.)); #36875=DIRECTION('center_axis',(0.,0.,-1.)); #36876=DIRECTION('ref_axis',(0.,-1.,0.)); #36877=DIRECTION('center_axis',(0.,0.,-1.)); #36878=DIRECTION('ref_axis',(0.,-1.,0.)); #36879=DIRECTION('',(0.,0.,-1.)); #36880=DIRECTION('center_axis',(0.,0.,-1.)); #36881=DIRECTION('ref_axis',(0.,-1.,0.)); #36882=DIRECTION('center_axis',(1.,0.,0.)); #36883=DIRECTION('ref_axis',(0.,-1.,0.)); #36884=DIRECTION('',(0.,-1.,0.)); #36885=DIRECTION('',(0.,0.,-1.)); #36886=DIRECTION('',(0.,-1.,0.)); #36887=DIRECTION('center_axis',(0.,0.,-1.)); #36888=DIRECTION('ref_axis',(1.,0.,0.)); #36889=DIRECTION('center_axis',(0.,0.,-1.)); #36890=DIRECTION('ref_axis',(1.,0.,0.)); #36891=DIRECTION('',(0.,0.,-1.)); #36892=DIRECTION('center_axis',(0.,0.,-1.)); #36893=DIRECTION('ref_axis',(1.,0.,0.)); #36894=DIRECTION('center_axis',(0.,1.,0.)); #36895=DIRECTION('ref_axis',(1.,0.,0.)); #36896=DIRECTION('',(1.,0.,0.)); #36897=DIRECTION('',(1.,0.,0.)); #36898=DIRECTION('center_axis',(0.,0.,1.)); #36899=DIRECTION('ref_axis',(1.,0.,0.)); #36900=DIRECTION('center_axis',(0.,0.,-1.)); #36901=DIRECTION('ref_axis',(0.,-1.,0.)); #36902=DIRECTION('center_axis',(0.,0.,-1.)); #36903=DIRECTION('ref_axis',(0.,-1.,0.)); #36904=DIRECTION('',(0.,0.,-1.)); #36905=DIRECTION('center_axis',(0.,0.,-1.)); #36906=DIRECTION('ref_axis',(0.,-1.,0.)); #36907=DIRECTION('',(0.,0.,-1.)); #36908=DIRECTION('center_axis',(-1.,0.,0.)); #36909=DIRECTION('ref_axis',(0.,1.,0.)); #36910=DIRECTION('',(0.,1.,0.)); #36911=DIRECTION('',(0.,0.,-1.)); #36912=DIRECTION('',(0.,1.,0.)); #36913=DIRECTION('center_axis',(0.,0.,-1.)); #36914=DIRECTION('ref_axis',(1.,0.,0.)); #36915=DIRECTION('center_axis',(0.,0.,-1.)); #36916=DIRECTION('ref_axis',(1.,0.,0.)); #36917=DIRECTION('',(0.,0.,-1.)); #36918=DIRECTION('center_axis',(0.,0.,-1.)); #36919=DIRECTION('ref_axis',(1.,0.,0.)); #36920=DIRECTION('center_axis',(1.43254583822601E-16,-1.,0.)); #36921=DIRECTION('ref_axis',(-1.,-1.43254583822601E-16,0.)); #36922=DIRECTION('',(-1.,-1.43254583822601E-16,0.)); #36923=DIRECTION('',(0.,0.,-1.)); #36924=DIRECTION('',(-1.,-1.43254583822601E-16,0.)); #36925=DIRECTION('center_axis',(0.,0.,-1.)); #36926=DIRECTION('ref_axis',(0.,1.,0.)); #36927=DIRECTION('center_axis',(0.,0.,-1.)); #36928=DIRECTION('ref_axis',(0.,1.,0.)); #36929=DIRECTION('',(0.,0.,-1.)); #36930=DIRECTION('center_axis',(0.,0.,-1.)); #36931=DIRECTION('ref_axis',(0.,1.,0.)); #36932=DIRECTION('center_axis',(1.,0.,0.)); #36933=DIRECTION('ref_axis',(0.,-1.,0.)); #36934=DIRECTION('',(0.,-1.,0.)); #36935=DIRECTION('',(0.,0.,-1.)); #36936=DIRECTION('',(0.,-1.,0.)); #36937=DIRECTION('center_axis',(0.,0.,-1.)); #36938=DIRECTION('ref_axis',(-1.,0.,0.)); #36939=DIRECTION('center_axis',(0.,0.,-1.)); #36940=DIRECTION('ref_axis',(-1.,0.,0.)); #36941=DIRECTION('',(0.,0.,-1.)); #36942=DIRECTION('center_axis',(0.,0.,-1.)); #36943=DIRECTION('ref_axis',(-1.,0.,0.)); #36944=DIRECTION('center_axis',(0.,1.,0.)); #36945=DIRECTION('ref_axis',(1.,0.,0.)); #36946=DIRECTION('',(1.,0.,0.)); #36947=DIRECTION('',(1.,0.,0.)); #36948=DIRECTION('center_axis',(0.,0.,1.)); #36949=DIRECTION('ref_axis',(1.,0.,0.)); #36950=DIRECTION('center_axis',(0.,0.,1.)); #36951=DIRECTION('ref_axis',(1.,0.,0.)); #36952=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #36953=DIRECTION('center_axis',(0.,0.,-1.)); #36954=DIRECTION('ref_axis',(-1.,-4.47558656802011E-15,0.)); #36955=DIRECTION('',(0.,-1.,0.)); #36956=DIRECTION('center_axis',(0.,0.,-1.)); #36957=DIRECTION('ref_axis',(1.77635683940029E-14,1.,0.)); #36958=DIRECTION('',(-1.,1.12827543152963E-18,0.)); #36959=DIRECTION('center_axis',(0.,0.,-1.)); #36960=DIRECTION('ref_axis',(1.,-4.16333634234433E-15,0.)); #36961=DIRECTION('',(-2.10654077391896E-16,1.,0.)); #36962=DIRECTION('center_axis',(0.,0.,-1.)); #36963=DIRECTION('ref_axis',(0.173648177666932,-0.984807753012208,0.)); #36964=DIRECTION('',(0.984807753012208,0.173648177666932,0.)); #36965=DIRECTION('center_axis',(0.,0.,-1.)); #36966=DIRECTION('ref_axis',(-1.95399252334027E-15,-1.,0.)); #36967=DIRECTION('',(1.,0.,0.)); #36968=DIRECTION('center_axis',(0.,0.,-1.)); #36969=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #36970=DIRECTION('center_axis',(0.,0.,1.)); #36971=DIRECTION('ref_axis',(-1.,-4.47558656802011E-15,0.)); #36972=DIRECTION('',(0.,0.,-1.)); #36973=DIRECTION('center_axis',(0.,0.,1.)); #36974=DIRECTION('ref_axis',(-1.,-4.47558656802011E-15,0.)); #36975=DIRECTION('',(0.,0.,-1.)); #36976=DIRECTION('center_axis',(1.,0.,0.)); #36977=DIRECTION('ref_axis',(0.,1.,0.)); #36978=DIRECTION('',(0.,1.,0.)); #36979=DIRECTION('',(0.,0.,-1.)); #36980=DIRECTION('center_axis',(0.,0.,1.)); #36981=DIRECTION('ref_axis',(1.77635683940029E-14,1.,0.)); #36982=DIRECTION('center_axis',(0.,0.,1.)); #36983=DIRECTION('ref_axis',(1.77635683940029E-14,1.,0.)); #36984=DIRECTION('',(0.,0.,-1.)); #36985=DIRECTION('center_axis',(-1.12827543152963E-18,-1.,0.)); #36986=DIRECTION('ref_axis',(1.,-1.12827543152963E-18,0.)); #36987=DIRECTION('',(1.,-1.12827543152963E-18,0.)); #36988=DIRECTION('',(0.,0.,-1.)); #36989=DIRECTION('center_axis',(0.,0.,1.)); #36990=DIRECTION('ref_axis',(1.,-4.16333634234433E-15,0.)); #36991=DIRECTION('center_axis',(0.,0.,1.)); #36992=DIRECTION('ref_axis',(1.,-4.16333634234433E-15,0.)); #36993=DIRECTION('',(0.,0.,-1.)); #36994=DIRECTION('center_axis',(-1.,-2.10654077391896E-16,0.)); #36995=DIRECTION('ref_axis',(2.10654077391896E-16,-1.,0.)); #36996=DIRECTION('',(2.10654077391896E-16,-1.,0.)); #36997=DIRECTION('',(0.,0.,-1.)); #36998=DIRECTION('center_axis',(0.,0.,1.)); #36999=DIRECTION('ref_axis',(0.173648177666932,-0.984807753012208,0.)); #37000=DIRECTION('center_axis',(0.,0.,1.)); #37001=DIRECTION('ref_axis',(0.173648177666932,-0.984807753012208,0.)); #37002=DIRECTION('',(0.,0.,-1.)); #37003=DIRECTION('center_axis',(-0.173648177666932,0.984807753012208,0.)); #37004=DIRECTION('ref_axis',(-0.984807753012208,-0.173648177666932,0.)); #37005=DIRECTION('',(-0.984807753012208,-0.173648177666932,0.)); #37006=DIRECTION('',(0.,0.,-1.)); #37007=DIRECTION('center_axis',(0.,0.,1.)); #37008=DIRECTION('ref_axis',(-1.95399252334027E-15,-1.,0.)); #37009=DIRECTION('center_axis',(0.,0.,1.)); #37010=DIRECTION('ref_axis',(-1.95399252334027E-15,-1.,0.)); #37011=DIRECTION('',(0.,0.,-1.)); #37012=DIRECTION('center_axis',(0.,1.,0.)); #37013=DIRECTION('ref_axis',(-1.,0.,0.)); #37014=DIRECTION('',(-1.,0.,0.)); #37015=DIRECTION('',(0.,0.,-1.)); #37016=DIRECTION('center_axis',(0.,0.,1.)); #37017=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #37018=DIRECTION('center_axis',(0.,0.,1.)); #37019=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #37020=DIRECTION('',(0.,0.,1.)); #37021=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #37022=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #37023=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #37024=DIRECTION('center_axis',(0.,0.,1.)); #37025=DIRECTION('ref_axis',(1.,0.,0.)); #37026=DIRECTION('axis',(0.,0.,1.)); #37027=DIRECTION('refdir',(1.,0.,0.)); #37028=DIRECTION('center_axis',(1.,0.,0.)); #37029=DIRECTION('ref_axis',(0.,0.,-1.)); #37030=DIRECTION('',(0.,0.,-1.)); #37031=DIRECTION('',(0.,-1.,0.)); #37032=DIRECTION('',(0.,0.,1.)); #37033=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #37034=DIRECTION('ref_axis',(0.,0.,-1.)); #37035=DIRECTION('',(0.,0.,-1.)); #37036=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #37037=DIRECTION('center_axis',(0.,1.,0.)); #37038=DIRECTION('ref_axis',(0.,0.,-1.)); #37039=DIRECTION('',(1.,0.,0.)); #37040=DIRECTION('',(0.,0.,1.)); #37041=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #37042=DIRECTION('ref_axis',(0.,0.,-1.)); #37043=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #37044=DIRECTION('',(0.,0.,-1.)); #37045=DIRECTION('center_axis',(-1.,0.,0.)); #37046=DIRECTION('ref_axis',(0.,0.,1.)); #37047=DIRECTION('',(0.,0.,1.)); #37048=DIRECTION('',(0.,1.,0.)); #37049=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,0.)); #37050=DIRECTION('ref_axis',(0.,0.,1.)); #37051=DIRECTION('',(0.,0.,-1.)); #37052=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #37053=DIRECTION('center_axis',(0.,-1.,0.)); #37054=DIRECTION('ref_axis',(0.,0.,1.)); #37055=DIRECTION('',(0.,0.,1.)); #37056=DIRECTION('',(-1.,0.,0.)); #37057=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #37058=DIRECTION('ref_axis',(0.,0.,1.)); #37059=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #37060=DIRECTION('center_axis',(0.,0.,1.)); #37061=DIRECTION('ref_axis',(1.,0.,0.)); #37062=DIRECTION('center_axis',(0.,0.,-1.)); #37063=DIRECTION('ref_axis',(1.,0.,0.)); #37064=DIRECTION('',(0.,0.,-1.)); #37065=DIRECTION('center_axis',(0.,0.,1.)); #37066=DIRECTION('ref_axis',(1.,0.,0.)); #37067=DIRECTION('center_axis',(0.,0.,-1.)); #37068=DIRECTION('ref_axis',(-6.12303176911189E-17,-1.,0.)); #37069=DIRECTION('center_axis',(0.,0.,-1.)); #37070=DIRECTION('ref_axis',(6.12303176911189E-17,1.,0.)); #37071=DIRECTION('center_axis',(0.,0.,-1.)); #37072=DIRECTION('ref_axis',(6.12303176911189E-17,1.,0.)); #37073=DIRECTION('',(4.32992327699526E-17,-0.707106781186548,-0.707106781186548)); #37074=DIRECTION('center_axis',(0.,0.,1.)); #37075=DIRECTION('ref_axis',(6.12303176911189E-17,1.,0.)); #37076=DIRECTION('axis',(0.,0.,1.)); #37077=DIRECTION('refdir',(1.,0.,0.)); #37078=DIRECTION('center_axis',(1.,0.,0.)); #37079=DIRECTION('ref_axis',(0.,-1.,0.)); #37080=DIRECTION('center_axis',(1.,0.,0.)); #37081=DIRECTION('ref_axis',(0.,-1.,0.)); #37082=DIRECTION('',(1.,0.,0.)); #37083=DIRECTION('center_axis',(-1.,0.,0.)); #37084=DIRECTION('ref_axis',(0.,-1.,0.)); #37085=DIRECTION('center_axis',(1.,0.,0.)); #37086=DIRECTION('ref_axis',(0.,-1.,0.)); #37087=DIRECTION('center_axis',(-1.,0.,0.)); #37088=DIRECTION('ref_axis',(0.,-1.,0.)); #37089=DIRECTION('',(-1.,0.,0.)); #37090=DIRECTION('center_axis',(-1.,0.,0.)); #37091=DIRECTION('ref_axis',(0.,-1.,0.)); #37092=DIRECTION('center_axis',(-1.,0.,0.)); #37093=DIRECTION('ref_axis',(0.,0.,1.)); #37094=DIRECTION('center_axis',(-1.,0.,0.)); #37095=DIRECTION('ref_axis',(0.,0.,1.)); #37096=DIRECTION('axis',(0.,0.,1.)); #37097=DIRECTION('refdir',(1.,0.,0.)); #37098=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37099=DIRECTION('ref_axis',(0.,0.,-1.)); #37100=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37101=DIRECTION('ref_axis',(3.73889182318244E-18,-1.,1.22460635382238E-16)); #37102=DIRECTION('center_axis',(3.73889182318244E-18,-1.,-1.22464679914735E-16)); #37103=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37104=DIRECTION('center_axis',(-1.,-3.73889182318244E-18,0.)); #37105=DIRECTION('ref_axis',(6.93889390390722E-18,-1.,1.22460635382238E-16)); #37106=DIRECTION('center_axis',(-1.,-3.73889182318244E-18,0.)); #37107=DIRECTION('ref_axis',(6.93889390390722E-18,-1.,1.22460635382238E-16)); #37108=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37109=DIRECTION('ref_axis',(0.,1.,0.)); #37110=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37111=DIRECTION('ref_axis',(0.,-1.,0.)); #37112=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37113=DIRECTION('ref_axis',(0.,-1.,0.)); #37114=DIRECTION('',(-1.,-3.73889182318244E-18,0.)); #37115=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37116=DIRECTION('ref_axis',(0.,0.,-1.)); #37117=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37118=DIRECTION('ref_axis',(0.,1.,0.)); #37119=DIRECTION('center_axis',(-1.,-3.73889182318244E-18,0.)); #37120=DIRECTION('ref_axis',(1.66598606568093E-18,-1.,0.)); #37121=DIRECTION('center_axis',(-1.,-3.73889182318244E-18,0.)); #37122=DIRECTION('ref_axis',(3.73889182318244E-18,-1.,0.)); #37123=DIRECTION('',(-0.707106781186548,0.707106781186547,8.65956056235493E-17)); #37124=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37125=DIRECTION('ref_axis',(0.,0.,-1.)); #37126=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37127=DIRECTION('ref_axis',(0.,0.,-1.)); #37128=DIRECTION('center_axis',(-1.,-3.73889182318244E-18,0.)); #37129=DIRECTION('ref_axis',(0.,-1.,1.22460635382238E-16)); #37130=DIRECTION('center_axis',(-1.,-3.73889182318244E-18,0.)); #37131=DIRECTION('ref_axis',(0.,-1.,1.22460635382238E-16)); #37132=DIRECTION('center_axis',(3.73889182318244E-18,-1.,-1.22464679914735E-16)); #37133=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37134=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37135=DIRECTION('ref_axis',(3.73889182318244E-18,-1.,1.22460635382238E-16)); #37136=DIRECTION('center_axis',(-1.,-3.73889182318244E-18,0.)); #37137=DIRECTION('ref_axis',(0.,0.,1.)); #37138=DIRECTION('',(-3.59487473220222E-33,9.61481343191783E-16,1.)); #37139=DIRECTION('',(3.2379753008779E-18,-0.866025403784438,0.500000000000001)); #37140=DIRECTION('',(3.2379753008779E-18,-0.866025403784439,-0.5)); #37141=DIRECTION('',(5.9914578870037E-34,-1.60246890531964E-16,-1.)); #37142=DIRECTION('',(-3.2379753008779E-18,0.866025403784438,-0.5)); #37143=DIRECTION('',(-3.23797530087791E-18,0.866025403784439,0.5)); #37144=DIRECTION('center_axis',(1.,3.73889182318244E-18,0.)); #37145=DIRECTION('ref_axis',(0.,1.,0.)); #37146=DIRECTION('',(-1.,-3.73889182318244E-18,0.)); #37147=DIRECTION('center_axis',(1.86944591159122E-18,-0.5,-0.866025403784439)); #37148=DIRECTION('ref_axis',(-1.,0.,-2.15865020058527E-18)); #37149=DIRECTION('',(-1.,-3.73889182318244E-18,0.)); #37150=DIRECTION('',(-1.,-3.73889182318244E-18,0.)); #37151=DIRECTION('center_axis',(-1.86944591159122E-18,0.5,-0.866025403784439)); #37152=DIRECTION('ref_axis',(-1.,0.,2.15865020058527E-18)); #37153=DIRECTION('',(-1.,-3.73889182318244E-18,0.)); #37154=DIRECTION('center_axis',(-3.73889182318244E-18,1.,-9.61481343191783E-16)); #37155=DIRECTION('ref_axis',(0.,9.61481343191783E-16,1.)); #37156=DIRECTION('',(-1.,-3.73889182318244E-18,0.)); #37157=DIRECTION('center_axis',(-1.86944591159122E-18,0.500000000000001, 0.866025403784438)); #37158=DIRECTION('ref_axis',(1.,0.,2.15865020058527E-18)); #37159=DIRECTION('',(1.,3.73889182318244E-18,0.)); #37160=DIRECTION('center_axis',(3.73889182318244E-18,-1.,1.60246890531964E-16)); #37161=DIRECTION('ref_axis',(0.,-1.60246890531964E-16,-1.)); #37162=DIRECTION('',(-1.,-3.73889182318244E-18,0.)); #37163=DIRECTION('center_axis',(1.86944591159122E-18,-0.5,0.866025403784439)); #37164=DIRECTION('ref_axis',(1.,0.,-2.15865020058527E-18)); #37165=DIRECTION('center_axis',(-1.,-3.73889182318244E-18,0.)); #37166=DIRECTION('ref_axis',(0.,1.,0.)); #37167=DIRECTION('',(-0.500000000000001,-0.866025403784438,-1.06057523872491E-16)); #37168=DIRECTION('axis',(0.,0.,1.)); #37169=DIRECTION('refdir',(1.,0.,0.)); #37170=DIRECTION('center_axis',(0.,0.,1.)); #37171=DIRECTION('ref_axis',(0.,-1.,0.)); #37172=DIRECTION('',(0.,-1.,0.)); #37173=DIRECTION('',(1.,0.,0.)); #37174=DIRECTION('',(0.,-1.,0.)); #37175=DIRECTION('',(1.,0.,0.)); #37176=DIRECTION('center_axis',(0.,0.866025403784448,0.499999999999983)); #37177=DIRECTION('ref_axis',(0.,-0.499999999999983,0.866025403784448)); #37178=DIRECTION('',(0.,-0.499999999999983,0.866025403784448)); #37179=DIRECTION('',(1.,0.,0.)); #37180=DIRECTION('',(0.,-0.499999999999983,0.866025403784448)); #37181=DIRECTION('center_axis',(0.,0.866025403784448,-0.499999999999983)); #37182=DIRECTION('ref_axis',(0.,0.499999999999983,0.866025403784448)); #37183=DIRECTION('',(0.,0.499999999999983,0.866025403784448)); #37184=DIRECTION('',(1.,0.,0.)); #37185=DIRECTION('',(0.,0.499999999999983,0.866025403784448)); #37186=DIRECTION('center_axis',(0.,0.,-1.)); #37187=DIRECTION('ref_axis',(0.,1.,0.)); #37188=DIRECTION('',(0.,1.,0.)); #37189=DIRECTION('',(1.,0.,0.)); #37190=DIRECTION('',(0.,1.,0.)); #37191=DIRECTION('center_axis',(0.,-0.866025403784448,-0.499999999999983)); #37192=DIRECTION('ref_axis',(0.,0.499999999999983,-0.866025403784448)); #37193=DIRECTION('',(0.,0.499999999999983,-0.866025403784448)); #37194=DIRECTION('',(1.,0.,0.)); #37195=DIRECTION('',(0.,0.499999999999983,-0.866025403784448)); #37196=DIRECTION('center_axis',(0.,-0.866025403784448,0.499999999999983)); #37197=DIRECTION('ref_axis',(0.,-0.499999999999983,-0.866025403784448)); #37198=DIRECTION('',(0.,-0.499999999999983,-0.866025403784448)); #37199=DIRECTION('',(0.,-0.499999999999983,-0.866025403784448)); #37200=DIRECTION('center_axis',(1.,0.,0.)); #37201=DIRECTION('ref_axis',(0.,0.,-1.)); #37202=DIRECTION('center_axis',(1.,0.,0.)); #37203=DIRECTION('ref_axis',(0.,0.,-1.)); #37204=DIRECTION('center_axis',(1.,0.,0.)); #37205=DIRECTION('ref_axis',(0.,0.,-1.)); #37206=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #37207=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37208=DIRECTION('center_axis',(1.,0.,0.)); #37209=DIRECTION('ref_axis',(0.,0.,-1.)); #37210=DIRECTION('center_axis',(1.,0.,0.)); #37211=DIRECTION('ref_axis',(0.,0.,-1.)); #37212=DIRECTION('center_axis',(1.,0.,0.)); #37213=DIRECTION('ref_axis',(0.,1.,0.)); #37214=DIRECTION('center_axis',(1.,0.,0.)); #37215=DIRECTION('ref_axis',(0.,0.,-1.)); #37216=DIRECTION('',(-1.,0.,0.)); #37217=DIRECTION('center_axis',(1.,0.,0.)); #37218=DIRECTION('ref_axis',(0.,0.,-1.)); #37219=DIRECTION('center_axis',(1.,0.,0.)); #37220=DIRECTION('ref_axis',(0.,0.,-1.)); #37221=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #37222=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37223=DIRECTION('center_axis',(1.,0.,0.)); #37224=DIRECTION('ref_axis',(0.,0.,-1.)); #37225=DIRECTION('center_axis',(1.,0.,0.)); #37226=DIRECTION('ref_axis',(0.,0.,-1.)); #37227=DIRECTION('center_axis',(1.,0.,0.)); #37228=DIRECTION('ref_axis',(0.,1.,0.)); #37229=DIRECTION('center_axis',(1.,0.,0.)); #37230=DIRECTION('ref_axis',(0.,0.,-1.)); #37231=DIRECTION('',(-0.866025403784491,0.49999999999991,6.12323399573566E-17)); #37232=DIRECTION('center_axis',(1.,0.,0.)); #37233=DIRECTION('ref_axis',(0.,1.,0.)); #37234=DIRECTION('center_axis',(1.,0.,0.)); #37235=DIRECTION('ref_axis',(0.,0.,-1.)); #37236=DIRECTION('',(-1.,0.,0.)); #37237=DIRECTION('center_axis',(-1.,0.,0.)); #37238=DIRECTION('ref_axis',(0.,1.,0.)); #37239=DIRECTION('center_axis',(1.,0.,0.)); #37240=DIRECTION('ref_axis',(0.,0.,-1.)); #37241=DIRECTION('',(-0.707106781186546,-0.707106781186549,-8.65956056235495E-17)); #37242=DIRECTION('center_axis',(1.,0.,0.)); #37243=DIRECTION('ref_axis',(0.,0.,-1.)); #37244=DIRECTION('center_axis',(1.,0.,0.)); #37245=DIRECTION('ref_axis',(0.,0.,-1.)); #37246=DIRECTION('center_axis',(1.,0.,0.)); #37247=DIRECTION('ref_axis',(0.,0.,-1.)); #37248=DIRECTION('center_axis',(1.,0.,0.)); #37249=DIRECTION('ref_axis',(0.,0.,-1.)); #37250=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #37251=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37252=DIRECTION('center_axis',(1.,0.,0.)); #37253=DIRECTION('ref_axis',(0.,0.,-1.)); #37254=DIRECTION('center_axis',(1.,0.,0.)); #37255=DIRECTION('ref_axis',(0.,1.,0.)); #37256=DIRECTION('center_axis',(1.,0.,0.)); #37257=DIRECTION('ref_axis',(0.,0.,-1.)); #37258=DIRECTION('',(-1.,0.,0.)); #37259=DIRECTION('center_axis',(1.,0.,0.)); #37260=DIRECTION('ref_axis',(0.,0.,-1.)); #37261=DIRECTION('center_axis',(1.,0.,0.)); #37262=DIRECTION('ref_axis',(0.,0.,-1.)); #37263=DIRECTION('center_axis',(1.,0.,0.)); #37264=DIRECTION('ref_axis',(0.,0.,-1.)); #37265=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #37266=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37267=DIRECTION('center_axis',(1.,0.,0.)); #37268=DIRECTION('ref_axis',(0.,0.,-1.)); #37269=DIRECTION('center_axis',(1.,0.,0.)); #37270=DIRECTION('ref_axis',(0.,0.,-1.)); #37271=DIRECTION('center_axis',(1.,0.,0.)); #37272=DIRECTION('ref_axis',(0.,1.,0.)); #37273=DIRECTION('center_axis',(1.,0.,0.)); #37274=DIRECTION('ref_axis',(0.,0.,-1.)); #37275=DIRECTION('',(-1.,0.,0.)); #37276=DIRECTION('center_axis',(1.,0.,0.)); #37277=DIRECTION('ref_axis',(0.,0.,-1.)); #37278=DIRECTION('center_axis',(1.,0.,0.)); #37279=DIRECTION('ref_axis',(0.,0.,-1.)); #37280=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #37281=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37282=DIRECTION('center_axis',(1.,0.,0.)); #37283=DIRECTION('ref_axis',(0.,0.,-1.)); #37284=DIRECTION('center_axis',(1.,0.,0.)); #37285=DIRECTION('ref_axis',(0.,0.,-1.)); #37286=DIRECTION('center_axis',(1.,0.,0.)); #37287=DIRECTION('ref_axis',(0.,1.,0.)); #37288=DIRECTION('center_axis',(1.,0.,0.)); #37289=DIRECTION('ref_axis',(0.,0.,-1.)); #37290=DIRECTION('',(-0.965925826289083,0.258819045102465,3.16961915143109E-17)); #37291=DIRECTION('center_axis',(1.,0.,0.)); #37292=DIRECTION('ref_axis',(0.,1.,0.)); #37293=DIRECTION('center_axis',(1.,0.,0.)); #37294=DIRECTION('ref_axis',(0.,0.,-1.)); #37295=DIRECTION('',(-1.,0.,0.)); #37296=DIRECTION('center_axis',(1.,0.,0.)); #37297=DIRECTION('ref_axis',(0.,0.,-1.)); #37298=DIRECTION('center_axis',(-1.,0.,0.)); #37299=DIRECTION('ref_axis',(0.,0.,1.)); #37300=DIRECTION('axis',(0.,0.,1.)); #37301=DIRECTION('refdir',(1.,0.,0.)); #37302=DIRECTION('center_axis',(0.,1.,0.)); #37303=DIRECTION('ref_axis',(0.,0.,1.)); #37304=DIRECTION('',(1.,0.,0.)); #37305=DIRECTION('',(0.,0.,1.)); #37306=DIRECTION('',(1.,0.,0.)); #37307=DIRECTION('',(0.,0.,-1.)); #37308=DIRECTION('center_axis',(0.,0.,-1.)); #37309=DIRECTION('ref_axis',(0.,-1.,0.)); #37310=DIRECTION('center_axis',(0.,0.,-1.)); #37311=DIRECTION('ref_axis',(0.,-1.,0.)); #37312=DIRECTION('',(0.,0.,-1.)); #37313=DIRECTION('center_axis',(0.,0.,-1.)); #37314=DIRECTION('ref_axis',(0.,-1.,0.)); #37315=DIRECTION('center_axis',(1.11022302462516E-16,-1.,0.)); #37316=DIRECTION('ref_axis',(0.,0.,-1.)); #37317=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #37318=DIRECTION('',(0.,0.,-1.)); #37319=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #37320=DIRECTION('center_axis',(0.,0.,-1.)); #37321=DIRECTION('ref_axis',(0.,1.,0.)); #37322=DIRECTION('center_axis',(0.,0.,-1.)); #37323=DIRECTION('ref_axis',(0.,1.,0.)); #37324=DIRECTION('center_axis',(0.,0.,-1.)); #37325=DIRECTION('ref_axis',(0.,1.,0.)); #37326=DIRECTION('center_axis',(0.,0.,1.)); #37327=DIRECTION('ref_axis',(1.,0.,0.)); #37328=DIRECTION('center_axis',(0.,0.,1.)); #37329=DIRECTION('ref_axis',(1.,0.,0.)); #37330=DIRECTION('axis',(0.,0.,1.)); #37331=DIRECTION('refdir',(1.,0.,0.)); #37332=DIRECTION('center_axis',(1.,0.,0.)); #37333=DIRECTION('ref_axis',(0.,0.,-1.)); #37334=DIRECTION('',(0.,-1.,0.)); #37335=DIRECTION('',(0.,0.,1.)); #37336=DIRECTION('',(0.,1.,0.)); #37337=DIRECTION('',(0.,0.,-1.)); #37338=DIRECTION('center_axis',(0.,0.,1.)); #37339=DIRECTION('ref_axis',(0.,1.,0.)); #37340=DIRECTION('center_axis',(0.,0.,-1.)); #37341=DIRECTION('ref_axis',(-1.,0.,0.)); #37342=DIRECTION('center_axis',(0.,0.,1.)); #37343=DIRECTION('ref_axis',(-1.,0.,0.)); #37344=DIRECTION('center_axis',(0.,0.,1.)); #37345=DIRECTION('ref_axis',(-1.,0.,0.)); #37346=DIRECTION('',(0.,0.,-1.)); #37347=DIRECTION('center_axis',(0.,0.,-1.)); #37348=DIRECTION('ref_axis',(-1.,0.,0.)); #37349=DIRECTION('',(0.,0.,-1.)); #37350=DIRECTION('center_axis',(0.,0.,-1.)); #37351=DIRECTION('ref_axis',(-1.,0.,0.)); #37352=DIRECTION('center_axis',(0.,0.,-1.)); #37353=DIRECTION('ref_axis',(-1.,0.,0.)); #37354=DIRECTION('',(0.,0.,1.)); #37355=DIRECTION('center_axis',(0.,0.,1.)); #37356=DIRECTION('ref_axis',(-1.,0.,0.)); #37357=DIRECTION('center_axis',(0.,0.,-1.)); #37358=DIRECTION('ref_axis',(-1.,0.,0.)); #37359=DIRECTION('center_axis',(0.,0.,-1.)); #37360=DIRECTION('ref_axis',(-1.,0.,0.)); #37361=DIRECTION('center_axis',(0.,0.,-1.)); #37362=DIRECTION('ref_axis',(-1.,0.,0.)); #37363=DIRECTION('center_axis',(0.,0.,-1.)); #37364=DIRECTION('ref_axis',(-1.,0.,0.)); #37365=DIRECTION('center_axis',(1.,0.,0.)); #37366=DIRECTION('ref_axis',(0.,0.,-1.)); #37367=DIRECTION('',(0.,1.,0.)); #37368=DIRECTION('',(0.,1.,0.)); #37369=DIRECTION('center_axis',(0.,0.,-1.)); #37370=DIRECTION('ref_axis',(0.,1.,0.)); #37371=DIRECTION('center_axis',(0.,0.,1.)); #37372=DIRECTION('ref_axis',(1.,0.,0.)); #37373=DIRECTION('center_axis',(0.,0.,1.)); #37374=DIRECTION('ref_axis',(-1.,0.,0.)); #37375=DIRECTION('center_axis',(0.,0.,1.)); #37376=DIRECTION('ref_axis',(-1.,0.,0.)); #37377=DIRECTION('',(-0.707106781186545,-8.6595605623549E-17,-0.70710678118655)); #37378=DIRECTION('center_axis',(0.,0.,1.)); #37379=DIRECTION('ref_axis',(-1.,0.,0.)); #37380=DIRECTION('center_axis',(0.,0.,-1.)); #37381=DIRECTION('ref_axis',(-1.,0.,0.)); #37382=DIRECTION('center_axis',(0.,0.,1.)); #37383=DIRECTION('ref_axis',(-1.,0.,0.)); #37384=DIRECTION('center_axis',(0.,0.,-1.)); #37385=DIRECTION('ref_axis',(-1.,0.,0.)); #37386=DIRECTION('center_axis',(0.,0.,-1.)); #37387=DIRECTION('ref_axis',(-1.,0.,0.)); #37388=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #37389=DIRECTION('center_axis',(0.,0.,-1.)); #37390=DIRECTION('ref_axis',(-1.,0.,0.)); #37391=DIRECTION('',(0.,0.,1.)); #37392=DIRECTION('center_axis',(0.,0.,-1.)); #37393=DIRECTION('ref_axis',(-1.,0.,0.)); #37394=DIRECTION('center_axis',(0.,0.,-1.)); #37395=DIRECTION('ref_axis',(-1.,0.,0.)); #37396=DIRECTION('',(0.707106781186547,8.65956056235493E-17,-0.707106781186548)); #37397=DIRECTION('center_axis',(0.,0.,1.)); #37398=DIRECTION('ref_axis',(-1.,0.,0.)); #37399=DIRECTION('center_axis',(0.,0.,-1.)); #37400=DIRECTION('ref_axis',(-1.,0.,0.)); #37401=DIRECTION('',(0.,0.,1.)); #37402=DIRECTION('center_axis',(0.,0.,-1.)); #37403=DIRECTION('ref_axis',(-1.,0.,0.)); #37404=DIRECTION('axis',(0.,0.,1.)); #37405=DIRECTION('refdir',(1.,0.,0.)); #37406=DIRECTION('center_axis',(0.,0.,1.)); #37407=DIRECTION('ref_axis',(1.,0.,0.)); #37408=DIRECTION('center_axis',(0.,0.,-1.)); #37409=DIRECTION('ref_axis',(1.,0.,0.)); #37410=DIRECTION('',(0.,0.,-1.)); #37411=DIRECTION('center_axis',(0.,0.,1.)); #37412=DIRECTION('ref_axis',(1.,0.,0.)); #37413=DIRECTION('center_axis',(0.,0.,1.)); #37414=DIRECTION('ref_axis',(1.,0.,0.)); #37415=DIRECTION('center_axis',(0.,0.,-1.)); #37416=DIRECTION('ref_axis',(1.,0.,0.)); #37417=DIRECTION('',(0.,0.,-1.)); #37418=DIRECTION('center_axis',(0.,0.,1.)); #37419=DIRECTION('ref_axis',(1.,0.,0.)); #37420=DIRECTION('center_axis',(0.,0.,1.)); #37421=DIRECTION('ref_axis',(1.,0.,0.)); #37422=DIRECTION('center_axis',(0.,0.,-1.)); #37423=DIRECTION('ref_axis',(1.,0.,0.)); #37424=DIRECTION('',(0.,0.,-1.)); #37425=DIRECTION('center_axis',(0.,0.,1.)); #37426=DIRECTION('ref_axis',(1.,0.,0.)); #37427=DIRECTION('center_axis',(0.,0.,1.)); #37428=DIRECTION('ref_axis',(1.,0.,0.)); #37429=DIRECTION('center_axis',(0.,0.,-1.)); #37430=DIRECTION('ref_axis',(1.,0.,0.)); #37431=DIRECTION('',(0.,0.,-1.)); #37432=DIRECTION('center_axis',(0.,0.,1.)); #37433=DIRECTION('ref_axis',(1.,0.,0.)); #37434=DIRECTION('center_axis',(0.,0.,1.)); #37435=DIRECTION('ref_axis',(1.,0.,0.)); #37436=DIRECTION('center_axis',(0.,0.,1.)); #37437=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #37438=DIRECTION('',(-1.,-1.07657990266682E-16,0.)); #37439=DIRECTION('center_axis',(0.,0.,1.)); #37440=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #37441=DIRECTION('',(-1.,0.,0.)); #37442=DIRECTION('',(0.,1.,0.)); #37443=DIRECTION('',(1.,3.41307652174969E-16,0.)); #37444=DIRECTION('',(0.999390827019096,-0.0348994967025007,0.)); #37445=DIRECTION('center_axis',(0.,0.,-1.)); #37446=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #37447=DIRECTION('center_axis',(0.,0.,-1.)); #37448=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #37449=DIRECTION('',(-0.0871557427476584,-0.996194698091746,0.)); #37450=DIRECTION('center_axis',(0.,0.,-1.)); #37451=DIRECTION('ref_axis',(0.,-1.,0.)); #37452=DIRECTION('',(-1.,2.18390116002604E-16,0.)); #37453=DIRECTION('center_axis',(0.,0.,-1.)); #37454=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #37455=DIRECTION('center_axis',(1.07657990266682E-16,-1.,0.)); #37456=DIRECTION('ref_axis',(1.,1.07657990266682E-16,0.)); #37457=DIRECTION('',(0.,0.,-1.)); #37458=DIRECTION('',(1.,1.07657990266682E-16,0.)); #37459=DIRECTION('',(0.,0.,1.)); #37460=DIRECTION('center_axis',(0.,0.,1.)); #37461=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #37462=DIRECTION('center_axis',(0.,0.,-1.)); #37463=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #37464=DIRECTION('',(0.,0.,1.)); #37465=DIRECTION('center_axis',(0.,-1.,0.)); #37466=DIRECTION('ref_axis',(1.,0.,0.)); #37467=DIRECTION('',(1.,0.,0.)); #37468=DIRECTION('',(0.,0.,1.)); #37469=DIRECTION('center_axis',(-1.,0.,0.)); #37470=DIRECTION('ref_axis',(0.,-1.,0.)); #37471=DIRECTION('',(0.,-1.,0.)); #37472=DIRECTION('',(0.,0.,1.)); #37473=DIRECTION('center_axis',(-3.41307652174969E-16,1.,0.)); #37474=DIRECTION('ref_axis',(-1.,-3.41307652174969E-16,0.)); #37475=DIRECTION('',(-1.,-3.41307652174969E-16,0.)); #37476=DIRECTION('',(0.,0.,1.)); #37477=DIRECTION('center_axis',(0.0348994967025007,0.999390827019096,0.)); #37478=DIRECTION('ref_axis',(-0.999390827019096,0.0348994967025007,0.)); #37479=DIRECTION('',(-0.999390827019096,0.0348994967025007,0.)); #37480=DIRECTION('',(0.,0.,1.)); #37481=DIRECTION('center_axis',(0.,0.,1.)); #37482=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #37483=DIRECTION('center_axis',(0.,0.,1.)); #37484=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #37485=DIRECTION('',(0.,0.,1.)); #37486=DIRECTION('center_axis',(0.,0.,1.)); #37487=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #37488=DIRECTION('center_axis',(0.,0.,1.)); #37489=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #37490=DIRECTION('',(0.,0.,1.)); #37491=DIRECTION('center_axis',(0.996194698091746,-0.0871557427476585,0.)); #37492=DIRECTION('ref_axis',(0.0871557427476584,0.996194698091746,0.)); #37493=DIRECTION('',(0.0871557427476584,0.996194698091746,0.)); #37494=DIRECTION('',(0.,0.,1.)); #37495=DIRECTION('center_axis',(0.,0.,1.)); #37496=DIRECTION('ref_axis',(0.,-1.,0.)); #37497=DIRECTION('center_axis',(0.,0.,1.)); #37498=DIRECTION('ref_axis',(0.,-1.,0.)); #37499=DIRECTION('',(0.,0.,1.)); #37500=DIRECTION('center_axis',(-2.18390116002604E-16,-1.,0.)); #37501=DIRECTION('ref_axis',(1.,-2.18390116002604E-16,0.)); #37502=DIRECTION('',(1.,-2.18390116002604E-16,0.)); #37503=DIRECTION('',(0.,0.,1.)); #37504=DIRECTION('center_axis',(0.,0.,1.)); #37505=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #37506=DIRECTION('center_axis',(0.,0.,1.)); #37507=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #37508=DIRECTION('',(0.,0.,1.)); #37509=DIRECTION('center_axis',(0.,0.,1.)); #37510=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #37511=DIRECTION('center_axis',(0.,0.,-1.)); #37512=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #37513=DIRECTION('center_axis',(0.,0.,1.)); #37514=DIRECTION('ref_axis',(1.,0.,0.)); #37515=DIRECTION('axis',(0.,0.,1.)); #37516=DIRECTION('refdir',(1.,0.,0.)); #37517=DIRECTION('center_axis',(0.,0.,1.)); #37518=DIRECTION('ref_axis',(1.,0.,0.)); #37519=DIRECTION('center_axis',(0.,0.,-1.)); #37520=DIRECTION('ref_axis',(1.,0.,0.)); #37521=DIRECTION('',(0.,0.,-1.)); #37522=DIRECTION('center_axis',(0.,0.,1.)); #37523=DIRECTION('ref_axis',(1.,0.,0.)); #37524=DIRECTION('center_axis',(0.,0.,1.)); #37525=DIRECTION('ref_axis',(1.,0.,0.)); #37526=DIRECTION('center_axis',(0.,0.,-1.)); #37527=DIRECTION('ref_axis',(1.,0.,0.)); #37528=DIRECTION('',(0.,0.,-1.)); #37529=DIRECTION('center_axis',(0.,0.,1.)); #37530=DIRECTION('ref_axis',(1.,0.,0.)); #37531=DIRECTION('center_axis',(0.,0.,1.)); #37532=DIRECTION('ref_axis',(1.,0.,0.)); #37533=DIRECTION('center_axis',(0.,0.,-1.)); #37534=DIRECTION('ref_axis',(1.,0.,0.)); #37535=DIRECTION('',(0.,0.,-1.)); #37536=DIRECTION('center_axis',(0.,0.,1.)); #37537=DIRECTION('ref_axis',(1.,0.,0.)); #37538=DIRECTION('center_axis',(0.,0.,1.)); #37539=DIRECTION('ref_axis',(1.,0.,0.)); #37540=DIRECTION('center_axis',(0.,0.,-1.)); #37541=DIRECTION('ref_axis',(1.,0.,0.)); #37542=DIRECTION('',(0.,0.,-1.)); #37543=DIRECTION('center_axis',(0.,0.,1.)); #37544=DIRECTION('ref_axis',(1.,0.,0.)); #37545=DIRECTION('center_axis',(0.,0.,1.)); #37546=DIRECTION('ref_axis',(1.,0.,0.)); #37547=DIRECTION('center_axis',(0.,0.,1.)); #37548=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #37549=DIRECTION('',(-1.,-1.07657990266682E-16,0.)); #37550=DIRECTION('center_axis',(0.,0.,1.)); #37551=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #37552=DIRECTION('',(-1.,0.,0.)); #37553=DIRECTION('',(0.,1.,0.)); #37554=DIRECTION('',(1.,3.41307652174969E-16,0.)); #37555=DIRECTION('',(0.999390827019096,-0.0348994967025007,0.)); #37556=DIRECTION('center_axis',(0.,0.,-1.)); #37557=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #37558=DIRECTION('center_axis',(0.,0.,-1.)); #37559=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #37560=DIRECTION('',(-0.0871557427476584,-0.996194698091746,0.)); #37561=DIRECTION('center_axis',(0.,0.,-1.)); #37562=DIRECTION('ref_axis',(0.,-1.,0.)); #37563=DIRECTION('',(-1.,2.18390116002604E-16,0.)); #37564=DIRECTION('center_axis',(0.,0.,-1.)); #37565=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #37566=DIRECTION('center_axis',(1.07657990266682E-16,-1.,0.)); #37567=DIRECTION('ref_axis',(1.,1.07657990266682E-16,0.)); #37568=DIRECTION('',(0.,0.,-1.)); #37569=DIRECTION('',(1.,1.07657990266682E-16,0.)); #37570=DIRECTION('',(0.,0.,1.)); #37571=DIRECTION('center_axis',(0.,0.,1.)); #37572=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #37573=DIRECTION('center_axis',(0.,0.,-1.)); #37574=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #37575=DIRECTION('',(0.,0.,1.)); #37576=DIRECTION('center_axis',(0.,-1.,0.)); #37577=DIRECTION('ref_axis',(1.,0.,0.)); #37578=DIRECTION('',(1.,0.,0.)); #37579=DIRECTION('',(0.,0.,1.)); #37580=DIRECTION('center_axis',(-1.,0.,0.)); #37581=DIRECTION('ref_axis',(0.,-1.,0.)); #37582=DIRECTION('',(0.,-1.,0.)); #37583=DIRECTION('',(0.,0.,1.)); #37584=DIRECTION('center_axis',(-3.41307652174969E-16,1.,0.)); #37585=DIRECTION('ref_axis',(-1.,-3.41307652174969E-16,0.)); #37586=DIRECTION('',(-1.,-3.41307652174969E-16,0.)); #37587=DIRECTION('',(0.,0.,1.)); #37588=DIRECTION('center_axis',(0.0348994967025007,0.999390827019096,0.)); #37589=DIRECTION('ref_axis',(-0.999390827019096,0.0348994967025007,0.)); #37590=DIRECTION('',(-0.999390827019096,0.0348994967025007,0.)); #37591=DIRECTION('',(0.,0.,1.)); #37592=DIRECTION('center_axis',(0.,0.,1.)); #37593=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #37594=DIRECTION('center_axis',(0.,0.,1.)); #37595=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #37596=DIRECTION('',(0.,0.,1.)); #37597=DIRECTION('center_axis',(0.,0.,1.)); #37598=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #37599=DIRECTION('center_axis',(0.,0.,1.)); #37600=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #37601=DIRECTION('',(0.,0.,1.)); #37602=DIRECTION('center_axis',(0.996194698091746,-0.0871557427476585,0.)); #37603=DIRECTION('ref_axis',(0.0871557427476584,0.996194698091746,0.)); #37604=DIRECTION('',(0.0871557427476584,0.996194698091746,0.)); #37605=DIRECTION('',(0.,0.,1.)); #37606=DIRECTION('center_axis',(0.,0.,1.)); #37607=DIRECTION('ref_axis',(0.,-1.,0.)); #37608=DIRECTION('center_axis',(0.,0.,1.)); #37609=DIRECTION('ref_axis',(0.,-1.,0.)); #37610=DIRECTION('',(0.,0.,1.)); #37611=DIRECTION('center_axis',(-2.18390116002604E-16,-1.,0.)); #37612=DIRECTION('ref_axis',(1.,-2.18390116002604E-16,0.)); #37613=DIRECTION('',(1.,-2.18390116002604E-16,0.)); #37614=DIRECTION('',(0.,0.,1.)); #37615=DIRECTION('center_axis',(0.,0.,1.)); #37616=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #37617=DIRECTION('center_axis',(0.,0.,1.)); #37618=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #37619=DIRECTION('',(0.,0.,1.)); #37620=DIRECTION('center_axis',(0.,0.,1.)); #37621=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #37622=DIRECTION('center_axis',(0.,0.,-1.)); #37623=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #37624=DIRECTION('center_axis',(0.,0.,1.)); #37625=DIRECTION('ref_axis',(1.,0.,0.)); #37626=DIRECTION('axis',(0.,0.,1.)); #37627=DIRECTION('refdir',(1.,0.,0.)); #37628=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37629=DIRECTION('ref_axis',(0.,0.,-1.)); #37630=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37631=DIRECTION('ref_axis',(4.54153436131127E-18,-1.,1.22460635382238E-16)); #37632=DIRECTION('center_axis',(4.54153436131127E-18,-1.,-1.22464679914735E-16)); #37633=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37634=DIRECTION('center_axis',(-1.,-4.54153436131127E-18,0.)); #37635=DIRECTION('ref_axis',(4.33680868994202E-18,-1.,1.22460635382238E-16)); #37636=DIRECTION('center_axis',(-1.,-4.54153436131127E-18,0.)); #37637=DIRECTION('ref_axis',(4.33680868994202E-18,-1.,1.22460635382238E-16)); #37638=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37639=DIRECTION('ref_axis',(0.,1.,0.)); #37640=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37641=DIRECTION('ref_axis',(0.,-1.,0.)); #37642=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37643=DIRECTION('ref_axis',(0.,-1.,0.)); #37644=DIRECTION('',(-1.,-4.54153436131127E-18,0.)); #37645=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37646=DIRECTION('ref_axis',(0.,0.,-1.)); #37647=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37648=DIRECTION('ref_axis',(0.,1.,0.)); #37649=DIRECTION('center_axis',(-1.,-4.54153436131127E-18,0.)); #37650=DIRECTION('ref_axis',(2.0300361531914E-18,-1.,0.)); #37651=DIRECTION('center_axis',(-1.,-4.54153436131127E-18,0.)); #37652=DIRECTION('ref_axis',(4.54153436131127E-18,-1.,0.)); #37653=DIRECTION('',(-0.707106781186548,0.707106781186547,8.65956056235493E-17)); #37654=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37655=DIRECTION('ref_axis',(0.,0.,-1.)); #37656=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37657=DIRECTION('ref_axis',(0.,0.,-1.)); #37658=DIRECTION('center_axis',(-1.,-4.54153436131127E-18,0.)); #37659=DIRECTION('ref_axis',(0.,-1.,1.22460635382238E-16)); #37660=DIRECTION('center_axis',(-1.,-4.54153436131127E-18,0.)); #37661=DIRECTION('ref_axis',(0.,-1.,1.22460635382238E-16)); #37662=DIRECTION('center_axis',(4.54153436131127E-18,-1.,-1.22464679914735E-16)); #37663=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #37664=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37665=DIRECTION('ref_axis',(4.54153436131127E-18,-1.,1.22460635382238E-16)); #37666=DIRECTION('center_axis',(-1.,-4.54153436131127E-18,0.)); #37667=DIRECTION('ref_axis',(0.,0.,1.)); #37668=DIRECTION('',(-4.3666005578652E-33,9.61481343191782E-16,1.)); #37669=DIRECTION('',(3.9330841290555E-18,-0.866025403784438,0.500000000000001)); #37670=DIRECTION('',(3.9330841290555E-18,-0.866025403784439,-0.5)); #37671=DIRECTION('',(4.36660055786519E-34,-9.61481343191782E-17,-1.)); #37672=DIRECTION('',(-3.9330841290555E-18,0.866025403784439,-0.5)); #37673=DIRECTION('',(-3.9330841290555E-18,0.866025403784439,0.5)); #37674=DIRECTION('center_axis',(1.,4.54153436131127E-18,0.)); #37675=DIRECTION('ref_axis',(0.,1.,0.)); #37676=DIRECTION('',(-1.,-4.54153436131127E-18,0.)); #37677=DIRECTION('center_axis',(2.27076718065564E-18,-0.5,-0.866025403784439)); #37678=DIRECTION('ref_axis',(-1.,0.,-2.622056086037E-18)); #37679=DIRECTION('',(-1.,-4.54153436131127E-18,0.)); #37680=DIRECTION('',(-1.,-4.54153436131127E-18,0.)); #37681=DIRECTION('center_axis',(-2.27076718065564E-18,0.5,-0.866025403784439)); #37682=DIRECTION('ref_axis',(-1.,0.,2.622056086037E-18)); #37683=DIRECTION('',(-1.,-4.54153436131127E-18,0.)); #37684=DIRECTION('center_axis',(-4.54153436131127E-18,1.,-9.61481343191782E-16)); #37685=DIRECTION('ref_axis',(0.,9.61481343191782E-16,1.)); #37686=DIRECTION('',(-1.,-4.54153436131127E-18,0.)); #37687=DIRECTION('center_axis',(-2.27076718065564E-18,0.500000000000001, 0.866025403784438)); #37688=DIRECTION('ref_axis',(1.,0.,2.622056086037E-18)); #37689=DIRECTION('',(1.,4.54153436131127E-18,0.)); #37690=DIRECTION('center_axis',(4.54153436131127E-18,-1.,9.61481343191782E-17)); #37691=DIRECTION('ref_axis',(0.,-9.61481343191782E-17,-1.)); #37692=DIRECTION('',(-1.,-4.54153436131127E-18,0.)); #37693=DIRECTION('center_axis',(2.27076718065564E-18,-0.5,0.866025403784439)); #37694=DIRECTION('ref_axis',(1.,0.,-2.622056086037E-18)); #37695=DIRECTION('center_axis',(-1.,-4.54153436131127E-18,0.)); #37696=DIRECTION('ref_axis',(0.,1.,0.)); #37697=DIRECTION('',(-0.5,-0.866025403784439,-1.06057523872491E-16)); #37698=DIRECTION('axis',(0.,0.,1.)); #37699=DIRECTION('refdir',(1.,0.,0.)); #37700=DIRECTION('center_axis',(0.843391445812891,0.537299608346816,0.)); #37701=DIRECTION('ref_axis',(-0.37992819659091,0.596367810529021,0.707106781186548)); #37702=DIRECTION('',(-0.84339144581289,-0.537299608346816,0.)); #37703=DIRECTION('',(0.84339144581289,0.537299608346816,0.)); #37704=DIRECTION('center_axis',(0.,0.,1.)); #37705=DIRECTION('ref_axis',(1.,0.,0.)); #37706=DIRECTION('center_axis',(0.,0.,-1.)); #37707=DIRECTION('ref_axis',(-0.895263755035904,-0.445536540498097,0.)); #37708=DIRECTION('',(-0.843391445812885,-0.537299608346824,0.)); #37709=DIRECTION('',(0.88701083317822,-0.461748613235038,0.)); #37710=DIRECTION('',(0.843391445812885,0.537299608346824,0.)); #37711=DIRECTION('center_axis',(0.,0.,1.)); #37712=DIRECTION('ref_axis',(0.897869114029399,0.440262483153019,0.)); #37713=DIRECTION('center_axis',(0.,0.,1.)); #37714=DIRECTION('ref_axis',(-0.895263755035904,-0.445536540498097,0.)); #37715=DIRECTION('',(0.,0.,1.)); #37716=DIRECTION('center_axis',(0.,0.,-1.)); #37717=DIRECTION('ref_axis',(-0.895263755035904,-0.445536540498097,0.)); #37718=DIRECTION('',(0.,0.,1.)); #37719=DIRECTION('center_axis',(-0.843391445812891,-0.537299608346816,0.)); #37720=DIRECTION('ref_axis',(-0.37992819659091,0.596367810529021,-0.707106781186548)); #37721=DIRECTION('',(0.84339144581289,0.537299608346816,0.)); #37722=DIRECTION('',(-0.84339144581289,-0.537299608346816,0.)); #37723=DIRECTION('center_axis',(-0.537299608346816,0.843391445812891,0.)); #37724=DIRECTION('ref_axis',(-0.84339144581289,-0.537299608346816,0.)); #37725=DIRECTION('',(0.,0.,1.)); #37726=DIRECTION('',(-0.84339144581289,-0.537299608346816,0.)); #37727=DIRECTION('',(0.,0.,1.)); #37728=DIRECTION('center_axis',(0.,0.,1.)); #37729=DIRECTION('ref_axis',(-0.895263755035904,-0.445536540498097,0.)); #37730=DIRECTION('center_axis',(0.,0.,1.)); #37731=DIRECTION('ref_axis',(-0.895263755035904,-0.445536540498097,0.)); #37732=DIRECTION('',(0.,0.,1.)); #37733=DIRECTION('center_axis',(0.,0.,1.)); #37734=DIRECTION('ref_axis',(-0.895263755035904,-0.445536540498097,0.)); #37735=DIRECTION('center_axis',(0.843391445812891,0.537299608346816,0.)); #37736=DIRECTION('ref_axis',(-0.37992819659091,0.596367810529022,-0.707106781186547)); #37737=DIRECTION('',(0.84339144581289,0.537299608346816,0.)); #37738=DIRECTION('',(-0.84339144581289,-0.537299608346816,0.)); #37739=DIRECTION('center_axis',(0.,0.,1.)); #37740=DIRECTION('ref_axis',(1.,0.,0.)); #37741=DIRECTION('center_axis',(0.,0.,1.)); #37742=DIRECTION('ref_axis',(0.897869114029399,0.440262483153019,0.)); #37743=DIRECTION('',(0.843391445812885,0.537299608346824,0.)); #37744=DIRECTION('center_axis',(0.,0.,-1.)); #37745=DIRECTION('ref_axis',(5.55111512312577E-16,-1.,0.)); #37746=DIRECTION('',(-0.461748613235034,-0.887010833178222,0.)); #37747=DIRECTION('',(-0.887010833178222,0.461748613235034,0.)); #37748=DIRECTION('',(-0.461748613235034,-0.887010833178222,0.)); #37749=DIRECTION('center_axis',(0.,0.,-1.)); #37750=DIRECTION('ref_axis',(0.537299608346824,-0.843391445812885,0.)); #37751=DIRECTION('',(-0.843391445812885,-0.537299608346824,0.)); #37752=DIRECTION('center_axis',(-0.843391445812891,-0.537299608346816,0.)); #37753=DIRECTION('ref_axis',(-0.37992819659091,0.596367810529022,0.707106781186547)); #37754=DIRECTION('',(0.84339144581289,0.537299608346816,0.)); #37755=DIRECTION('center_axis',(0.,0.,1.)); #37756=DIRECTION('ref_axis',(1.,0.,0.)); #37757=DIRECTION('',(0.843391445812885,0.537299608346824,0.)); #37758=DIRECTION('center_axis',(0.,0.,1.)); #37759=DIRECTION('ref_axis',(0.537299608346824,-0.843391445812885,0.)); #37760=DIRECTION('',(0.461748613235034,0.887010833178222,0.)); #37761=DIRECTION('',(0.887010833178222,-0.461748613235034,0.)); #37762=DIRECTION('',(0.461748613235034,0.887010833178222,0.)); #37763=DIRECTION('center_axis',(0.,0.,1.)); #37764=DIRECTION('ref_axis',(5.55111512312577E-16,-1.,0.)); #37765=DIRECTION('',(-0.843391445812885,-0.537299608346824,0.)); #37766=DIRECTION('center_axis',(0.,0.,-1.)); #37767=DIRECTION('ref_axis',(0.897869114029399,0.440262483153019,0.)); #37768=DIRECTION('center_axis',(-0.887010833178222,0.461748613235034,0.)); #37769=DIRECTION('ref_axis',(0.326505575621977,0.627211375126251,0.707106781186547)); #37770=DIRECTION('center_axis',(-0.887010833178222,0.461748613235034,0.)); #37771=DIRECTION('ref_axis',(0.326505575621977,0.627211375126251,0.707106781186547)); #37772=DIRECTION('center_axis',(-0.887010833178222,0.461748613235034,0.)); #37773=DIRECTION('ref_axis',(0.326505575621977,0.627211375126251,0.707106781186547)); #37774=DIRECTION('',(-0.887010833178222,0.461748613235034,0.)); #37775=DIRECTION('center_axis',(0.461748613235034,0.887010833178222,0.)); #37776=DIRECTION('ref_axis',(-0.887010833178222,0.461748613235034,0.)); #37777=DIRECTION('',(0.,0.,1.)); #37778=DIRECTION('',(0.887010833178222,-0.461748613235034,0.)); #37779=DIRECTION('',(0.,0.,-1.)); #37780=DIRECTION('center_axis',(0.887010833178222,-0.461748613235034,0.)); #37781=DIRECTION('ref_axis',(0.461748613235034,0.887010833178222,0.)); #37782=DIRECTION('',(0.,0.,1.)); #37783=DIRECTION('center_axis',(0.887010833178222,-0.461748613235034,0.)); #37784=DIRECTION('ref_axis',(0.32650557562198,0.627211375126248,-0.707106781186548)); #37785=DIRECTION('',(0.,0.,1.)); #37786=DIRECTION('center_axis',(-0.887010833178222,0.461748613235034,0.)); #37787=DIRECTION('ref_axis',(0.326505575621977,0.627211375126251,-0.707106781186547)); #37788=DIRECTION('center_axis',(0.887010833178222,-0.461748613235034,0.)); #37789=DIRECTION('ref_axis',(0.326505575621977,0.627211375126251,-0.707106781186547)); #37790=DIRECTION('center_axis',(-0.887010833178222,0.461748613235034,0.)); #37791=DIRECTION('ref_axis',(0.326505575621977,0.627211375126251,-0.707106781186547)); #37792=DIRECTION('center_axis',(-0.88701083317822,0.461748613235038,0.)); #37793=DIRECTION('ref_axis',(0.32650557562198,0.627211375126248,0.707106781186548)); #37794=DIRECTION('center_axis',(0.887010833178222,-0.461748613235033,0.)); #37795=DIRECTION('ref_axis',(0.32650557562198,0.627211375126248,0.707106781186548)); #37796=DIRECTION('center_axis',(-0.537299608346824,0.843391445812885,0.)); #37797=DIRECTION('ref_axis',(0.843391445812886,0.537299608346824,5.86269111702308E-16)); #37798=DIRECTION('center_axis',(0.537299608346824,-0.843391445812885,0.)); #37799=DIRECTION('ref_axis',(0.843391445812886,0.537299608346824,4.10388378191616E-16)); #37800=DIRECTION('center_axis',(0.537299608346824,-0.843391445812885,0.)); #37801=DIRECTION('ref_axis',(0.843391445812886,0.537299608346824,-4.10388378191616E-16)); #37802=DIRECTION('',(-0.88701083317822,0.461748613235038,0.)); #37803=DIRECTION('center_axis',(-0.537299608346824,0.843391445812885,0.)); #37804=DIRECTION('ref_axis',(0.843391445812886,0.537299608346824,-5.86269111702308E-16)); #37805=DIRECTION('center_axis',(-0.887010833178222,0.461748613235033,0.)); #37806=DIRECTION('ref_axis',(-0.461748613235033,-0.887010833178222,0.)); #37807=DIRECTION('',(0.,0.,-1.)); #37808=DIRECTION('center_axis',(0.,0.,1.)); #37809=DIRECTION('ref_axis',(1.,0.,0.)); #37810=DIRECTION('center_axis',(0.,0.,-1.)); #37811=DIRECTION('ref_axis',(0.897869114029399,0.440262483153019,0.)); #37812=DIRECTION('',(-0.843391445812885,-0.537299608346824,0.)); #37813=DIRECTION('',(0.843391445812885,0.537299608346824,0.)); #37814=DIRECTION('center_axis',(0.,0.,1.)); #37815=DIRECTION('ref_axis',(0.537299608346824,-0.843391445812885,0.)); #37816=DIRECTION('',(0.,0.,1.)); #37817=DIRECTION('center_axis',(0.537299608346824,-0.843391445812885,0.)); #37818=DIRECTION('ref_axis',(0.843391445812885,0.537299608346824,0.)); #37819=DIRECTION('center_axis',(-0.537299608346816,0.843391445812891,0.)); #37820=DIRECTION('ref_axis',(-0.84339144581289,-0.537299608346816,0.)); #37821=DIRECTION('',(0.,0.,1.)); #37822=DIRECTION('center_axis',(0.,0.,1.)); #37823=DIRECTION('ref_axis',(0.897869114029399,0.440262483153019,0.)); #37824=DIRECTION('',(0.,0.,1.)); #37825=DIRECTION('center_axis',(-0.537299608346824,0.843391445812885,0.)); #37826=DIRECTION('ref_axis',(-0.843391445812885,-0.537299608346824,0.)); #37827=DIRECTION('',(0.,0.,1.)); #37828=DIRECTION('center_axis',(0.,0.,1.)); #37829=DIRECTION('ref_axis',(0.537299608346824,-0.843391445812885,0.)); #37830=DIRECTION('',(0.,0.,1.)); #37831=DIRECTION('center_axis',(0.537299608346824,-0.843391445812885,0.)); #37832=DIRECTION('ref_axis',(0.843391445812885,0.537299608346824,0.)); #37833=DIRECTION('center_axis',(0.,0.,1.)); #37834=DIRECTION('ref_axis',(0.897869114029399,0.440262483153019,0.)); #37835=DIRECTION('',(0.,0.,1.)); #37836=DIRECTION('center_axis',(-0.537299608346824,0.843391445812885,0.)); #37837=DIRECTION('ref_axis',(-0.843391445812885,-0.537299608346824,0.)); #37838=DIRECTION('',(0.,0.,1.)); #37839=DIRECTION('center_axis',(0.887010833178222,-0.461748613235034,0.)); #37840=DIRECTION('ref_axis',(0.461748613235034,0.887010833178222,0.)); #37841=DIRECTION('',(0.,0.,-1.)); #37842=DIRECTION('',(0.461748613235034,0.887010833178222,0.)); #37843=DIRECTION('',(0.,0.,1.)); #37844=DIRECTION('center_axis',(0.887010833178222,-0.461748613235034,0.)); #37845=DIRECTION('ref_axis',(0.461748613235034,0.887010833178222,0.)); #37846=DIRECTION('',(0.,0.,1.)); #37847=DIRECTION('',(-0.461748613235034,-0.887010833178222,0.)); #37848=DIRECTION('',(0.,0.,-1.)); #37849=DIRECTION('center_axis',(0.,0.,1.)); #37850=DIRECTION('ref_axis',(5.55111512312577E-16,-1.,0.)); #37851=DIRECTION('center_axis',(0.,0.,1.)); #37852=DIRECTION('ref_axis',(5.55111512312577E-16,-1.,0.)); #37853=DIRECTION('',(0.,0.,1.)); #37854=DIRECTION('',(0.,0.,1.)); #37855=DIRECTION('center_axis',(0.,0.,-1.)); #37856=DIRECTION('ref_axis',(5.55111512312577E-16,-1.,0.)); #37857=DIRECTION('center_axis',(0.,1.,0.)); #37858=DIRECTION('ref_axis',(1.,0.,0.)); #37859=DIRECTION('center_axis',(0.,-1.,0.)); #37860=DIRECTION('ref_axis',(1.,0.,0.)); #37861=DIRECTION('',(0.,-1.,0.)); #37862=DIRECTION('center_axis',(-4.69880665311398E-16,1.,0.)); #37863=DIRECTION('ref_axis',(1.,0.,0.)); #37864=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #37865=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #37866=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #37867=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #37868=DIRECTION('center_axis',(9.39761330622795E-16,1.,0.)); #37869=DIRECTION('ref_axis',(1.,0.,0.)); #37870=DIRECTION('center_axis',(9.39761330622795E-16,1.,0.)); #37871=DIRECTION('ref_axis',(1.,0.,0.)); #37872=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #37873=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #37874=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #37875=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #37876=DIRECTION('center_axis',(-4.69880665311398E-16,1.,0.)); #37877=DIRECTION('ref_axis',(1.,0.,0.)); #37878=DIRECTION('center_axis',(0.,0.,1.)); #37879=DIRECTION('ref_axis',(-0.887010833178222,-0.461748613235034,0.)); #37880=DIRECTION('',(0.,0.,1.)); #37881=DIRECTION('center_axis',(0.,0.,1.)); #37882=DIRECTION('ref_axis',(-0.887010833178222,-0.461748613235034,0.)); #37883=DIRECTION('',(0.,0.,1.)); #37884=DIRECTION('center_axis',(0.,0.,-1.)); #37885=DIRECTION('ref_axis',(-0.887010833178222,-0.461748613235034,0.)); #37886=DIRECTION('',(0.,0.,1.)); #37887=DIRECTION('center_axis',(4.69880665311398E-16,-1.,0.)); #37888=DIRECTION('ref_axis',(1.,4.69880665311398E-16,0.)); #37889=DIRECTION('',(0.,0.,1.)); #37890=DIRECTION('',(1.,4.69880665311398E-16,0.)); #37891=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #37892=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #37893=DIRECTION('',(0.,0.,1.)); #37894=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #37895=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,0.)); #37896=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #37897=DIRECTION('',(0.,0.,1.)); #37898=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #37899=DIRECTION('center_axis',(-9.39761330622795E-16,-1.,0.)); #37900=DIRECTION('ref_axis',(1.,-9.39761330622795E-16,0.)); #37901=DIRECTION('',(1.,-9.39761330622795E-16,0.)); #37902=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186546)); #37903=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #37904=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186546)); #37905=DIRECTION('ref_axis',(0.,-0.707106781186547,-0.707106781186548)); #37906=DIRECTION('',(1.,0.,0.)); #37907=DIRECTION('center_axis',(0.,-0.707106781186549,0.707106781186546)); #37908=DIRECTION('ref_axis',(4.56948104144111E-15,-0.707106781186546,-0.707106781186549)); #37909=DIRECTION('',(1.,0.,0.)); #37910=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186546)); #37911=DIRECTION('ref_axis',(0.,-0.707106781186547,-0.707106781186548)); #37912=DIRECTION('center_axis',(0.,-1.33649560822663E-16,-1.)); #37913=DIRECTION('ref_axis',(0.,-1.,1.33649560822663E-16)); #37914=DIRECTION('',(-6.14427452713415E-17,0.501718089771845,-0.865031189261804)); #37915=DIRECTION('center_axis',(0.,1.04083408558608E-15,-1.)); #37916=DIRECTION('ref_axis',(1.,0.,0.)); #37917=DIRECTION('center_axis',(0.,1.04083408558608E-15,-1.)); #37918=DIRECTION('ref_axis',(0.,1.,1.04083408558608E-15)); #37919=DIRECTION('',(-0.498471124258081,-2.63530532981957E-16,-0.866906303057536)); #37920=DIRECTION('',(-0.498471124258081,-2.63530532981956E-16,0.866906303057536)); #37921=DIRECTION('center_axis',(0.,0.,1.)); #37922=DIRECTION('ref_axis',(1.,0.,0.)); #37923=DIRECTION('',(1.,5.28677630773883E-16,0.)); #37924=DIRECTION('center_axis',(0.,0.,1.)); #37925=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); #37926=DIRECTION('',(0.,1.,0.)); #37927=DIRECTION('center_axis',(0.,0.,-1.)); #37928=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); #37929=DIRECTION('',(1.,0.,0.)); #37930=DIRECTION('center_axis',(0.,0.,-1.)); #37931=DIRECTION('ref_axis',(1.,2.77555756156288E-15,0.)); #37932=DIRECTION('',(-1.38777878078145E-15,-1.,0.)); #37933=DIRECTION('center_axis',(0.,0.,1.)); #37934=DIRECTION('ref_axis',(1.,-2.31296463463574E-16,0.)); #37935=DIRECTION('',(1.,-4.95635278850515E-16,0.)); #37936=DIRECTION('',(1.,0.,0.)); #37937=DIRECTION('center_axis',(0.,1.04083408558608E-15,-1.)); #37938=DIRECTION('ref_axis',(1.,0.,0.)); #37939=DIRECTION('',(1.,0.,0.)); #37940=DIRECTION('',(1.,-4.95635278850515E-16,0.)); #37941=DIRECTION('center_axis',(0.,0.,-1.)); #37942=DIRECTION('ref_axis',(0.887010833178222,-0.461748613235034,0.)); #37943=DIRECTION('',(-1.,9.39761330622795E-16,0.)); #37944=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #37945=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #37946=DIRECTION('',(-1.,-4.69880665311398E-16,0.)); #37947=DIRECTION('',(-0.461748613235034,0.887010833178221,0.)); #37948=DIRECTION('center_axis',(0.,0.,-1.)); #37949=DIRECTION('ref_axis',(0.,1.,0.)); #37950=DIRECTION('',(1.,5.28677630773883E-16,0.)); #37951=DIRECTION('center_axis',(-5.28677630773883E-16,1.,0.)); #37952=DIRECTION('ref_axis',(-1.,-5.28677630773883E-16,0.)); #37953=DIRECTION('',(0.,0.,1.)); #37954=DIRECTION('',(-1.,-5.28677630773883E-16,0.)); #37955=DIRECTION('',(0.498471124258081,2.63530532981957E-16,-0.866906303057536)); #37956=DIRECTION('',(0.498471124258081,2.63530532981956E-16,0.866906303057536)); #37957=DIRECTION('',(-1.,-5.28677630773883E-16,0.)); #37958=DIRECTION('',(0.,0.,1.)); #37959=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186546)); #37960=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #37961=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186546)); #37962=DIRECTION('ref_axis',(8.98201168605648E-16,0.707106781186547,0.707106781186549)); #37963=DIRECTION('center_axis',(0.,-0.707106781186549,0.707106781186546)); #37964=DIRECTION('ref_axis',(5.71185130180139E-15,0.707106781186546,0.707106781186549)); #37965=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186546)); #37966=DIRECTION('ref_axis',(8.98201168605648E-16,0.707106781186547,0.707106781186549)); #37967=DIRECTION('center_axis',(0.,-1.33649560822663E-16,-1.)); #37968=DIRECTION('ref_axis',(0.,-1.,1.33649560822663E-16)); #37969=DIRECTION('',(-6.14427452713415E-17,0.501718089771845,-0.865031189261804)); #37970=DIRECTION('center_axis',(0.,1.04083408558608E-15,-1.)); #37971=DIRECTION('ref_axis',(0.,1.,1.04083408558608E-15)); #37972=DIRECTION('',(-0.498471124258081,2.47059874670584E-16,-0.866906303057536)); #37973=DIRECTION('',(-0.498471124258081,2.47059874670584E-16,0.866906303057536)); #37974=DIRECTION('center_axis',(0.,-0.707106781186549,-0.707106781186546)); #37975=DIRECTION('ref_axis',(0.,0.707106781186546,-0.707106781186549)); #37976=DIRECTION('center_axis',(0.,-0.707106781186549,-0.707106781186546)); #37977=DIRECTION('ref_axis',(4.56948104144111E-15,-0.707106781186546,0.707106781186549)); #37978=DIRECTION('',(-1.,0.,0.)); #37979=DIRECTION('center_axis',(0.,0.707106781186549,0.707106781186546)); #37980=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548)); #37981=DIRECTION('center_axis',(0.,0.707106781186549,0.707106781186546)); #37982=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548)); #37983=DIRECTION('',(-1.,0.,0.)); #37984=DIRECTION('center_axis',(0.,1.04083408558608E-15,1.)); #37985=DIRECTION('ref_axis',(0.,1.,-1.04083408558608E-15)); #37986=DIRECTION('center_axis',(0.,-1.33649560822663E-16,1.)); #37987=DIRECTION('ref_axis',(0.,-1.,-1.33649560822663E-16)); #37988=DIRECTION('',(-6.14427452713415E-17,0.501718089771845,0.865031189261804)); #37989=DIRECTION('center_axis',(0.,-1.04083408558608E-15,-1.)); #37990=DIRECTION('ref_axis',(1.,0.,0.)); #37991=DIRECTION('center_axis',(0.,-0.707106781186549,-0.707106781186546)); #37992=DIRECTION('ref_axis',(0.,0.707106781186546,-0.707106781186549)); #37993=DIRECTION('center_axis',(0.,-0.707106781186549,-0.707106781186546)); #37994=DIRECTION('ref_axis',(5.71185130180139E-15,0.707106781186546,-0.707106781186549)); #37995=DIRECTION('',(-1.,0.,0.)); #37996=DIRECTION('center_axis',(0.,0.707106781186549,0.707106781186546)); #37997=DIRECTION('ref_axis',(8.98201168605648E-16,0.707106781186547,-0.707106781186549)); #37998=DIRECTION('center_axis',(0.,0.707106781186549,0.707106781186546)); #37999=DIRECTION('ref_axis',(8.98201168605648E-16,0.707106781186547,-0.707106781186549)); #38000=DIRECTION('',(-1.,0.,0.)); #38001=DIRECTION('center_axis',(0.,1.04083408558608E-15,1.)); #38002=DIRECTION('ref_axis',(0.,1.,-1.04083408558608E-15)); #38003=DIRECTION('',(0.498471124258081,-2.47059874670584E-16,0.866906303057536)); #38004=DIRECTION('',(0.498471124258081,-2.47059874670584E-16,-0.866906303057536)); #38005=DIRECTION('center_axis',(4.95635278850515E-16,1.,0.)); #38006=DIRECTION('ref_axis',(-1.,4.95635278850515E-16,0.)); #38007=DIRECTION('',(0.,0.,1.)); #38008=DIRECTION('',(-1.,4.95635278850515E-16,0.)); #38009=DIRECTION('',(-1.,4.95635278850515E-16,0.)); #38010=DIRECTION('',(0.,0.,1.)); #38011=DIRECTION('center_axis',(0.,-1.33649560822663E-16,1.)); #38012=DIRECTION('ref_axis',(0.,-1.,-1.33649560822663E-16)); #38013=DIRECTION('',(-6.14427452713415E-17,0.501718089771845,0.865031189261804)); #38014=DIRECTION('center_axis',(0.,-1.04083408558608E-15,-1.)); #38015=DIRECTION('ref_axis',(1.,0.,0.)); #38016=DIRECTION('center_axis',(-9.39761330622795E-16,-1.,0.)); #38017=DIRECTION('ref_axis',(1.,-9.39761330622795E-16,0.)); #38018=DIRECTION('',(0.,0.,1.)); #38019=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,0.)); #38020=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #38021=DIRECTION('',(0.,0.,1.)); #38022=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #38023=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #38024=DIRECTION('',(0.,0.,1.)); #38025=DIRECTION('center_axis',(4.69880665311398E-16,-1.,0.)); #38026=DIRECTION('ref_axis',(1.,4.69880665311398E-16,0.)); #38027=DIRECTION('center_axis',(-0.887010833178221,-0.461748613235034,0.)); #38028=DIRECTION('ref_axis',(0.461748613235034,-0.887010833178221,0.)); #38029=DIRECTION('',(0.461748613235034,-0.887010833178221,0.)); #38030=DIRECTION('',(0.,0.,1.)); #38031=DIRECTION('center_axis',(0.,0.,1.)); #38032=DIRECTION('ref_axis',(0.,1.,0.)); #38033=DIRECTION('center_axis',(0.,0.,1.)); #38034=DIRECTION('ref_axis',(0.,1.,0.)); #38035=DIRECTION('center_axis',(0.,0.,1.)); #38036=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); #38037=DIRECTION('center_axis',(0.,0.,-1.)); #38038=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); #38039=DIRECTION('',(0.,0.,1.)); #38040=DIRECTION('center_axis',(-1.,0.,0.)); #38041=DIRECTION('ref_axis',(0.,-1.,0.)); #38042=DIRECTION('',(0.,-1.,0.)); #38043=DIRECTION('',(0.,0.,1.)); #38044=DIRECTION('center_axis',(0.,0.,1.)); #38045=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); #38046=DIRECTION('center_axis',(0.,0.,1.)); #38047=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); #38048=DIRECTION('',(0.,0.,1.)); #38049=DIRECTION('center_axis',(0.,1.,0.)); #38050=DIRECTION('ref_axis',(-1.,0.,0.)); #38051=DIRECTION('',(-1.,0.,0.)); #38052=DIRECTION('',(0.,0.,1.)); #38053=DIRECTION('center_axis',(0.,0.,1.)); #38054=DIRECTION('ref_axis',(1.,2.77555756156288E-15,0.)); #38055=DIRECTION('center_axis',(0.,0.,1.)); #38056=DIRECTION('ref_axis',(1.,2.77555756156288E-15,0.)); #38057=DIRECTION('',(0.,0.,1.)); #38058=DIRECTION('center_axis',(1.,-1.38777878078145E-15,0.)); #38059=DIRECTION('ref_axis',(1.38777878078145E-15,1.,0.)); #38060=DIRECTION('',(1.38777878078145E-15,1.,0.)); #38061=DIRECTION('',(0.,0.,1.)); #38062=DIRECTION('center_axis',(0.,0.,1.)); #38063=DIRECTION('ref_axis',(1.,-2.31296463463574E-16,0.)); #38064=DIRECTION('center_axis',(0.,0.,-1.)); #38065=DIRECTION('ref_axis',(1.,-2.31296463463574E-16,0.)); #38066=DIRECTION('center_axis',(0.,0.,1.)); #38067=DIRECTION('ref_axis',(0.887010833178222,-0.461748613235034,0.)); #38068=DIRECTION('center_axis',(0.,0.,1.)); #38069=DIRECTION('ref_axis',(0.887010833178222,-0.461748613235034,0.)); #38070=DIRECTION('center_axis',(0.,0.,1.)); #38071=DIRECTION('ref_axis',(1.,0.,0.)); #38072=DIRECTION('axis',(0.,0.,1.)); #38073=DIRECTION('refdir',(1.,0.,0.)); #38074=DIRECTION('center_axis',(0.,0.,1.)); #38075=DIRECTION('ref_axis',(1.,0.,0.)); #38076=DIRECTION('center_axis',(0.,0.,-1.)); #38077=DIRECTION('ref_axis',(1.,0.,0.)); #38078=DIRECTION('',(0.,0.,-1.)); #38079=DIRECTION('center_axis',(0.,0.,1.)); #38080=DIRECTION('ref_axis',(1.,0.,0.)); #38081=DIRECTION('center_axis',(0.,0.,1.)); #38082=DIRECTION('ref_axis',(1.,0.,0.)); #38083=DIRECTION('center_axis',(0.,0.,-1.)); #38084=DIRECTION('ref_axis',(1.,0.,0.)); #38085=DIRECTION('',(0.,0.,-1.)); #38086=DIRECTION('center_axis',(0.,0.,1.)); #38087=DIRECTION('ref_axis',(1.,0.,0.)); #38088=DIRECTION('center_axis',(0.,0.,1.)); #38089=DIRECTION('ref_axis',(1.,0.,0.)); #38090=DIRECTION('center_axis',(0.,0.,-1.)); #38091=DIRECTION('ref_axis',(1.,0.,0.)); #38092=DIRECTION('',(0.,0.,-1.)); #38093=DIRECTION('center_axis',(0.,0.,1.)); #38094=DIRECTION('ref_axis',(1.,0.,0.)); #38095=DIRECTION('center_axis',(0.,0.,1.)); #38096=DIRECTION('ref_axis',(1.,0.,0.)); #38097=DIRECTION('center_axis',(0.,0.,-1.)); #38098=DIRECTION('ref_axis',(1.,0.,0.)); #38099=DIRECTION('',(0.,0.,-1.)); #38100=DIRECTION('center_axis',(0.,0.,1.)); #38101=DIRECTION('ref_axis',(1.,0.,0.)); #38102=DIRECTION('center_axis',(0.,0.,1.)); #38103=DIRECTION('ref_axis',(1.,0.,0.)); #38104=DIRECTION('center_axis',(0.,0.,1.)); #38105=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #38106=DIRECTION('',(-1.,-1.07657990266682E-16,0.)); #38107=DIRECTION('center_axis',(0.,0.,1.)); #38108=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #38109=DIRECTION('',(-1.,0.,0.)); #38110=DIRECTION('',(0.,1.,0.)); #38111=DIRECTION('',(1.,3.41307652174969E-16,0.)); #38112=DIRECTION('',(0.999390827019096,-0.0348994967025007,0.)); #38113=DIRECTION('center_axis',(0.,0.,-1.)); #38114=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #38115=DIRECTION('center_axis',(0.,0.,-1.)); #38116=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #38117=DIRECTION('',(-0.0871557427476584,-0.996194698091746,0.)); #38118=DIRECTION('center_axis',(0.,0.,-1.)); #38119=DIRECTION('ref_axis',(0.,-1.,0.)); #38120=DIRECTION('',(-1.,2.18390116002604E-16,0.)); #38121=DIRECTION('center_axis',(0.,0.,-1.)); #38122=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #38123=DIRECTION('center_axis',(1.07657990266682E-16,-1.,0.)); #38124=DIRECTION('ref_axis',(1.,1.07657990266682E-16,0.)); #38125=DIRECTION('',(0.,0.,-1.)); #38126=DIRECTION('',(1.,1.07657990266682E-16,0.)); #38127=DIRECTION('',(0.,0.,1.)); #38128=DIRECTION('center_axis',(0.,0.,1.)); #38129=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #38130=DIRECTION('center_axis',(0.,0.,-1.)); #38131=DIRECTION('ref_axis',(-0.8,-0.6,0.)); #38132=DIRECTION('',(0.,0.,1.)); #38133=DIRECTION('center_axis',(0.,-1.,0.)); #38134=DIRECTION('ref_axis',(1.,0.,0.)); #38135=DIRECTION('',(1.,0.,0.)); #38136=DIRECTION('',(0.,0.,1.)); #38137=DIRECTION('center_axis',(-1.,0.,0.)); #38138=DIRECTION('ref_axis',(0.,-1.,0.)); #38139=DIRECTION('',(0.,-1.,0.)); #38140=DIRECTION('',(0.,0.,1.)); #38141=DIRECTION('center_axis',(-3.41307652174969E-16,1.,0.)); #38142=DIRECTION('ref_axis',(-1.,-3.41307652174969E-16,0.)); #38143=DIRECTION('',(-1.,-3.41307652174969E-16,0.)); #38144=DIRECTION('',(0.,0.,1.)); #38145=DIRECTION('center_axis',(0.0348994967025007,0.999390827019096,0.)); #38146=DIRECTION('ref_axis',(-0.999390827019096,0.0348994967025007,0.)); #38147=DIRECTION('',(-0.999390827019096,0.0348994967025007,0.)); #38148=DIRECTION('',(0.,0.,1.)); #38149=DIRECTION('center_axis',(0.,0.,1.)); #38150=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #38151=DIRECTION('center_axis',(0.,0.,1.)); #38152=DIRECTION('ref_axis',(0.899969823247703,0.435952196053074,0.)); #38153=DIRECTION('',(0.,0.,1.)); #38154=DIRECTION('center_axis',(0.,0.,1.)); #38155=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #38156=DIRECTION('center_axis',(0.,0.,1.)); #38157=DIRECTION('ref_axis',(0.996194698091746,-0.087155742747658,0.)); #38158=DIRECTION('',(0.,0.,1.)); #38159=DIRECTION('center_axis',(0.996194698091746,-0.0871557427476585,0.)); #38160=DIRECTION('ref_axis',(0.0871557427476584,0.996194698091746,0.)); #38161=DIRECTION('',(0.0871557427476584,0.996194698091746,0.)); #38162=DIRECTION('',(0.,0.,1.)); #38163=DIRECTION('center_axis',(0.,0.,1.)); #38164=DIRECTION('ref_axis',(0.,-1.,0.)); #38165=DIRECTION('center_axis',(0.,0.,1.)); #38166=DIRECTION('ref_axis',(0.,-1.,0.)); #38167=DIRECTION('',(0.,0.,1.)); #38168=DIRECTION('center_axis',(-2.18390116002604E-16,-1.,0.)); #38169=DIRECTION('ref_axis',(1.,-2.18390116002604E-16,0.)); #38170=DIRECTION('',(1.,-2.18390116002604E-16,0.)); #38171=DIRECTION('',(0.,0.,1.)); #38172=DIRECTION('center_axis',(0.,0.,1.)); #38173=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #38174=DIRECTION('center_axis',(0.,0.,1.)); #38175=DIRECTION('ref_axis',(-1.,3.82856869892695E-16,0.)); #38176=DIRECTION('',(0.,0.,1.)); #38177=DIRECTION('center_axis',(0.,0.,1.)); #38178=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #38179=DIRECTION('center_axis',(0.,0.,-1.)); #38180=DIRECTION('ref_axis',(-1.,-9.22036342687923E-18,0.)); #38181=DIRECTION('center_axis',(0.,0.,1.)); #38182=DIRECTION('ref_axis',(1.,0.,0.)); #38183=DIRECTION('axis',(0.,0.,1.)); #38184=DIRECTION('refdir',(1.,0.,0.)); #38185=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #38186=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38187=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #38188=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38189=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38190=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38191=DIRECTION('center_axis',(0.971874012993524,-1.4420300935776E-17, 0.235501386127265)); #38192=DIRECTION('ref_axis',(0.235501386127265,5.95101199593506E-17,-0.971874012993524)); #38193=DIRECTION('',(-0.235501386127265,-3.57542288097055E-16,0.971874012993524)); #38194=DIRECTION('',(-0.235501386127265,-5.95101199593506E-17,0.971874012993524)); #38195=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38196=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #38197=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38198=DIRECTION('',(-1.,2.5065054855558E-16,0.)); #38199=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38200=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38201=DIRECTION('center_axis',(-0.972657984169714,1.42207341826044E-17, -0.23224221371428)); #38202=DIRECTION('ref_axis',(-0.23224221371428,-5.95581243489278E-17,0.972657984169714)); #38203=DIRECTION('',(0.23224221371428,3.58695232177248E-16,-0.972657984169714)); #38204=DIRECTION('',(0.23224221371428,5.95581243489279E-17,-0.972657984169714)); #38205=DIRECTION('center_axis',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38206=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38207=DIRECTION('center_axis',(0.707106781186548,4.32978028117746E-17, -0.707106781186548)); #38208=DIRECTION('ref_axis',(-0.707106781186548,4.32978028117747E-17,-0.707106781186548)); #38209=DIRECTION('',(0.707106781186548,-4.80321322274201E-16,0.707106781186548)); #38210=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38211=DIRECTION('',(-0.707106781186548,4.32978028117747E-17,-0.707106781186548)); #38212=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38213=DIRECTION('center_axis',(0.874157276121573,2.97370530598186E-17, -0.485642931178568)); #38214=DIRECTION('ref_axis',(-0.485642931178568,5.35266955076827E-17,-0.874157276121573)); #38215=DIRECTION('',(0.485642931178568,-4.96413535655826E-16,0.874157276121573)); #38216=DIRECTION('',(-0.485642931178568,5.35266955076828E-17,-0.874157276121573)); #38217=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38218=DIRECTION('center_axis',(0.985980885458083,1.02171243764374E-17, -0.166858303692965)); #38219=DIRECTION('ref_axis',(-0.166858303692965,6.03739167698358E-17,-0.985980885458083)); #38220=DIRECTION('',(0.166858303692965,-4.64440542740545E-16,0.985980885458083)); #38221=DIRECTION('',(-0.166858303692965,6.03739167698358E-17,-0.985980885458083)); #38222=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38223=DIRECTION('center_axis',(0.989949493661246,8.65956056232111E-18, -0.141421356236757)); #38224=DIRECTION('ref_axis',(-0.141421356236757,6.06169239364894E-17,-0.989949493661245)); #38225=DIRECTION('',(0.141421356236757,-4.59765808073642E-16,0.989949493661246)); #38226=DIRECTION('',(-0.141421356236757,6.06169239364894E-17,-0.989949493661245)); #38227=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38228=DIRECTION('center_axis',(0.99227787671367,-7.5949370348872E-18,0.124034734589191)); #38229=DIRECTION('ref_axis',(0.124034734589191,6.07594962791065E-17,-0.99227787671367)); #38230=DIRECTION('',(-0.124034734589191,-3.94227099689018E-16,0.99227787671367)); #38231=DIRECTION('',(0.124034734589191,6.07594962791065E-17,-0.99227787671367)); #38232=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38233=DIRECTION('center_axis',(0.929577903322653,-2.25718154214065E-17, 0.368625720283136)); #38234=DIRECTION('ref_axis',(0.368625720283136,5.69202301931098E-17,-0.929577903322653)); #38235=DIRECTION('',(-0.368625720283136,-3.06045372351104E-16,0.929577903322653)); #38236=DIRECTION('',(0.368625720283136,5.69202301931098E-17,-0.929577903322653)); #38237=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38238=DIRECTION('center_axis',(0.807391122257898,-3.6128096379095E-17, 0.59001658934231)); #38239=DIRECTION('ref_axis',(0.59001658934231,4.94384476766562E-17,-0.807391122257898)); #38240=DIRECTION('',(-0.59001658934231,-1.9818115196105E-16,0.807391122257898)); #38241=DIRECTION('',(0.59001658934231,4.94384476766562E-17,-0.807391122257898)); #38242=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38243=DIRECTION('center_axis',(0.707106781186548,-4.32978028117747E-17, 0.707106781186548)); #38244=DIRECTION('ref_axis',(0.707106781186548,4.32978028117746E-17,-0.707106781186548)); #38245=DIRECTION('',(-0.707106781186548,-1.25847917090643E-16,0.707106781186548)); #38246=DIRECTION('',(0.707106781186548,4.32978028117746E-17,-0.707106781186548)); #38247=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38248=DIRECTION('center_axis',(0.605083267533581,-4.87508741317485E-17, 0.796162194123085)); #38249=DIRECTION('ref_axis',(0.796162194123085,3.70506643401311E-17,-0.605083267533581)); #38250=DIRECTION('',(-0.796162194123085,-5.97961596847512E-17,0.605083267533581)); #38251=DIRECTION('',(0.796162194123085,3.7050664340131E-17,-0.60508326753358)); #38252=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38253=DIRECTION('center_axis',(0.401661495085101,-5.6075854929819E-17, 0.915788208793934)); #38254=DIRECTION('ref_axis',(0.915788208793934,2.45946732148354E-17,-0.401661495085101)); #38255=DIRECTION('',(-0.915788208793934,5.73801043910841E-17,0.401661495085101)); #38256=DIRECTION('',(0.915788208793934,2.45946732148354E-17,-0.401661495085101)); #38257=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38258=DIRECTION('center_axis',(0.18428853505016,-6.01835638850041E-17, 0.982872186934327)); #38259=DIRECTION('ref_axis',(0.982872186934327,1.12844182284366E-17,-0.18428853505016)); #38260=DIRECTION('',(-0.982872186934327,1.67366525216056E-16,0.18428853505016)); #38261=DIRECTION('',(0.982872186934327,1.12844182284366E-17,-0.18428853505016)); #38262=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38263=DIRECTION('center_axis',(0.0399680383486935,-6.11834127901808E-17, 0.999200958721797)); #38264=DIRECTION('ref_axis',(0.999200958721796,2.4473365115963E-18,-0.0399680383486935)); #38265=DIRECTION('',(-0.999200958721796,2.33318912839706E-16,0.0399680383486935)); #38266=DIRECTION('',(0.999200958721796,2.4473365115963E-18,-0.0399680383486935)); #38267=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38268=DIRECTION('center_axis',(-0.103912021640893,-6.09008582471615E-17, 0.994586492849417)); #38269=DIRECTION('ref_axis',(0.994586492849417,-6.36277623477254E-18,0.103912021640893)); #38270=DIRECTION('',(-0.994586492849417,2.93833083662085E-16,-0.103912021640893)); #38271=DIRECTION('',(0.994586492849417,-6.36277623477254E-18,0.103912021640893)); #38272=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38273=DIRECTION('center_axis',(-0.31622776601697,-5.80900982181031E-17, 0.94868329805047)); #38274=DIRECTION('ref_axis',(0.94868329805047,-1.936336607271E-17,0.31622776601697)); #38275=DIRECTION('',(-0.94868329805047,3.73331551570838E-16,-0.31622776601697)); #38276=DIRECTION('',(0.94868329805047,-1.936336607271E-17,0.31622776601697)); #38277=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38278=DIRECTION('center_axis',(-0.535824439731463,-5.17002660926492E-17, 0.844329420182943)); #38279=DIRECTION('ref_axis',(0.844329420182943,-3.28097842511029E-17,0.535824439731463)); #38280=DIRECTION('',(-0.844329420182943,4.4130012208819E-16,-0.535824439731463)); #38281=DIRECTION('',(0.844329420182943,-3.28097842511029E-17,0.535824439731463)); #38282=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38283=DIRECTION('center_axis',(-0.624695047554778,-4.78144244019033E-17, 0.780868809442747)); #38284=DIRECTION('ref_axis',(0.780868809442747,-3.82515395215583E-17,0.624695047554778)); #38285=DIRECTION('',(-0.780868809442747,4.63485972087674E-16,-0.624695047554778)); #38286=DIRECTION('',(0.780868809442747,-3.82515395215583E-17,0.624695047554778)); #38287=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38288=DIRECTION('center_axis',(-0.745656100617073,-4.08010053076584E-17, 0.666330983530364)); #38289=DIRECTION('ref_axis',(0.666330983530364,-4.56582678442697E-17,0.745656100617073)); #38290=DIRECTION('',(-0.666330983530364,4.86624101451353E-16,-0.745656100617073)); #38291=DIRECTION('',(0.666330983530364,-4.56582678442697E-17,0.745656100617073)); #38292=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38293=DIRECTION('center_axis',(-0.894427190999946,-2.73839349132065E-17, 0.447213595499899)); #38294=DIRECTION('ref_axis',(0.447213595499899,-5.47678698264221E-17,0.894427190999945)); #38295=DIRECTION('',(-0.447213595499899,4.95469421818517E-16,-0.894427190999945)); #38296=DIRECTION('',(0.447213595499899,-5.47678698264221E-17,0.894427190999946)); #38297=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38298=DIRECTION('center_axis',(-0.976900017396239,-1.30851599308491E-17, 0.213696878805538)); #38299=DIRECTION('ref_axis',(0.213696878805538,-5.98178739695649E-17,0.976900017396239)); #38300=DIRECTION('',(-0.213696878805538,4.72288357684177E-16,-0.976900017396239)); #38301=DIRECTION('',(0.213696878805538,-5.98178739695649E-17,0.976900017396239)); #38302=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38303=DIRECTION('center_axis',(-0.124843395553538,6.07532862596518E-17, -0.992176459399568)); #38304=DIRECTION('ref_axis',(-0.992176459399568,-7.64445323796638E-18,0.124843395553538)); #38305=DIRECTION('',(0.992176459399568,-1.95178401146671E-16,-0.124843395553538)); #38306=DIRECTION('',(-0.992176459399568,-7.64445323796638E-18,0.124843395553538)); #38307=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38308=DIRECTION('center_axis',(0.95447997803503,1.82640757804819E-17,-0.298274993135947)); #38309=DIRECTION('ref_axis',(-0.298274993135947,5.84450424975418E-17,-0.95447997803503)); #38310=DIRECTION('',(0.298274993135947,-4.83878088132729E-16,0.95447997803503)); #38311=DIRECTION('',(-0.298274993135947,5.84450424975418E-17,-0.95447997803503)); #38312=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38313=DIRECTION('center_axis',(0.796162194123085,3.70506643401311E-17, -0.605083267533581)); #38314=DIRECTION('ref_axis',(-0.605083267533581,4.87508741317485E-17,-0.796162194123085)); #38315=DIRECTION('',(0.605083267533581,-4.92920571851334E-16,0.796162194123085)); #38316=DIRECTION('',(-0.60508326753358,4.87508741317485E-17,-0.796162194123085)); #38317=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38318=DIRECTION('center_axis',(0.459854247661511,5.4373975549107E-17,-0.887994409277258)); #38319=DIRECTION('ref_axis',(-0.887994409277258,2.81579516236492E-17,-0.459854247661511)); #38320=DIRECTION('',(0.887994409277258,-4.19681947165177E-16,0.459854247661511)); #38321=DIRECTION('',(-0.887994409277258,2.81579516236492E-17,-0.459854247661511)); #38322=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38323=DIRECTION('center_axis',(0.0948090926279747,6.09565172038935E-17, -0.995495472593954)); #38324=DIRECTION('ref_axis',(-0.995495472593954,5.8053825908457E-18,-0.0948090926279746)); #38325=DIRECTION('',(0.995495472593954,-2.90159164426191E-16,0.0948090926279746)); #38326=DIRECTION('',(-0.995495472593954,5.8053825908457E-18,-0.0948090926279746)); #38327=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38328=DIRECTION('center_axis',(-0.287347885566345,5.86499447167642E-17, -0.957826285221151)); #38329=DIRECTION('ref_axis',(-0.957826285221151,-1.75949834150292E-17,0.287347885566345)); #38330=DIRECTION('',(0.957826285221151,-1.16914799906431E-16,-0.287347885566345)); #38331=DIRECTION('',(-0.957826285221152,-1.75949834150293E-17,0.287347885566345)); #38332=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38333=DIRECTION('center_axis',(-0.653619870346026,4.63420436992822E-17, -0.756823007769217)); #38334=DIRECTION('ref_axis',(-0.756823007769217,-4.00226741039185E-17,0.653619870346026)); #38335=DIRECTION('',(0.756823007769217,9.046061667059E-17,-0.653619870346026)); #38336=DIRECTION('',(-0.756823007769217,-4.00226741039185E-17,0.653619870346026)); #38337=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38338=DIRECTION('center_axis',(-0.884193384073741,2.86029138997417E-17, -0.46712103309552)); #38339=DIRECTION('ref_axis',(-0.46712103309552,-5.41412298816586E-17,0.884193384073741)); #38340=DIRECTION('',(0.46712103309552,2.61904465984369E-16,-0.884193384073741)); #38341=DIRECTION('',(-0.46712103309552,-5.41412298816586E-17,0.884193384073741)); #38342=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38343=DIRECTION('center_axis',(-0.994144463069448,6.61671649233127E-18, -0.108059180768497)); #38344=DIRECTION('ref_axis',(-0.108059180768497,-6.08737917294032E-17,0.994144463069448)); #38345=DIRECTION('',(0.108059180768497,3.99031449169731E-16,-0.994144463069448)); #38346=DIRECTION('',(-0.108059180768497,-6.08737917294032E-17,0.994144463069448)); #38347=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38348=DIRECTION('center_axis',(-0.980580675690909,-1.20086498579097E-17, 0.196116135138238)); #38349=DIRECTION('ref_axis',(0.196116135138238,-6.00432492895311E-17,0.980580675690909)); #38350=DIRECTION('',(-0.196116135138238,4.69459361879717E-16,-0.980580675690909)); #38351=DIRECTION('',(0.196116135138238,-6.00432492895311E-17,0.980580675690909)); #38352=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38353=DIRECTION('center_axis',(-0.836461129579732,-3.35569300932252E-17, 0.548026257310905)); #38354=DIRECTION('ref_axis',(0.548026257310905,-5.12184722475499E-17,0.836461129579732)); #38355=DIRECTION('',(-0.548026257310905,4.95892387750689E-16,-0.836461129579732)); #38356=DIRECTION('',(0.548026257310905,-5.12184722475499E-17,0.836461129579732)); #38357=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38358=DIRECTION('center_axis',(-0.516869099033884,-5.24188291384909E-17, 0.856064445274946)); #38359=DIRECTION('ref_axis',(0.856064445274946,-3.16491043855012E-17,0.516869099033884)); #38360=DIRECTION('',(-0.856064445274946,4.36116753505602E-16,-0.516869099033884)); #38361=DIRECTION('',(0.856064445274946,-3.16491043855012E-17,0.516869099033884)); #38362=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38363=DIRECTION('center_axis',(-0.124034734589398,-6.07594962791048E-17, 0.992277876713644)); #38364=DIRECTION('ref_axis',(0.992277876713644,-7.59493703489989E-18,0.124034734589398)); #38365=DIRECTION('',(-0.992277876713644,3.01879553362141E-16,-0.124034734589398)); #38366=DIRECTION('',(0.992277876713644,-7.59493703489988E-18,0.124034734589398)); #38367=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38368=DIRECTION('center_axis',(0.154376880273728,-6.04982887326165E-17, 0.988012033751083)); #38369=DIRECTION('ref_axis',(0.988012033751083,9.45285761447877E-18,-0.154376880273728)); #38370=DIRECTION('',(-0.988012033751083,1.81475754937873E-16,0.154376880273728)); #38371=DIRECTION('',(0.988012033751083,9.45285761447876E-18,-0.154376880273728)); #38372=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38373=DIRECTION('center_axis',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38374=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38375=DIRECTION('',(0.951860557856762,1.87696328159805E-17,-0.306531366089367)); #38376=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38377=DIRECTION('',(0.921635375138077,-2.37616381503942E-17,0.388057000058106)); #38378=DIRECTION('',(0.640184399664831,-4.70399865547726E-17,0.768221279597083)); #38379=DIRECTION('',(0.316227766016794,-5.80900982181067E-17,0.948683298050529)); #38380=DIRECTION('',(-0.119145220618634,-6.07961721100261E-17,0.992876838486898)); #38381=DIRECTION('',(-0.512064542316633,-5.25953331300915E-17,0.85894697420857)); #38382=DIRECTION('',(-0.857492925712544,-3.1503779002961E-17,0.514495755427526)); #38383=DIRECTION('',(-0.98904436709303,-9.03902998787398E-18,0.147618562252681)); #38384=DIRECTION('',(-0.131656421426945,-6.06993374447745E-17,0.991295408390986)); #38385=DIRECTION('',(0.970142500145332,1.48510238439974E-17,-0.242535625036333)); #38386=DIRECTION('',(-0.760373678193409,3.97695383722613E-17,-0.649485850123485)); #38387=DIRECTION('',(-0.935697286393777,2.16030080289529E-17,-0.352803894869829)); #38388=DIRECTION('',(0.859854743840789,3.1261482555851E-17,-0.510538754155344)); #38389=DIRECTION('',(0.737154140200736,4.13762001709405E-17,-0.675724628517353)); #38390=DIRECTION('',(0.481258812192685,5.36749558206739E-17,-0.876578550779613)); #38391=DIRECTION('',(0.169906916507646,6.03420288216848E-17,-0.985460115744348)); #38392=DIRECTION('',(-0.0866296163648246,6.10021423757261E-17,-0.996240588195685)); #38393=DIRECTION('',(-0.276871495343444,5.88385813008765E-17,-0.960906954427059)); #38394=DIRECTION('',(-0.585490553844247,4.9639786109763E-17,-0.810679228399962)); #38395=DIRECTION('',(-0.707106781186548,4.32978028117747E-17,-0.707106781186548)); #38396=DIRECTION('',(-0.811534341451546,3.57783456116378E-17,-0.584304725845004)); #38397=DIRECTION('',(-0.940265849956229,2.08460041667509E-17,-0.340441083604917)); #38398=DIRECTION('',(-0.994743298890192,6.27019439610826E-18,-0.102400045473908)); #38399=DIRECTION('',(-0.996657521232319,-5.00226820992649E-18,0.0816932394451899)); #38400=DIRECTION('',(-0.948683298050485,-1.93633660727073E-17,0.316227766016926)); #38401=DIRECTION('',(-0.86301446934696,-3.09333046098581E-17,0.505179201568895)); #38402=DIRECTION('',(-0.691222645967364,-4.42490518642914E-17,0.722641857147699)); #38403=DIRECTION('',(-0.461352736641922,-5.43263607890873E-17,0.88721680123463)); #38404=DIRECTION('',(-0.282166323991635,-5.8744194535581E-17,0.959365501571245)); #38405=DIRECTION('',(0.985460115744356,-1.04037980727014E-17,0.1699069165076)); #38406=DIRECTION('',(0.169906916507826,6.0342028821683E-17,-0.985460115744317)); #38407=DIRECTION('',(0.480011415389335,5.3716819828918E-17,-0.877262241918531)); #38408=DIRECTION('',(0.78086880944303,3.82515395215365E-17,-0.624695047554424)); #38409=DIRECTION('center_axis',(0.242535625036333,-5.94040953759896E-17, 0.970142500145332)); #38410=DIRECTION('ref_axis',(0.970142500145332,1.48510238439974E-17,-0.242535625036333)); #38411=DIRECTION('',(-0.970142500145332,1.39209582930528E-16,0.242535625036333)); #38412=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38413=DIRECTION('center_axis',(-0.991295408390986,8.06163075438523E-18, -0.131656421426945)); #38414=DIRECTION('ref_axis',(-0.131656421426945,-6.06993374447745E-17,0.991295408390986)); #38415=DIRECTION('',(0.131656421426945,3.91895607861892E-16,-0.991295408390986)); #38416=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38417=DIRECTION('center_axis',(-0.147618562252681,6.05615009187599E-17, -0.98904436709303)); #38418=DIRECTION('ref_axis',(-0.98904436709303,-9.03902998787398E-18,0.147618562252681)); #38419=DIRECTION('',(0.98904436709303,-1.84631303242557E-16,-0.147618562252681)); #38420=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38421=DIRECTION('center_axis',(-0.514495755427527,5.25062983382684E-17, -0.857492925712544)); #38422=DIRECTION('ref_axis',(-0.857492925712544,-3.1503779002961E-17,0.514495755427527)); #38423=DIRECTION('',(0.857492925712544,5.59538080834787E-18,-0.514495755427526)); #38424=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38425=DIRECTION('center_axis',(-0.85894697420857,3.13549101352459E-17, -0.512064542316633)); #38426=DIRECTION('ref_axis',(-0.512064542316633,-5.25953331300915E-17,0.85894697420857)); #38427=DIRECTION('',(0.512064542316633,2.39818073483114E-16,-0.85894697420857)); #38428=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38429=DIRECTION('center_axis',(-0.992876838486898,7.2955406532158E-18, -0.119145220618635)); #38430=DIRECTION('ref_axis',(-0.119145220618635,-6.07961721100262E-17,0.992876838486898)); #38431=DIRECTION('',(0.119145220618635,3.95709389864346E-16,-0.992876838486898)); #38432=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38433=DIRECTION('center_axis',(-0.948683298050529,-1.93633660726992E-17, 0.316227766016794)); #38434=DIRECTION('ref_axis',(0.316227766016794,-5.80900982181067E-17,0.948683298050528)); #38435=DIRECTION('',(-0.316227766016794,4.85893350547362E-16,-0.948683298050529)); #38436=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38437=DIRECTION('center_axis',(-0.768221279597083,-3.91999887956803E-17, 0.640184399664831)); #38438=DIRECTION('ref_axis',(0.640184399664831,-4.70399865547726E-17,0.768221279597083)); #38439=DIRECTION('',(-0.640184399664831,4.89742476836123E-16,-0.768221279597083)); #38440=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38441=DIRECTION('center_axis',(-0.388057000058106,-5.64338906071908E-17, 0.921635375138077)); #38442=DIRECTION('ref_axis',(0.921635375138077,-2.37616381503942E-17,0.388057000058106)); #38443=DIRECTION('',(-0.921635375138077,3.97339879399346E-16,-0.388057000058106)); #38444=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38445=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #38446=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38447=DIRECTION('',(-1.,2.5065054855558E-16,0.)); #38448=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38449=DIRECTION('center_axis',(0.306531366089367,-5.8284649270695E-17, 0.951860557856762)); #38450=DIRECTION('ref_axis',(0.951860557856762,1.87696328159805E-17,-0.306531366089367)); #38451=DIRECTION('',(-0.951860557856762,1.07196941263356E-16,0.306531366089367)); #38452=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38453=DIRECTION('center_axis',(0.624695047554424,-4.78144244019205E-17, 0.78086880944303)); #38454=DIRECTION('ref_axis',(0.78086880944303,3.82515395215365E-17,-0.624695047554424)); #38455=DIRECTION('',(-0.78086880944303,-7.20355812139164E-17,0.624695047554424)); #38456=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38457=DIRECTION('center_axis',(0.877262241918531,-2.9392222170537E-17, 0.480011415389335)); #38458=DIRECTION('ref_axis',(0.480011415389335,5.3716819828918E-17,-0.877262241918531)); #38459=DIRECTION('',(-0.480011415389335,-2.55702614222148E-16,0.877262241918531)); #38460=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38461=DIRECTION('center_axis',(0.985460115744317,-1.04037980727153E-17, 0.169906916507826)); #38462=DIRECTION('ref_axis',(0.169906916507826,6.03420288216829E-17,-0.985460115744317)); #38463=DIRECTION('',(-0.169906916507826,-3.79806939925706E-16,0.985460115744317)); #38464=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38465=DIRECTION('center_axis',(-0.1699069165076,-6.03420288216854E-17, 0.985460115744356)); #38466=DIRECTION('ref_axis',(0.985460115744356,-1.04037980727014E-17,0.1699069165076)); #38467=DIRECTION('',(-0.985460115744356,3.19832705099879E-16,-0.1699069165076)); #38468=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38469=DIRECTION('center_axis',(-0.959365501571246,1.72777042751766E-17, -0.282166323991635)); #38470=DIRECTION('ref_axis',(-0.282166323991635,-5.8744194535581E-17,0.959365501571245)); #38471=DIRECTION('',(0.282166323991635,3.40484217856652E-16,-0.959365501571245)); #38472=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38473=DIRECTION('center_axis',(-0.88721680123463,2.82497076103201E-17, -0.461352736641922)); #38474=DIRECTION('ref_axis',(-0.461352736641922,-5.43263607890873E-17,0.88721680123463)); #38475=DIRECTION('',(0.461352736641922,2.64646209006694E-16,-0.88721680123463)); #38476=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38477=DIRECTION('center_axis',(-0.722641857147699,4.23251800441048E-17, -0.691222645967364)); #38478=DIRECTION('ref_axis',(-0.691222645967364,-4.42490518642914E-17,0.722641857147699)); #38479=DIRECTION('',(0.691222645967364,1.3648802766428E-16,-0.722641857147699)); #38480=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38481=DIRECTION('center_axis',(-0.505179201568895,5.28443953751804E-17, -0.86301446934696)); #38482=DIRECTION('ref_axis',(-0.86301446934696,-3.09333046098581E-17,0.505179201568895)); #38483=DIRECTION('',(0.86301446934696,2.18082115787965E-19,-0.505179201568895)); #38484=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38485=DIRECTION('center_axis',(-0.316227766016926,5.8090098218104E-17, -0.948683298050484)); #38486=DIRECTION('ref_axis',(-0.948683298050485,-1.93633660727073E-17,0.316227766016926)); #38487=DIRECTION('',(0.948683298050485,-1.0224442655292E-16,-0.316227766016926)); #38488=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38489=DIRECTION('center_axis',(-0.0816932394451899,6.10276721611648E-17, -0.996657521232319)); #38490=DIRECTION('ref_axis',(-0.996657521232319,-5.00226820992649E-18,0.0816932394451899)); #38491=DIRECTION('',(0.996657521232319,-2.1479687694944E-16,-0.0816932394451899)); #38492=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38493=DIRECTION('center_axis',(0.102400045473908,6.09104598479577E-17, -0.994743298890192)); #38494=DIRECTION('ref_axis',(-0.994743298890192,6.27019439610826E-18,-0.102400045473908)); #38495=DIRECTION('',(0.994743298890192,-2.93224314311572E-16,0.102400045473908)); #38496=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38497=DIRECTION('center_axis',(0.340441083604917,5.75746781748231E-17, -0.940265849956229)); #38498=DIRECTION('ref_axis',(-0.940265849956229,2.08460041667509E-17,-0.340441083604917)); #38499=DIRECTION('',(0.940265849956229,-3.81600180246864E-16,0.340441083604917)); #38500=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38501=DIRECTION('center_axis',(0.584304725845004,4.96921466828396E-17, -0.811534341451546)); #38502=DIRECTION('ref_axis',(-0.811534341451546,3.57783456116378E-17,-0.584304725845004)); #38503=DIRECTION('',(0.811534341451546,-4.53859947137986E-16,0.584304725845004)); #38504=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38505=DIRECTION('center_axis',(0.707106781186548,4.32978028117746E-17, -0.707106781186548)); #38506=DIRECTION('ref_axis',(-0.707106781186548,4.32978028117747E-17,-0.707106781186548)); #38507=DIRECTION('',(0.707106781186548,-4.80321322274201E-16,0.707106781186548)); #38508=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38509=DIRECTION('center_axis',(0.810679228399962,3.58509566348184E-17, -0.585490553844246)); #38510=DIRECTION('ref_axis',(-0.585490553844246,4.9639786109763E-17,-0.810679228399962)); #38511=DIRECTION('',(0.585490553844246,-4.94232031263511E-16,0.810679228399962)); #38512=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38513=DIRECTION('center_axis',(0.960906954427059,1.69534895273745E-17, -0.276871495343444)); #38514=DIRECTION('ref_axis',(-0.276871495343444,5.88385813008765E-17,-0.960906954427059)); #38515=DIRECTION('',(0.276871495343444,-4.81268061293373E-16,0.960906954427059)); #38516=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38517=DIRECTION('center_axis',(0.996240588195685,5.30453411962728E-18, -0.0866296163648246)); #38518=DIRECTION('ref_axis',(-0.0866296163648246,6.10021423757261E-17,-0.996240588195685)); #38519=DIRECTION('',(0.0866296163648246,-4.48728757493085E-16,0.996240588195685)); #38520=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38521=DIRECTION('center_axis',(0.985460115744348,-1.04037980727043E-17, 0.169906916507646)); #38522=DIRECTION('ref_axis',(0.169906916507646,6.03420288216848E-17,-0.985460115744348)); #38523=DIRECTION('',(-0.169906916507646,-3.79806939925764E-16,0.985460115744348)); #38524=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38525=DIRECTION('center_axis',(0.876578550779613,-2.94686031956615E-17, 0.481258812192685)); #38526=DIRECTION('ref_axis',(0.481258812192685,5.36749558206739E-17,-0.876578550779613)); #38527=DIRECTION('',(-0.481258812192685,-2.55096905471414E-16,0.876578550779613)); #38528=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38529=DIRECTION('center_axis',(0.675724628517353,-4.51376729137524E-17, 0.737154140200736)); #38530=DIRECTION('ref_axis',(0.737154140200736,4.13762001709405E-17,-0.675724628517353)); #38531=DIRECTION('',(-0.737154140200736,-1.04865311585252E-16,0.675724628517353)); #38532=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38533=DIRECTION('center_axis',(0.510538754155344,-5.26509179888146E-17, 0.859854743840789)); #38534=DIRECTION('ref_axis',(0.859854743840789,3.1261482555851E-17,-0.510538754155344)); #38535=DIRECTION('',(-0.859854743840789,-3.3073146691445E-18,0.510538754155344)); #38536=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38537=DIRECTION('center_axis',(0.352803894869829,5.72949343376502E-17, -0.935697286393777)); #38538=DIRECTION('ref_axis',(-0.935697286393777,2.16030080289529E-17,-0.352803894869829)); #38539=DIRECTION('',(0.935697286393777,-3.85754094319238E-16,0.352803894869829)); #38540=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38541=DIRECTION('center_axis',(0.649485850123485,4.65594595577729E-17, -0.760373678193409)); #38542=DIRECTION('ref_axis',(-0.760373678193409,3.97695383722613E-17,-0.649485850123485)); #38543=DIRECTION('',(0.760373678193409,-4.6897484815223E-16,0.649485850123485)); #38544=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #38545=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38546=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #38547=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38548=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38549=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38550=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #38551=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38552=DIRECTION('',(-1.,2.5065054855558E-16,0.)); #38553=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38554=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38555=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38556=DIRECTION('center_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38557=DIRECTION('ref_axis',(0.,-6.12323399573677E-17,1.)); #38558=DIRECTION('',(0.,4.28626379701573E-16,-1.)); #38559=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #38560=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38561=DIRECTION('center_axis',(-0.826126300679964,3.4503504542966E-17, -0.563484991215239)); #38562=DIRECTION('ref_axis',(-0.563484991215239,-5.05856464909581E-17,0.826126300679964)); #38563=DIRECTION('',(0.563484991215239,2.1286170328577E-16,-0.826126300679964)); #38564=DIRECTION('',(-0.563484991215239,-5.05856464909581E-17,0.826126300679964)); #38565=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38566=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #38567=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38568=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #38569=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38570=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38571=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38572=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #38573=DIRECTION('',(0.,-4.28626379701573E-16,1.)); #38574=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #38575=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38576=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38577=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #38578=DIRECTION('',(0.,4.28626379701573E-16,-1.)); #38579=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #38580=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38581=DIRECTION('center_axis',(-0.829296372465403,3.42171842006891E-17, -0.558809025173828)); #38582=DIRECTION('ref_axis',(-0.558809025173828,-5.07797574042133E-17,0.829296372465403)); #38583=DIRECTION('',(-0.558809025173828,-2.15392513131864E-16,0.829296372465403)); #38584=DIRECTION('',(-0.558809025173828,-5.07797574042133E-17,0.829296372465403)); #38585=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #38586=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38587=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #38588=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38589=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38590=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38591=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #38592=DIRECTION('',(0.,-4.28626379701573E-16,1.)); #38593=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #38594=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38595=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #38596=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38597=DIRECTION('',(-1.,2.5065054855558E-16,0.)); #38598=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38599=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38600=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38601=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #38602=DIRECTION('',(0.,-4.28626379701573E-16,1.)); #38603=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #38604=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38605=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #38606=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38607=DIRECTION('',(-1.,2.5065054855558E-16,0.)); #38608=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38609=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38610=DIRECTION('center_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38611=DIRECTION('ref_axis',(0.,-6.12323399573677E-17,1.)); #38612=DIRECTION('',(0.,4.28626379701573E-16,-1.)); #38613=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #38614=DIRECTION('center_axis',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38615=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38616=DIRECTION('center_axis',(0.641722632673691,-4.69613351636188E-17, 0.76693680490269)); #38617=DIRECTION('ref_axis',(0.76693680490269,3.92941784022125E-17,-0.641722632673691)); #38618=DIRECTION('',(-0.76693680490269,-8.28261179591633E-17,0.641722632673691)); #38619=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38620=DIRECTION('',(0.76693680490269,3.92941784022125E-17,-0.641722632673691)); #38621=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38622=DIRECTION('center_axis',(0.536875492193178,-5.16593670257503E-17, 0.843661487732096)); #38623=DIRECTION('ref_axis',(0.843661487732096,3.28741426527518E-17,-0.536875492193178)); #38624=DIRECTION('',(-0.843661487732096,-1.86547838739951E-17,0.536875492193178)); #38625=DIRECTION('',(0.843661487732096,3.28741426527518E-17,-0.536875492193178)); #38626=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38627=DIRECTION('center_axis',(0.434749065831119,-5.51428839470413E-17, 0.900551636364606)); #38628=DIRECTION('ref_axis',(0.900551636364606,2.66207025951191E-17,-0.434749065831119)); #38629=DIRECTION('',(-0.900551636364606,3.93788434915805E-17,0.434749065831119)); #38630=DIRECTION('',(0.900551636364606,2.66207025951191E-17,-0.434749065831119)); #38631=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38632=DIRECTION('center_axis',(0.351123441588487,-5.73336265129081E-17, 0.936329177569009)); #38633=DIRECTION('ref_axis',(0.936329177569009,2.15001099423472E-17,-0.351123441588487)); #38634=DIRECTION('',(-0.936329177569009,8.41906523898376E-17,0.351123441588487)); #38635=DIRECTION('',(0.936329177569009,2.15001099423472E-17,-0.351123441588487)); #38636=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38637=DIRECTION('center_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38638=DIRECTION('ref_axis',(0.,-6.12323399573677E-17,1.)); #38639=DIRECTION('',(0.,4.28626379701573E-16,-1.)); #38640=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #38641=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38642=DIRECTION('center_axis',(-0.406138466053389,5.59548194020858E-17, -0.913811548620283)); #38643=DIRECTION('ref_axis',(-0.913811548620283,-2.48688086231449E-17,0.406138466053389)); #38644=DIRECTION('',(0.913811548620283,-5.4965705576084E-17,-0.406138466053389)); #38645=DIRECTION('',(-0.913811548620283,-2.48688086231449E-17,0.406138466053389)); #38646=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38647=DIRECTION('center_axis',(-0.533992991387951,5.17712639405755E-17, -0.845488903030991)); #38648=DIRECTION('ref_axis',(-0.845488903030991,-3.26976403835187E-17,0.533992991387951)); #38649=DIRECTION('',(0.845488903030991,1.69612253422567E-17,-0.533992991387951)); #38650=DIRECTION('',(-0.845488903030991,-3.26976403835187E-17,0.533992991387951)); #38651=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38652=DIRECTION('center_axis',(-0.61144750107587,4.84522322084064E-17, -0.791285001392086)); #38653=DIRECTION('ref_axis',(-0.791285001392086,-3.74403612519606E-17,0.61144750107587)); #38654=DIRECTION('',(0.791285001392086,6.37465091009943E-17,-0.61144750107587)); #38655=DIRECTION('',(-0.791285001392086,-3.74403612519606E-17,0.61144750107587)); #38656=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38657=DIRECTION('center_axis',(-0.684435908416296,4.46428478029531E-17, -0.729073032878298)); #38658=DIRECTION('ref_axis',(-0.729073032878298,-4.19096122231764E-17,0.684435908416296)); #38659=DIRECTION('',(0.729073032878298,1.10624729934208E-16,-0.684435908416296)); #38660=DIRECTION('',(-0.729073032878298,-4.19096122231764E-17,0.684435908416296)); #38661=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38662=DIRECTION('center_axis',(-0.822192191643826,3.48540589308594E-17, -0.569209978830239)); #38663=DIRECTION('ref_axis',(-0.569209978830239,-5.0344751789028E-17,0.822192191643826)); #38664=DIRECTION('',(0.569209978830239,2.09740469086085E-16,-0.822192191643826)); #38665=DIRECTION('',(-0.569209978830239,-5.0344751789028E-17,0.822192191643826)); #38666=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38667=DIRECTION('center_axis',(-0.916157334902189,2.45430751060105E-17, -0.400818834019708)); #38668=DIRECTION('ref_axis',(-0.400818834019708,-5.60984573851668E-17,0.916157334902189)); #38669=DIRECTION('',(0.400818834019708,2.92223741077719E-16,-0.916157334902189)); #38670=DIRECTION('',(-0.400818834019708,-5.60984573851669E-17,0.916157334902189)); #38671=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38672=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #38673=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38674=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #38675=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38676=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38677=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38678=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #38679=DIRECTION('',(0.,-4.28626379701573E-16,1.)); #38680=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #38681=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38682=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #38683=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38684=DIRECTION('',(-1.,2.5065054855558E-16,0.)); #38685=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38686=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38687=DIRECTION('center_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38688=DIRECTION('ref_axis',(0.,-6.12323399573677E-17,1.)); #38689=DIRECTION('',(0.,4.28626379701573E-16,-1.)); #38690=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #38691=DIRECTION('center_axis',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38692=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #38693=DIRECTION('center_axis',(0.691571499126086,-4.42286096343867E-17, 0.72230801019821)); #38694=DIRECTION('ref_axis',(0.72230801019821,4.23465411393148E-17,-0.691571499126086)); #38695=DIRECTION('',(-0.72230801019821,-1.15378888992933E-16,0.691571499126086)); #38696=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38697=DIRECTION('',(0.72230801019821,4.23465411393148E-17,-0.691571499126086)); #38698=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38699=DIRECTION('center_axis',(0.504883315037864,-5.28549967618084E-17, 0.863187603129457)); #38700=DIRECTION('ref_axis',(0.863187603129457,3.09151867852013E-17,-0.504883315037864)); #38701=DIRECTION('',(-0.863187603129457,-4.7861265633484E-20,0.504883315037864)); #38702=DIRECTION('',(0.863187603129457,3.09151867852013E-17,-0.504883315037864)); #38703=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38704=DIRECTION('center_axis',(0.291806643089946,-5.85673450399064E-17, 0.95647733012789)); #38705=DIRECTION('ref_axis',(0.95647733012789,1.78680035715018E-17,-0.291806643089946)); #38706=DIRECTION('',(-0.95647733012789,1.1466554247702E-16,0.291806643089946)); #38707=DIRECTION('',(0.95647733012789,1.78680035715018E-17,-0.291806643089946)); #38708=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38709=DIRECTION('center_axis',(0.0858883421529328,-6.10060723150206E-17, 0.996304768974946)); #38710=DIRECTION('ref_axis',(0.996304768974946,5.25914416508311E-18,-0.0858883421529328)); #38711=DIRECTION('',(-0.996304768974946,2.1291032771653E-16,0.0858883421529328)); #38712=DIRECTION('',(0.996304768974946,5.25914416508311E-18,-0.0858883421529328)); #38713=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38714=DIRECTION('center_axis',(-0.102400045473908,-6.09104598479577E-17, 0.994743298890192)); #38715=DIRECTION('ref_axis',(0.994743298890192,-6.27019439610826E-18,0.102400045473908)); #38716=DIRECTION('',(-0.994743298890192,2.93224314311572E-16,-0.102400045473908)); #38717=DIRECTION('',(0.994743298890192,-6.27019439610826E-18,0.102400045473908)); #38718=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38719=DIRECTION('center_axis',(-0.368625720283136,-5.69202301931098E-17, 0.929577903322653)); #38720=DIRECTION('ref_axis',(0.929577903322653,-2.25718154214065E-17,0.368625720283136)); #38721=DIRECTION('',(-0.929577903322653,3.91001919342815E-16,-0.368625720283136)); #38722=DIRECTION('',(0.929577903322653,-2.25718154214065E-17,0.368625720283136)); #38723=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38724=DIRECTION('center_axis',(-0.586509812151866,-4.95946513321129E-17, 0.809942121543004)); #38725=DIRECTION('ref_axis',(0.809942121543004,-3.59133682060149E-17,0.586509812151866)); #38726=DIRECTION('',(-0.809942121543004,4.54406014505129E-16,-0.586509812151866)); #38727=DIRECTION('',(0.809942121543004,-3.59133682060149E-17,0.586509812151866)); #38728=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38729=DIRECTION('center_axis',(-0.764029915846934,-3.95059311711084E-17, 0.645180817826233)); #38730=DIRECTION('ref_axis',(0.645180817826233,-4.67833395447384E-17,0.764029915846934)); #38731=DIRECTION('',(-0.645180817826233,4.89198302718852E-16,-0.764029915846934)); #38732=DIRECTION('',(0.645180817826233,-4.67833395447384E-17,0.764029915846934)); #38733=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38734=DIRECTION('center_axis',(-0.912509324514976,-2.5047450180982E-17, 0.409055904092855)); #38735=DIRECTION('ref_axis',(0.409055904092855,-5.5875081172969E-17,0.912509324514976)); #38736=DIRECTION('',(-0.409055904092855,4.93655654961555E-16,-0.912509324514976)); #38737=DIRECTION('',(0.409055904092855,-5.5875081172969E-17,0.912509324514976)); #38738=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38739=DIRECTION('center_axis',(-0.971297311631978,-1.45652589025766E-17, 0.237868729379237)); #38740=DIRECTION('ref_axis',(0.237868729379237,-5.94748071855266E-17,0.971297311631978)); #38741=DIRECTION('',(-0.237868729379237,4.7594557780181E-16,-0.971297311631978)); #38742=DIRECTION('',(0.237868729379237,-5.94748071855266E-17,0.971297311631978)); #38743=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38744=DIRECTION('center_axis',(-0.111149102687553,6.08529285612869E-17, -0.993803741677275)); #38745=DIRECTION('ref_axis',(-0.993803741677275,-6.80591964172062E-18,0.111149102687553)); #38746=DIRECTION('',(0.993803741677275,-2.01456015515953E-16,-0.111149102687553)); #38747=DIRECTION('',(-0.993803741677275,-6.80591964172062E-18,0.111149102687553)); #38748=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38749=DIRECTION('center_axis',(0.940690666396471,2.07740195893304E-17, -0.339265486241325)); #38750=DIRECTION('ref_axis',(-0.339265486241325,5.76006906795115E-17,-0.940690666396472)); #38751=DIRECTION('',(0.339265486241325,-4.88241914988944E-16,0.940690666396472)); #38752=DIRECTION('',(-0.339265486241325,5.76006906795115E-17,-0.940690666396472)); #38753=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38754=DIRECTION('center_axis',(0.752576694706661,4.03217780125134E-17, -0.658504607868766)); #38755=DIRECTION('ref_axis',(-0.658504607868766,4.60820320142704E-17,-0.752576694706661)); #38756=DIRECTION('',(0.658504607868766,-4.87628765288576E-16,0.752576694706661)); #38757=DIRECTION('',(-0.658504607868766,4.60820320142704E-17,-0.752576694706661)); #38758=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38759=DIRECTION('center_axis',(0.354654234120489,5.72520863907894E-17, -0.934997526317802)); #38760=DIRECTION('ref_axis',(-0.934997526317802,2.17163086309857E-17,-0.354654234120489)); #38761=DIRECTION('',(0.934997526317802,-3.86371803286567E-16,0.354654234120489)); #38762=DIRECTION('',(-0.934997526317802,2.17163086309857E-17,-0.354654234120489)); #38763=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38764=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #38765=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38766=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #38767=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38768=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38769=DIRECTION('center_axis',(-0.316227766016745,5.80900982181078E-17, -0.948683298050545)); #38770=DIRECTION('ref_axis',(-0.948683298050545,-1.93633660726963E-17,0.316227766016745)); #38771=DIRECTION('',(0.948683298050545,-1.02244426553013E-16,-0.316227766016745)); #38772=DIRECTION('',(-0.948683298050545,-1.93633660726963E-17,0.316227766016745)); #38773=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38774=DIRECTION('center_axis',(-0.720833064901915,4.24406685409402E-17, -0.693108716251723)); #38775=DIRECTION('ref_axis',(-0.693108716251723,-4.41382952825853E-17,0.720833064901915)); #38776=DIRECTION('',(0.693108716251723,1.35239987040949E-16,-0.720833064901915)); #38777=DIRECTION('',(-0.693108716251723,-4.41382952825853E-17,0.720833064901915)); #38778=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38779=DIRECTION('center_axis',(-0.890043364858727,2.79143462382933E-17, -0.455875869805537)); #38780=DIRECTION('ref_axis',(-0.455875869805537,-5.4499437893829E-17,0.890043364858727)); #38781=DIRECTION('',(0.455875869805537,2.67230528416792E-16,-0.890043364858727)); #38782=DIRECTION('',(-0.455875869805537,-5.4499437893829E-17,0.890043364858727)); #38783=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38784=DIRECTION('center_axis',(-0.976187060183926,1.32831595402668E-17, -0.216930457818778)); #38785=DIRECTION('ref_axis',(-0.216930457818778,-5.97742179311655E-17,0.976187060183926)); #38786=DIRECTION('',(0.216930457818778,3.64045787267468E-16,-0.976187060183926)); #38787=DIRECTION('',(-0.216930457818778,-5.97742179311655E-17,0.976187060183926)); #38788=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38789=DIRECTION('center_axis',(-0.993883734673626,6.76198074662211E-18, -0.110431526074784)); #38790=DIRECTION('ref_axis',(-0.110431526074784,-6.08578267196337E-17,0.993883734673626)); #38791=DIRECTION('',(0.110431526074784,3.98325064448961E-16,-0.993883734673626)); #38792=DIRECTION('',(-0.110431526074784,-6.08578267196337E-17,0.993883734673626)); #38793=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38794=DIRECTION('center_axis',(0.650791373455933,-4.64910583909097E-17, 0.759256602365327)); #38795=DIRECTION('ref_axis',(0.759256602365327,3.98494786207759E-17,-0.650791373455933)); #38796=DIRECTION('',(-0.759256602365327,-8.86382664681155E-17,0.650791373455933)); #38797=DIRECTION('',(0.759256602365327,3.98494786207759E-17,-0.650791373455933)); #38798=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38799=DIRECTION('center_axis',(0.374194034192177,-5.67838369463162E-17, 0.927350432563108)); #38800=DIRECTION('ref_axis',(0.927350432563108,2.29127763116742E-17,-0.374194034192177)); #38801=DIRECTION('',(-0.927350432563108,7.20514604434787E-17,0.374194034192177)); #38802=DIRECTION('',(0.927350432563108,2.29127763116742E-17,-0.374194034192177)); #38803=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38804=DIRECTION('center_axis',(0.0948090926279955,-6.09565172038933E-17, 0.995495472593952)); #38805=DIRECTION('ref_axis',(0.995495472593952,5.80538259084696E-18,-0.0948090926279954)); #38806=DIRECTION('',(-0.995495472593952,2.08883808154342E-16,0.0948090926279954)); #38807=DIRECTION('',(0.995495472593952,5.80538259084696E-18,-0.0948090926279955)); #38808=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38809=DIRECTION('center_axis',(-0.143655639204078,-6.05972220072958E-17, 0.989627736739865)); #38810=DIRECTION('ref_axis',(0.989627736739865,-8.79637093653716E-18,0.143655639204078)); #38811=DIRECTION('',(-0.989627736739865,3.09625331635424E-16,-0.143655639204078)); #38812=DIRECTION('',(0.989627736739865,-8.7963709365371E-18,0.143655639204078)); #38813=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38814=DIRECTION('center_axis',(-0.415005179923258,-5.57103492647685E-17, 0.909819048293046)); #38815=DIRECTION('ref_axis',(0.909819048293046,-2.54117382611295E-17,0.415005179923258)); #38816=DIRECTION('',(-0.909819048293046,4.05928811368874E-16,-0.415005179923258)); #38817=DIRECTION('',(0.909819048293046,-2.54117382611295E-17,0.415005179923258)); #38818=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38819=DIRECTION('center_axis',(-0.648118629528321,-4.66308388007828E-17, 0.761539389695852)); #38820=DIRECTION('ref_axis',(0.761539389695852,-3.96858202559814E-17,0.648118629528321)); #38821=DIRECTION('',(-0.761539389695852,4.68681007565817E-16,-0.648118629528321)); #38822=DIRECTION('',(0.761539389695852,-3.96858202559815E-17,0.648118629528321)); #38823=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38824=DIRECTION('center_axis',(-0.805881812890895,-3.62542254850309E-17, 0.592076433960755)); #38825=DIRECTION('ref_axis',(0.592076433960755,-4.93460291323951E-17,0.805881812890895)); #38826=DIRECTION('',(-0.592076433960755,4.9382648688586E-16,-0.805881812890895)); #38827=DIRECTION('',(0.592076433960755,-4.93460291323951E-17,0.805881812890895)); #38828=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38829=DIRECTION('center_axis',(0.732793491626646,-4.1665613040799E-17, 0.680451099366905)); #38830=DIRECTION('ref_axis',(0.680451099366905,4.48706601978292E-17,-0.732793491626646)); #38831=DIRECTION('',(-0.680451099366905,-1.43539180063242E-16,0.732793491626646)); #38832=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38833=DIRECTION('',(0.680451099366905,4.48706601978292E-17,-0.732793491626646)); #38834=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38835=DIRECTION('center_axis',(0.908490452678543,-2.55893382733655E-17, 0.417905608232214)); #38836=DIRECTION('ref_axis',(0.417905608232214,5.56289962464353E-17,-0.908490452678543)); #38837=DIRECTION('',(-0.417905608232214,-2.84654703777189E-16,0.908490452678543)); #38838=DIRECTION('',(0.417905608232214,5.56289962464354E-17,-0.908490452678543)); #38839=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38840=DIRECTION('center_axis',(0.995350013555302,-5.89815584579802E-18, 0.096324194860176)); #38841=DIRECTION('ref_axis',(0.096324194860176,6.09476104065888E-17,-0.995350013555302)); #38842=DIRECTION('',(-0.096324194860176,-4.02489560565243E-16,0.995350013555302)); #38843=DIRECTION('',(0.096324194860176,6.09476104065888E-17,-0.995350013555302)); #38844=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38845=DIRECTION('center_axis',(0.993883734673621,6.76198074662504E-18, -0.110431526074832)); #38846=DIRECTION('ref_axis',(-0.110431526074832,6.08578267196333E-17,-0.99388373467362)); #38847=DIRECTION('',(0.110431526074832,-4.53684509625919E-16,0.993883734673621)); #38848=DIRECTION('',(-0.110431526074832,6.08578267196333E-17,-0.99388373467362)); #38849=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38850=DIRECTION('center_axis',(0.886225443961875,2.83661392332098E-17, -0.463254209343614)); #38851=DIRECTION('ref_axis',(-0.463254209343614,5.42656576635426E-17,-0.886225443961875)); #38852=DIRECTION('',(0.463254209343614,-4.95974525337457E-16,0.886225443961875)); #38853=DIRECTION('',(-0.463254209343614,5.42656576635426E-17,-0.886225443961875)); #38854=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38855=DIRECTION('center_axis',(0.558376368589973,5.07975989866997E-17, -0.829587747619427)); #38856=DIRECTION('ref_axis',(-0.829587747619427,3.41906916256617E-17,-0.558376368589973)); #38857=DIRECTION('',(0.829587747619427,-4.47271465395429E-16,0.558376368589973)); #38858=DIRECTION('',(-0.829587747619427,3.41906916256617E-17,-0.558376368589973)); #38859=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38860=DIRECTION('center_axis',(0.114624107984955,6.08287536779493E-17, -0.993408935871151)); #38861=DIRECTION('ref_axis',(-0.993408935871151,7.01870234744485E-18,-0.114624107984955)); #38862=DIRECTION('',(0.993408935871151,-2.98129411148233E-16,0.114624107984955)); #38863=DIRECTION('',(-0.993408935871152,7.01870234744484E-18,-0.114624107984955)); #38864=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38865=DIRECTION('center_axis',(-0.306531366089323,5.82846492706958E-17, -0.951860557856776)); #38866=DIRECTION('ref_axis',(-0.951860557856776,-1.87696328159777E-17,0.306531366089323)); #38867=DIRECTION('',(0.951860557856776,-1.07196941263378E-16,-0.306531366089323)); #38868=DIRECTION('',(-0.951860557856776,-1.87696328159778E-17,0.306531366089323)); #38869=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38870=DIRECTION('center_axis',(0.808736084303307,-3.60151293453305E-17, 0.588171697674884)); #38871=DIRECTION('ref_axis',(0.588171697674884,4.95208028498505E-17,-0.808736084303307)); #38872=DIRECTION('',(-0.588171697674884,-1.99220061281876E-16,0.808736084303307)); #38873=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38874=DIRECTION('',(0.588171697674884,4.95208028498505E-17,-0.808736084303307)); #38875=DIRECTION('center_axis',(-0.929577903322704,-2.25718154213986E-17, 0.368625720283008)); #38876=DIRECTION('ref_axis',(0.368625720283008,-5.69202301931129E-17,0.929577903322704)); #38877=DIRECTION('',(-0.368625720283008,4.90837850352421E-16,-0.929577903322704)); #38878=DIRECTION('',(0.368625720283008,-5.69202301931129E-17,0.929577903322704)); #38879=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38880=DIRECTION('center_axis',(-0.986393923832136,-1.00665346793313E-17, 0.164398987305402)); #38881=DIRECTION('ref_axis',(0.164398987305402,-6.03992080759712E-17,0.986393923832136)); #38882=DIRECTION('',(-0.164398987305402,4.64001152881879E-16,-0.986393923832136)); #38883=DIRECTION('',(0.164398987305402,-6.03992080759712E-17,0.986393923832136)); #38884=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38885=DIRECTION('center_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38886=DIRECTION('ref_axis',(0.,-6.12323399573677E-17,1.)); #38887=DIRECTION('',(0.,4.28626379701573E-16,-1.)); #38888=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #38889=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38890=DIRECTION('center_axis',(-0.996129850025382,5.38194367221419E-18, -0.0878938102963452)); #38891=DIRECTION('ref_axis',(-0.0878938102963452,-6.09953616184359E-17, 0.996129850025382)); #38892=DIRECTION('',(0.0878938102963452,4.04936899563631E-16,-0.996129850025382)); #38893=DIRECTION('',(-0.0878938102963452,-6.09953616184359E-17,0.996129850025382)); #38894=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38895=DIRECTION('center_axis',(-0.953342225350736,1.84855354921584E-17, -0.301891704694427)); #38896=DIRECTION('ref_axis',(-0.301891704694427,-5.83753752383897E-17,0.953342225350736)); #38897=DIRECTION('',(0.301891704694427,3.3295830528269E-16,-0.953342225350736)); #38898=DIRECTION('',(-0.301891704694427,-5.83753752383897E-17,0.953342225350736)); #38899=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38900=DIRECTION('center_axis',(-0.851658316704544,3.20917117390598E-17, -0.524097425664335)); #38901=DIRECTION('ref_axis',(-0.524097425664335,-5.21490315759722E-17,0.851658316704544)); #38902=DIRECTION('',(0.524097425664335,2.33677913792471E-16,-0.851658316704544)); #38903=DIRECTION('',(-0.524097425664335,-5.21490315759722E-17,0.851658316704544)); #38904=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38905=DIRECTION('center_axis',(-0.761939317759372,3.96570282321485E-17, -0.647648420095643)); #38906=DIRECTION('ref_axis',(-0.647648420095643,-4.66553273319267E-17,0.761939317759372)); #38907=DIRECTION('',(0.647648420095643,1.64253859555358E-16,-0.761939317759372)); #38908=DIRECTION('',(-0.647648420095643,-4.66553273319267E-17,0.761939317759372)); #38909=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38910=DIRECTION('center_axis',(-0.632376489912313,4.74343179589066E-17, -0.774661200142477)); #38911=DIRECTION('ref_axis',(-0.774661200142477,-3.87218922113576E-17,0.632376489912313)); #38912=DIRECTION('',(0.774661200142477,7.68839907190669E-17,-0.632376489912313)); #38913=DIRECTION('',(-0.774661200142477,-3.87218922113576E-17,0.632376489912313)); #38914=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38915=DIRECTION('center_axis',(-0.407650840373896,5.59135692453644E-17, -0.913137882437508)); #38916=DIRECTION('ref_axis',(-0.913137882437508,-2.4961414841681E-17,0.407650840373896)); #38917=DIRECTION('',(0.913137882437508,-5.41486072480758E-17,-0.407650840373896)); #38918=DIRECTION('',(-0.913137882437508,-2.4961414841681E-17,0.407650840373896)); #38919=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38920=DIRECTION('center_axis',(-0.166858303692828,6.03739167698372E-17, -0.985980885458107)); #38921=DIRECTION('ref_axis',(-0.985980885458107,-1.02171243764289E-17,0.166858303692828)); #38922=DIRECTION('',(0.985980885458107,-1.75616779170389E-16,-0.166858303692828)); #38923=DIRECTION('',(-0.985980885458107,-1.02171243764289E-17,0.166858303692828)); #38924=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38925=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #38926=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38927=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #38928=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #38929=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38930=DIRECTION('center_axis',(0.108726591285548,6.08693357715001E-17, -0.994071691754385)); #38931=DIRECTION('ref_axis',(-0.994071691754385,6.65758360000244E-18,-0.108726591285548)); #38932=DIRECTION('',(0.994071691754385,-2.95767700041828E-16,0.108726591285548)); #38933=DIRECTION('',(-0.994071691754385,6.65758360000248E-18,-0.108726591285548)); #38934=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38935=DIRECTION('center_axis',(0.340441083604917,5.75746781748231E-17, -0.940265849956229)); #38936=DIRECTION('ref_axis',(-0.940265849956229,2.08460041667509E-17,-0.340441083604917)); #38937=DIRECTION('',(0.940265849956229,-3.81600180246864E-16,0.340441083604917)); #38938=DIRECTION('',(-0.940265849956229,2.08460041667509E-17,-0.340441083604917)); #38939=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38940=DIRECTION('center_axis',(0.57668319759862,5.00248539376737E-17,-0.816967863264786)); #38941=DIRECTION('ref_axis',(-0.816967863264786,3.53116616030606E-17,-0.57668319759862)); #38942=DIRECTION('',(0.816967863264786,-4.51955074301023E-16,0.57668319759862)); #38943=DIRECTION('',(-0.816967863264786,3.53116616030606E-17,-0.57668319759862)); #38944=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38945=DIRECTION('center_axis',(0.718240222789223,4.26051691962265E-17, -0.695795215826962)); #38946=DIRECTION('ref_axis',(-0.695795215826962,4.39795294928852E-17,-0.718240222789223)); #38947=DIRECTION('',(0.695795215826962,-4.82258158979572E-16,0.718240222789223)); #38948=DIRECTION('',(-0.695795215826962,4.39795294928852E-17,-0.718240222789223)); #38949=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38950=DIRECTION('center_axis',(0.321039281157875,-5.7991059890412E-17, 0.947065879415806)); #38951=DIRECTION('ref_axis',(0.947065879415806,1.9657986403528E-17,-0.321039281157875)); #38952=DIRECTION('',(-0.947065879415806,9.97766773691496E-17,0.321039281157875)); #38953=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38954=DIRECTION('',(0.947065879415806,1.9657986403528E-17,-0.321039281157875)); #38955=DIRECTION('center_axis',(-0.707106781186741,4.32978028117628E-17, -0.707106781186354)); #38956=DIRECTION('ref_axis',(-0.707106781186354,-4.32978028117865E-17,0.707106781186741)); #38957=DIRECTION('',(0.707106781186354,1.25847917090775E-16,-0.707106781186741)); #38958=DIRECTION('',(-0.707106781186354,-4.32978028117865E-17,0.707106781186741)); #38959=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38960=DIRECTION('center_axis',(-0.901252424525185,2.65316321374656E-17, -0.43329443486788)); #38961=DIRECTION('ref_axis',(-0.43329443486788,-5.5185794845928E-17,0.901252424525185)); #38962=DIRECTION('',(0.43329443486788,2.77695076135781E-16,-0.901252424525185)); #38963=DIRECTION('',(-0.43329443486788,-5.51857948459279E-17,0.901252424525185)); #38964=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38965=DIRECTION('center_axis',(-0.993652968314958,6.88796562515136E-18, -0.112489015280929)); #38966=DIRECTION('ref_axis',(-0.112489015280929,-6.0843696355509E-17,0.993652968314958)); #38967=DIRECTION('',(0.112489015280929,3.97710441101921E-16,-0.993652968314958)); #38968=DIRECTION('',(-0.112489015280929,-6.0843696355509E-17,0.993652968314958)); #38969=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38970=DIRECTION('center_axis',(-0.991600411186214,-7.91974088864965E-18, 0.129339184067825)); #38971=DIRECTION('ref_axis',(0.129339184067825,-6.07180134796198E-17,0.991600411186214)); #38972=DIRECTION('',(-0.129339184067825,4.5744503179367E-16,-0.991600411186214)); #38973=DIRECTION('',(0.129339184067825,-6.07180134796199E-17,0.991600411186214)); #38974=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38975=DIRECTION('center_axis',(-0.879291966536774,-2.91639316674128E-17, 0.476283148540753)); #38976=DIRECTION('ref_axis',(0.476283148540753,-5.38411046167622E-17,0.879291966536774)); #38977=DIRECTION('',(-0.476283148540753,4.96268364766853E-16,-0.879291966536774)); #38978=DIRECTION('',(0.476283148540753,-5.38411046167622E-17,0.879291966536774)); #38979=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38980=DIRECTION('center_axis',(-0.590016589342335,-4.94384476766551E-17, 0.807391122257879)); #38981=DIRECTION('ref_axis',(0.807391122257879,-3.61280963790965E-17,0.590016589342335)); #38982=DIRECTION('',(-0.807391122257879,4.55269702346518E-16,-0.590016589342335)); #38983=DIRECTION('',(0.807391122257879,-3.61280963790965E-17,0.590016589342335)); #38984=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38985=DIRECTION('center_axis',(-0.14142135623731,-6.06169239364846E-17, 0.989949493661167)); #38986=DIRECTION('ref_axis',(0.989949493661167,-8.65956056235494E-18,0.14142135623731)); #38987=DIRECTION('',(-0.989949493661167,3.08748307564975E-16,-0.14142135623731)); #38988=DIRECTION('',(0.989949493661167,-8.65956056235494E-18,0.14142135623731)); #38989=DIRECTION('center_axis',(0.832050294337844,3.39655909896818E-17, -0.554700196225229)); #38990=DIRECTION('ref_axis',(-0.554700196225229,5.09483864845227E-17,-0.832050294337844)); #38991=DIRECTION('',(0.554700196225229,-4.956746138594E-16,0.832050294337844)); #38992=DIRECTION('',(-0.554700196225229,5.09483864845227E-17,-0.832050294337844)); #38993=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38994=DIRECTION('center_axis',(0.918211212871248,2.42535855894562E-17, -0.39609111143462)); #38995=DIRECTION('ref_axis',(-0.39609111143462,5.62242211391992E-17,-0.918211212871248)); #38996=DIRECTION('',(0.39609111143462,-4.92850002333471E-16,0.918211212871248)); #38997=DIRECTION('',(-0.39609111143462,5.62242211391992E-17,-0.918211212871248)); #38998=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #38999=DIRECTION('center_axis',(0.966234939601219,1.5777287013433E-17,-0.257662650560435)); #39000=DIRECTION('ref_axis',(-0.257662650560435,5.91648263003485E-17,-0.966234939601219)); #39001=DIRECTION('',(0.257662650560435,-4.78737068807697E-16,0.966234939601219)); #39002=DIRECTION('',(-0.257662650560435,5.91648263003485E-17,-0.966234939601219)); #39003=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39004=DIRECTION('center_axis',(0.989949493661169,8.65956056235389E-18, -0.141421356237291)); #39005=DIRECTION('ref_axis',(-0.141421356237291,6.06169239364848E-17,-0.989949493661169)); #39006=DIRECTION('',(0.141421356237291,-4.59765808073744E-16,0.989949493661169)); #39007=DIRECTION('',(-0.141421356237291,6.06169239364848E-17,-0.989949493661169)); #39008=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39009=DIRECTION('center_axis',(0.99872061358136,3.09640507003751E-18,-0.0505681323332305)); #39010=DIRECTION('ref_axis',(-0.0505681323332305,6.11540001332447E-17,-0.99872061358136)); #39011=DIRECTION('',(0.0505681323332305,-4.40752931041468E-16,0.99872061358136)); #39012=DIRECTION('',(-0.0505681323332305,6.11540001332447E-17,-0.99872061358136)); #39013=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39014=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39015=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #39016=DIRECTION('',(0.,-4.28626379701573E-16,1.)); #39017=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #39018=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39019=DIRECTION('center_axis',(0.999314833766765,-2.26631057873103E-18, 0.0370116605099354)); #39020=DIRECTION('ref_axis',(0.0370116605099354,6.1190385625647E-17,-0.999314833766765)); #39021=DIRECTION('',(-0.0370116605099354,-4.1905570636976E-16,0.999314833766765)); #39022=DIRECTION('',(0.0370116605099354,6.1190385625647E-17,-0.999314833766765)); #39023=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39024=DIRECTION('center_axis',(0.992061421937446,-7.70022789041663E-18, 0.125754264752544)); #39025=DIRECTION('ref_axis',(0.125754264752544,6.07462422466633E-17,-0.992061421937446)); #39026=DIRECTION('',(-0.125754264752544,-3.93703320283213E-16,0.992061421937446)); #39027=DIRECTION('',(0.125754264752544,6.07462422466633E-17,-0.992061421937446)); #39028=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39029=DIRECTION('center_axis',(0.972806214685355,-1.41826668691113E-17, 0.231620527306092)); #39030=DIRECTION('ref_axis',(0.231620527306092,5.95672008502536E-17,-0.972806214685355)); #39031=DIRECTION('',(-0.231620527306092,-3.5891459372577E-16,0.972806214685355)); #39032=DIRECTION('',(0.231620527306092,5.95672008502536E-17,-0.972806214685355)); #39033=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39034=DIRECTION('center_axis',(0.929681500465434,-2.25558122162309E-17, 0.368364368108995)); #39035=DIRECTION('ref_axis',(0.368364368108995,5.69265736885752E-17,-0.929681500465434)); #39036=DIRECTION('',(-0.368364368108995,-3.06155284885176E-16,0.929681500465434)); #39037=DIRECTION('',(0.368364368108995,5.69265736885752E-17,-0.929681500465434)); #39038=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39039=DIRECTION('center_axis',(0.857492925712529,-3.15037790029626E-17, 0.514495755427553)); #39040=DIRECTION('ref_axis',(0.514495755427553,5.25062983382673E-17,-0.857492925712528)); #39041=DIRECTION('',(-0.514495755427553,-2.38585445040437E-16,0.857492925712528)); #39042=DIRECTION('',(0.514495755427553,5.25062983382673E-17,-0.857492925712528)); #39043=DIRECTION('center_axis',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39044=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39045=DIRECTION('center_axis',(0.700340670128045,-4.37081806530868E-17, 0.713808759938263)); #39046=DIRECTION('ref_axis',(0.713808759938263,4.28834979992511E-17,-0.700340670128045)); #39047=DIRECTION('',(-0.713808759938263,-1.21267928752453E-16,0.700340670128045)); #39048=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39049=DIRECTION('',(0.713808759938263,4.28834979992511E-17,-0.700340670128045)); #39050=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39051=DIRECTION('center_axis',(0.654930538418092,-4.62726108194443E-17, 0.755689082789601)); #39052=DIRECTION('ref_axis',(0.755689082789601,4.01029293768784E-17,-0.654930538418092)); #39053=DIRECTION('',(-0.755689082789601,-9.13066224994716E-17,0.654930538418092)); #39054=DIRECTION('',(0.755689082789601,4.01029293768784E-17,-0.654930538418092)); #39055=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39056=DIRECTION('center_axis',(0.682744903007338,-4.47398271633582E-17, 0.730656826025393)); #39057=DIRECTION('ref_axis',(0.730656826025393,4.18060680051053E-17,-0.682744903007338)); #39058=DIRECTION('',(-0.730656826025393,-1.09502941786593E-16,0.682744903007338)); #39059=DIRECTION('',(0.730656826025393,4.18060680051053E-17,-0.682744903007338)); #39060=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39061=DIRECTION('center_axis',(0.717580691628267,-4.26468170667304E-17, 0.696475377168711)); #39062=DIRECTION('ref_axis',(0.69647537716871,4.39391448566251E-17,-0.717580691628267)); #39063=DIRECTION('',(-0.69647537716871,-1.33002078653583E-16,0.717580691628267)); #39064=DIRECTION('',(0.696475377168711,4.39391448566251E-17,-0.717580691628267)); #39065=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39066=DIRECTION('center_axis',(0.802233221273972,-3.65563663852287E-17, 0.597010769320274)); #39067=DIRECTION('ref_axis',(0.597010769320274,4.9122617330142E-17,-0.802233221273972)); #39068=DIRECTION('',(-0.597010769320274,-1.94217244487278E-16,0.802233221273972)); #39069=DIRECTION('',(0.597010769320274,4.9122617330142E-17,-0.802233221273972)); #39070=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39071=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #39072=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39073=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #39074=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39075=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39076=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39077=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #39078=DIRECTION('',(0.,-4.28626379701573E-16,1.)); #39079=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #39080=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39081=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #39082=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39083=DIRECTION('',(-1.,2.5065054855558E-16,0.)); #39084=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39085=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39086=DIRECTION('center_axis',(-0.982338566422477,1.14573122004591E-17, -0.187112107889982)); #39087=DIRECTION('ref_axis',(-0.187112107889982,-6.01508890524144E-17,0.982338566422477)); #39088=DIRECTION('',(0.187112107889982,3.74156470882885E-16,-0.982338566422477)); #39089=DIRECTION('',(-0.187112107889982,-6.01508890524144E-17,0.982338566422477)); #39090=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39091=DIRECTION('center_axis',(-0.930565951697394,2.24186481470486E-17, -0.366124308864521)); #39092=DIRECTION('ref_axis',(-0.366124308864521,-5.69807307070862E-17,0.930565951697394)); #39093=DIRECTION('',(0.366124308864521,3.07095856093178E-16,-0.930565951697394)); #39094=DIRECTION('',(-0.366124308864521,-5.69807307070862E-17,0.930565951697394)); #39095=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39096=DIRECTION('center_axis',(-0.888974556426578,2.80417535846571E-17, -0.457956589674358)); #39097=DIRECTION('ref_axis',(-0.457956589674358,-5.44339922525624E-17,0.888974556426578)); #39098=DIRECTION('',(0.457956589674358,2.66250875351415E-16,-0.888974556426578)); #39099=DIRECTION('',(-0.457956589674358,-5.44339922525624E-17,0.888974556426578)); #39100=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39101=DIRECTION('center_axis',(-0.812323733591624,3.57111160076925E-17, -0.583206783091353)); #39102=DIRECTION('ref_axis',(-0.583206783091353,-4.97404830107205E-17,0.812323733591624)); #39103=DIRECTION('',(0.583206783091353,2.0200228097186E-16,-0.812323733591624)); #39104=DIRECTION('',(-0.583206783091353,-4.97404830107206E-17,0.812323733591624)); #39105=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39106=DIRECTION('center_axis',(-0.770961751627076,3.89977412750182E-17, -0.636881446996308)); #39107=DIRECTION('ref_axis',(-0.636881446996308,-4.72077920697568E-17,0.770961751627076)); #39108=DIRECTION('',(0.636881446996308,1.70819860433801E-16,-0.770961751627076)); #39109=DIRECTION('',(-0.636881446996308,-4.72077920697568E-17,0.770961751627076)); #39110=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39111=DIRECTION('center_axis',(-0.72110471028743,4.24233629312506E-17, -0.69282609419773)); #39112=DIRECTION('ref_axis',(-0.69282609419773,-4.4154928765179E-17,0.72110471028743)); #39113=DIRECTION('',(0.69282609419773,1.35427260791972E-16,-0.72110471028743)); #39114=DIRECTION('',(-0.69282609419773,-4.4154928765179E-17,0.72110471028743)); #39115=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39116=DIRECTION('center_axis',(-0.701037528081043,4.36662745913335E-17, -0.713124382013419)); #39117=DIRECTION('ref_axis',(-0.713124382013419,-4.29261682423311E-17,0.701037528081043)); #39118=DIRECTION('',(0.713124382013419,1.21738160156295E-16,-0.701037528081043)); #39119=DIRECTION('',(-0.713124382013419,-4.29261682423311E-17,0.701037528081043)); #39120=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39121=DIRECTION('center_axis',(-0.554700196224634,5.0948386484547E-17, -0.83205029433824)); #39122=DIRECTION('ref_axis',(-0.83205029433824,-3.39655909896454E-17,0.554700196224634)); #39123=DIRECTION('',(0.83205029433824,2.9205274225805E-17,-0.554700196224634)); #39124=DIRECTION('',(-0.83205029433824,-3.39655909896454E-17,0.554700196224634)); #39125=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39126=DIRECTION('center_axis',(-0.689937545029929,4.43241865512154E-17, -0.723868899703582)); #39127=DIRECTION('ref_axis',(-0.723868899703582,-4.22464903066242E-17,0.689937545029929)); #39128=DIRECTION('',(0.723868899703582,1.14287295353342E-16,-0.689937545029929)); #39129=DIRECTION('',(-0.723868899703582,-4.22464903066242E-17,0.689937545029929)); #39130=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39131=DIRECTION('center_axis',(-0.722641857147769,4.23251800441005E-17, -0.691222645967291)); #39132=DIRECTION('ref_axis',(-0.691222645967292,-4.42490518642957E-17,0.722641857147769)); #39133=DIRECTION('',(0.691222645967292,1.36488027664328E-16,-0.722641857147769)); #39134=DIRECTION('',(-0.691222645967292,-4.42490518642957E-17,0.722641857147769)); #39135=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39136=DIRECTION('center_axis',(-0.775234985517212,3.86788128874456E-17, -0.631672951162331)); #39137=DIRECTION('ref_axis',(-0.631672951162331,-4.7469452180035E-17,0.775234985517212)); #39138=DIRECTION('',(0.631672951162331,1.73956993543683E-16,-0.775234985517212)); #39139=DIRECTION('',(-0.631672951162331,-4.7469452180035E-17,0.775234985517212)); #39140=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39141=DIRECTION('center_axis',(-0.911921505175133,2.51275894286889E-17, -0.410364677328739)); #39142=DIRECTION('ref_axis',(-0.410364677328739,-5.58390876193182E-17,0.911921505175133)); #39143=DIRECTION('',(0.410364677328739,2.88015481854944E-16,-0.911921505175133)); #39144=DIRECTION('',(-0.410364677328739,-5.58390876193182E-17,0.911921505175133)); #39145=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39146=DIRECTION('center_axis',(-0.990492273177739,8.4236332772786E-18, -0.137568371274778)); #39147=DIRECTION('ref_axis',(-0.137568371274778,-6.06501595963652E-17,0.990492273177739)); #39148=DIRECTION('',(0.137568371274778,3.90069529450635E-16,-0.990492273177739)); #39149=DIRECTION('',(-0.137568371274778,-6.06501595963653E-17,0.990492273177739)); #39150=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39151=DIRECTION('center_axis',(-0.972806214685355,-1.41826668691113E-17, 0.231620527306092)); #39152=DIRECTION('ref_axis',(0.231620527306092,-5.95672008502537E-17,0.972806214685355)); #39153=DIRECTION('',(-0.231620527306092,4.7502621817778E-16,-0.972806214685355)); #39154=DIRECTION('',(0.231620527306092,-5.95672008502537E-17,0.972806214685355)); #39155=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39156=DIRECTION('center_axis',(-0.794357832977381,-3.71955914938998E-17, 0.607450107570555)); #39157=DIRECTION('ref_axis',(0.607450107570555,-4.86403888766688E-17,0.794357832977381)); #39158=DIRECTION('',(-0.607450107570555,4.92740424819388E-16,-0.794357832977381)); #39159=DIRECTION('',(0.607450107570555,-4.86403888766688E-17,0.794357832977381)); #39160=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39161=DIRECTION('center_axis',(-0.440673190639263,-5.49662882986408E-17, 0.897667610561845)); #39162=DIRECTION('ref_axis',(0.897667610561845,-2.69834506193213E-17,0.440673190639263)); #39163=DIRECTION('',(-0.897667610561845,4.13885033343152E-16,-0.440673190639263)); #39164=DIRECTION('',(0.897667610561845,-2.69834506193213E-17,0.440673190639263)); #39165=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39166=DIRECTION('center_axis',(-0.0434372242765172,-6.11745463248982E-17, 0.99905615835505)); #39167=DIRECTION('ref_axis',(0.99905615835505,-2.65976288370414E-18,0.0434372242765172)); #39168=DIRECTION('',(-0.99905615835505,2.69032314315453E-16,-0.0434372242765172)); #39169=DIRECTION('',(0.99905615835505,-2.65976288370414E-18,0.0434372242765172)); #39170=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39171=DIRECTION('center_axis',(0.249878019021735,-5.92898862583821E-17, 0.968277323709367)); #39172=DIRECTION('ref_axis',(0.968277323709367,1.53006158086124E-17,-0.249878019021735)); #39173=DIRECTION('',(-0.968277323709367,1.35594931681395E-16,0.249878019021735)); #39174=DIRECTION('',(0.968277323709367,1.53006158086124E-17,-0.249878019021735)); #39175=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39176=DIRECTION('center_axis',(0.630592625094602,-4.75232763007848E-17, 0.776114000116155)); #39177=DIRECTION('ref_axis',(0.776114000116155,3.86126619944016E-17,-0.630592625094602)); #39178=DIRECTION('',(-0.776114000116155,-7.57552340900303E-17,0.630592625094602)); #39179=DIRECTION('',(0.776114000116155,3.86126619944016E-17,-0.630592625094602)); #39180=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39181=DIRECTION('center_axis',(0.890906146901997,-2.78109601860269E-17, 0.454187447440192)); #39182=DIRECTION('ref_axis',(0.454187447440192,5.45522680572117E-17,-0.890906146901997)); #39183=DIRECTION('',(-0.454187447440192,-2.68023543552538E-16,0.890906146901997)); #39184=DIRECTION('',(0.454187447440192,5.45522680572117E-17,-0.890906146901997)); #39185=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39186=DIRECTION('center_axis',(0.985821169784098,-1.02747467243326E-17, 0.167799348048535)); #39187=DIRECTION('ref_axis',(0.167799348048535,6.03641370053898E-17,-0.985821169784098)); #39188=DIRECTION('',(-0.167799348048535,-3.80489960402093E-16,0.985821169784098)); #39189=DIRECTION('',(0.167799348048535,6.03641370053898E-17,-0.985821169784098)); #39190=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39191=DIRECTION('center_axis',(-0.0957124066442815,-6.09512240665016E-17, 0.995409029100279)); #39192=DIRECTION('ref_axis',(0.995409029100279,-5.86069462178049E-18,0.0957124066442815)); #39193=DIRECTION('',(-0.995409029100279,2.90524681533626E-16,-0.0957124066442815)); #39194=DIRECTION('',(0.995409029100279,-5.86069462178049E-18,0.0957124066442815)); #39195=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39196=DIRECTION('center_axis',(-0.981615389668581,1.16873958536943E-17, -0.190869659102224)); #39197=DIRECTION('ref_axis',(-0.190869659102224,-6.01066072475705E-17,0.981615389668581)); #39198=DIRECTION('',(0.190869659102224,3.72904665976404E-16,-0.981615389668581)); #39199=DIRECTION('',(-0.190869659102224,-6.01066072475705E-17,0.981615389668581)); #39200=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39201=DIRECTION('center_axis',(-0.918324086366516,2.42375571756185E-17, -0.395829347571784)); #39202=DIRECTION('ref_axis',(-0.395829347571784,-5.62311326474336E-17,0.918324086366516)); #39203=DIRECTION('',(0.395829347571784,2.94403085428769E-16,-0.918324086366516)); #39204=DIRECTION('',(-0.395829347571784,-5.62311326474336E-17,0.918324086366516)); #39205=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39206=DIRECTION('center_axis',(-0.780868809443062,3.82515395215341E-17, -0.624695047554385)); #39207=DIRECTION('ref_axis',(-0.624695047554385,-4.78144244019225E-17,0.780868809443062)); #39208=DIRECTION('',(0.624695047554385,1.78120814463996E-16,-0.780868809443062)); #39209=DIRECTION('',(-0.624695047554385,-4.78144244019225E-17,0.780868809443062)); #39210=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39211=DIRECTION('center_axis',(-0.645942241466198,4.67439285445125E-17, -0.763386285369094)); #39212=DIRECTION('ref_axis',(-0.763386285369094,-3.95525549222823E-17,0.645942241466198)); #39213=DIRECTION('',(0.763386285369094,8.55246932684057E-17,-0.645942241466198)); #39214=DIRECTION('',(-0.763386285369094,-3.95525549222823E-17,0.645942241466198)); #39215=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39216=DIRECTION('center_axis',(-0.512064542316587,5.25953331300932E-17, -0.858946974208597)); #39217=DIRECTION('ref_axis',(-0.858946974208597,-3.13549101352431E-17,0.512064542316587)); #39218=DIRECTION('',(0.858946974208597,4.18884068116075E-18,-0.512064542316587)); #39219=DIRECTION('',(-0.858946974208597,-3.13549101352431E-17,0.512064542316587)); #39220=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39221=DIRECTION('center_axis',(-0.297383659043948,5.84620703057292E-17, -0.954758063246199)); #39222=DIRECTION('ref_axis',(-0.954758063246199,-1.8209497308345E-17,0.297383659043948)); #39223=DIRECTION('',(0.954758063246199,-1.11844151132109E-16,-0.297383659043948)); #39224=DIRECTION('',(-0.954758063246199,-1.8209497308345E-17,0.297383659043948)); #39225=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39226=DIRECTION('center_axis',(-0.0878938102963452,6.09953616184359E-17, -0.996129850025382)); #39227=DIRECTION('ref_axis',(-0.996129850025382,-5.38194367221417E-18,0.0878938102963452)); #39228=DIRECTION('',(0.996129850025382,-2.12006887635951E-16,-0.0878938102963452)); #39229=DIRECTION('',(-0.996129850025382,-5.38194367221417E-18,0.0878938102963452)); #39230=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39231=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #39232=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39233=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #39234=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39235=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39236=DIRECTION('center_axis',(0.0854011413464245,6.10086367295087E-17, -0.996346649041752)); #39237=DIRECTION('ref_axis',(-0.996346649041752,5.22931171967147E-18,-0.0854011413464246)); #39238=DIRECTION('',(0.996346649041752,-2.8634001617153E-16,0.0854011413464246)); #39239=DIRECTION('',(-0.996346649041752,5.22931171967147E-18,-0.0854011413464246)); #39240=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39241=DIRECTION('center_axis',(0.301891704694469,5.83753752383889E-17, -0.953342225350722)); #39242=DIRECTION('ref_axis',(-0.953342225350722,1.8485535492161E-17,-0.301891704694469)); #39243=DIRECTION('',(0.953342225350722,-3.68354500190483E-16,0.301891704694469)); #39244=DIRECTION('',(-0.953342225350722,1.84855354921611E-17,-0.301891704694469)); #39245=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39246=DIRECTION('center_axis',(0.531491071063901,5.18677043541361E-17, -0.847063894508169)); #39247=DIRECTION('ref_axis',(-0.847063894508169,3.25444419476903E-17,-0.531491071063901)); #39248=DIRECTION('',(0.847063894508169,-4.40128123453931E-16,0.531491071063901)); #39249=DIRECTION('',(-0.847063894508169,3.25444419476903E-17,-0.531491071063901)); #39250=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39251=DIRECTION('center_axis',(0.664363838829728,4.57656214889528E-17, -0.74740931868383)); #39252=DIRECTION('ref_axis',(-0.74740931868383,4.06805524346038E-17,-0.664363838829728)); #39253=DIRECTION('',(0.74740931868383,-4.72102422765881E-16,0.664363838829728)); #39254=DIRECTION('',(-0.74740931868383,4.06805524346038E-17,-0.664363838829728)); #39255=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39256=DIRECTION('center_axis',(0.780868809443087,3.82515395215321E-17, -0.624695047554353)); #39257=DIRECTION('ref_axis',(-0.624695047554353,4.7814424401924E-17,-0.780868809443087)); #39258=DIRECTION('',(0.624695047554353,-4.91281127162921E-16,0.780868809443087)); #39259=DIRECTION('',(-0.624695047554353,4.7814424401924E-17,-0.780868809443087)); #39260=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39261=DIRECTION('center_axis',(0.927350432563108,2.29127763116742E-17, -0.374194034192177)); #39262=DIRECTION('ref_axis',(-0.374194034192177,5.67838369463162E-17,-0.927350432563108)); #39263=DIRECTION('',(0.374194034192177,-4.91278798560708E-16,0.927350432563108)); #39264=DIRECTION('',(-0.374194034192177,5.67838369463163E-17,-0.927350432563108)); #39265=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39266=DIRECTION('center_axis',(0.994102176607996,6.6404948105907E-18,-0.108447510175409)); #39267=DIRECTION('ref_axis',(-0.108447510175409,6.087120243042E-17,-0.994102176607996)); #39268=DIRECTION('',(0.108447510175409,-4.53280844927892E-16,0.994102176607996)); #39269=DIRECTION('',(-0.108447510175409,6.087120243042E-17,-0.994102176607996)); #39270=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39271=DIRECTION('center_axis',(0.994251140256685,-6.55634256557904E-18, 0.107073199720014)); #39272=DIRECTION('ref_axis',(0.107073199720014,6.08803238231979E-17,-0.994251140256685)); #39273=DIRECTION('',(-0.107073199720014,-3.99324310516961E-16,0.994251140256685)); #39274=DIRECTION('',(0.107073199720014,6.08803238231979E-17,-0.994251140256685)); #39275=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39276=DIRECTION('center_axis',(0.957826285221151,-1.75949834150293E-17, 0.287347885566345)); #39277=DIRECTION('ref_axis',(0.287347885566345,5.86499447167642E-17,-0.957826285221151)); #39278=DIRECTION('',(-0.287347885566345,-3.38525707873858E-16,0.957826285221151)); #39279=DIRECTION('',(0.287347885566345,5.86499447167642E-17,-0.957826285221152)); #39280=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39281=DIRECTION('center_axis',(0.891163115601508,-2.77800741791867E-17, 0.45368304066982)); #39282=DIRECTION('ref_axis',(0.45368304066982,5.45680028519785E-17,-0.891163115601508)); #39283=DIRECTION('',(-0.45368304066982,-2.68260116949595E-16,0.891163115601508)); #39284=DIRECTION('',(0.45368304066982,5.45680028519785E-17,-0.891163115601508)); #39285=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39286=DIRECTION('center_axis',(0.819231920519055,-3.51144412228032E-17, 0.573462344363308)); #39287=DIRECTION('ref_axis',(0.573462344363308,5.016348746115E-17,-0.819231920519055)); #39288=DIRECTION('',(-0.573462344363308,-2.07405761037417E-16,0.819231920519055)); #39289=DIRECTION('',(0.573462344363308,5.016348746115E-17,-0.819231920519055)); #39290=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39291=DIRECTION('center_axis',(0.744242283694653,-4.0897676369343E-17, 0.667909741777261)); #39292=DIRECTION('ref_axis',(0.667909741777262,4.55716965258387E-17,-0.744242283694653)); #39293=DIRECTION('',(-0.667909741777262,-1.51589932518783E-16,0.744242283694653)); #39294=DIRECTION('',(0.667909741777262,4.55716965258387E-17,-0.744242283694653)); #39295=DIRECTION('center_axis',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39296=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39297=DIRECTION('center_axis',(-0.272602572456094,5.89132707878117E-17, -0.962126726315364)); #39298=DIRECTION('ref_axis',(-0.962126726315364,-1.66920933898845E-17,0.272602572456094)); #39299=DIRECTION('',(0.962126726315364,-1.24312938001739E-16,-0.272602572456094)); #39300=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39301=DIRECTION('',(-0.962126726315364,-1.66920933898845E-17,0.272602572456094)); #39302=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39303=DIRECTION('center_axis',(-0.650791373455886,4.64910583909121E-17, -0.759256602365368)); #39304=DIRECTION('ref_axis',(-0.759256602365368,-3.9849478620773E-17,0.650791373455886)); #39305=DIRECTION('',(0.759256602365368,8.8638266468085E-17,-0.650791373455886)); #39306=DIRECTION('',(-0.759256602365368,-3.9849478620773E-17,0.650791373455886)); #39307=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39308=DIRECTION('center_axis',(-0.894427190999884,2.73839349132141E-17, -0.447213595500022)); #39309=DIRECTION('ref_axis',(-0.447213595500022,-5.47678698264183E-17,0.894427190999884)); #39310=DIRECTION('',(0.447213595500022,2.71280755751334E-16,-0.894427190999884)); #39311=DIRECTION('',(-0.447213595500022,-5.47678698264183E-17,0.894427190999884)); #39312=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39313=DIRECTION('center_axis',(-0.994618408890788,6.34404297302089E-18, -0.103606084259361)); #39314=DIRECTION('ref_axis',(-0.103606084259361,-6.09028125410569E-17,0.994618408890788)); #39315=DIRECTION('',(0.103606084259361,4.00350765934093E-16,-0.994618408890788)); #39316=DIRECTION('',(-0.103606084259361,-6.09028125410569E-17,0.994618408890788)); #39317=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39318=DIRECTION('center_axis',(-0.980580675690909,-1.20086498579097E-17, 0.196116135138238)); #39319=DIRECTION('ref_axis',(0.196116135138238,-6.00432492895311E-17,0.980580675690909)); #39320=DIRECTION('',(-0.196116135138238,4.69459361879717E-16,-0.980580675690909)); #39321=DIRECTION('',(0.196116135138238,-6.00432492895311E-17,0.980580675690909)); #39322=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39323=DIRECTION('center_axis',(-0.827475774391781,-3.43820457341694E-17, 0.561501418337231)); #39324=DIRECTION('ref_axis',(0.561501418337231,-5.06682779240436E-17,0.827475774391781)); #39325=DIRECTION('',(-0.561501418337231,4.95418583989268E-16,-0.827475774391781)); #39326=DIRECTION('',(0.561501418337231,-5.06682779240436E-17,0.827475774391781)); #39327=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39328=DIRECTION('center_axis',(-0.504883315037864,-5.28549967618083E-17, 0.863187603129457)); #39329=DIRECTION('ref_axis',(0.863187603129457,-3.09151867852013E-17,0.504883315037864)); #39330=DIRECTION('',(-0.863187603129457,4.32764753727184E-16,-0.504883315037864)); #39331=DIRECTION('',(0.863187603129457,-3.09151867852013E-17,0.504883315037864)); #39332=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39333=DIRECTION('center_axis',(-0.102899151085679,-6.09073060723902E-17, 0.994691793826533)); #39334=DIRECTION('ref_axis',(0.994691793826533,-6.30075580060288E-18,0.102899151085679)); #39335=DIRECTION('',(-0.994691793826533,2.93425334370575E-16,-0.102899151085679)); #39336=DIRECTION('',(0.994691793826533,-6.30075580060288E-18,0.102899151085679)); #39337=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39338=DIRECTION('center_axis',(-0.283017468569415,5.87288407115756E-17, -0.959114754596425)); #39339=DIRECTION('ref_axis',(-0.959114754596425,-1.7329821849316E-17,0.283017468569415)); #39340=DIRECTION('',(0.959114754596425,-1.19093886422133E-16,-0.283017468569415)); #39341=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39342=DIRECTION('',(-0.959114754596425,-1.7329821849316E-17,0.283017468569415)); #39343=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39344=DIRECTION('center_axis',(-0.668964731622641,4.55136398513173E-17, -0.743294146246995)); #39345=DIRECTION('ref_axis',(-0.743294146246995,-4.09622758662068E-17,0.668964731622641)); #39346=DIRECTION('',(0.743294146246995,1.00428845568486E-16,-0.668964731622641)); #39347=DIRECTION('',(-0.743294146246995,-4.09622758662068E-17,0.668964731622641)); #39348=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39349=DIRECTION('center_axis',(-0.904072266505318,2.61694539924654E-17, -0.427379616893387)); #39350=DIRECTION('ref_axis',(-0.427379616893387,-5.53584603686815E-17,0.904072266505318)); #39351=DIRECTION('',(0.427379616893387,2.80386287164969E-16,-0.904072266505318)); #39352=DIRECTION('',(-0.427379616893387,-5.53584603686816E-17,0.904072266505318)); #39353=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39354=DIRECTION('center_axis',(-0.998460353205398,3.39655909898395E-18, -0.05547001962278)); #39355=DIRECTION('ref_axis',(-0.05547001962278,-6.11380637814264E-17,0.998460353205398)); #39356=DIRECTION('',(0.05547001962278,4.14062855623145E-16,-0.998460353205398)); #39357=DIRECTION('',(-0.05547001962278,-6.11380637814264E-17,0.998460353205398)); #39358=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39359=DIRECTION('center_axis',(-0.959114754596385,-1.73298218493243E-17, 0.28301746856955)); #39360=DIRECTION('ref_axis',(0.28301746856955,-5.87288407115732E-17,0.959114754596385)); #39361=DIRECTION('',(-0.28301746856955,4.82040368728781E-16,-0.959114754596385)); #39362=DIRECTION('',(0.28301746856955,-5.87288407115732E-17,0.959114754596385)); #39363=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39364=DIRECTION('center_axis',(-0.780868809443314,-3.82515395215148E-17, 0.62469504755407)); #39365=DIRECTION('ref_axis',(0.62469504755407,-4.78144244019379E-17,0.780868809443313)); #39366=DIRECTION('',(-0.62469504755407,4.91281127162947E-16,-0.780868809443314)); #39367=DIRECTION('',(0.62469504755407,-4.78144244019379E-17,0.780868809443313)); #39368=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39369=DIRECTION('center_axis',(-0.407650840373826,-5.59135692453663E-17, 0.913137882437539)); #39370=DIRECTION('ref_axis',(0.913137882437539,-2.49614148416767E-17,0.407650840373826)); #39371=DIRECTION('',(-0.913137882437539,4.03608415031587E-16,-0.407650840373826)); #39372=DIRECTION('',(0.913137882437539,-2.49614148416767E-17,0.407650840373826)); #39373=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39374=DIRECTION('center_axis',(-0.0712470499882392,-6.10767302014681E-17, 0.997458699830711)); #39375=DIRECTION('ref_axis',(0.997458699830711,-4.36262358583946E-18,0.0712470499882392)); #39376=DIRECTION('',(-0.997458699830711,2.8055193537498E-16,-0.0712470499882392)); #39377=DIRECTION('',(0.997458699830711,-4.36262358583946E-18,0.0712470499882392)); #39378=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39379=DIRECTION('center_axis',(0.566528822887011,5.04580152417014E-17, -0.824041924199405)); #39380=DIRECTION('ref_axis',(-0.824041924199405,3.46898854786649E-17,-0.566528822887011)); #39381=DIRECTION('',(0.824041924199405,-4.4937575868403E-16,0.566528822887011)); #39382=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39383=DIRECTION('',(-0.824041924199405,3.46898854786649E-17,-0.566528822887011)); #39384=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39385=DIRECTION('center_axis',(0.447213595501621,5.47678698263694E-17, -0.894427190999084)); #39386=DIRECTION('ref_axis',(-0.894427190999084,2.7383934913312E-17,-0.447213595501621)); #39387=DIRECTION('',(0.894427190999084,-4.15876210460131E-16,0.447213595501621)); #39388=DIRECTION('',(-0.894427190999084,2.7383934913312E-17,-0.447213595501621)); #39389=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39390=DIRECTION('center_axis',(0.788502306017756,3.76598361822176E-17, -0.615031798693923)); #39391=DIRECTION('ref_axis',(-0.615031798693923,4.82818412592476E-17,-0.788502306017756)); #39392=DIRECTION('',(0.615031798693923,-4.9213094653649E-16,0.788502306017756)); #39393=DIRECTION('',(-0.615031798693923,4.82818412592476E-17,-0.788502306017756)); #39394=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39395=DIRECTION('center_axis',(0.942102631717716,2.05327139156178E-17, -0.33532466552664)); #39396=DIRECTION('ref_axis',(-0.33532466552664,5.768714862007E-17,-0.942102631717716)); #39397=DIRECTION('',(0.33532466552664,-4.87859351698958E-16,0.942102631717716)); #39398=DIRECTION('',(-0.33532466552664,5.76871486200701E-17,-0.942102631717716)); #39399=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39400=DIRECTION('center_axis',(0.995701215992101,5.6715456142883E-18,-0.0926233689295078)); #39401=DIRECTION('ref_axis',(-0.0926233689295078,6.09691153535927E-17,-0.995701215992101)); #39402=DIRECTION('',(0.0926233689295078,-4.49999905706395E-16,0.995701215992101)); #39403=DIRECTION('',(-0.0926233689295078,6.09691153535928E-17,-0.995701215992101)); #39404=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39405=DIRECTION('center_axis',(0.985548890759746,-1.03722204993729E-17, 0.169391215599378)); #39406=DIRECTION('ref_axis',(0.169391215599378,6.03474647236073E-17,-0.985548890759746)); #39407=DIRECTION('',(-0.169391215599378,-3.7997425195477E-16,0.985548890759746)); #39408=DIRECTION('',(0.169391215599378,6.03474647236073E-17,-0.985548890759746)); #39409=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39410=DIRECTION('center_axis',(0.899235088745818,-2.67870496162723E-17, 0.437465718849262)); #39411=DIRECTION('ref_axis',(0.437465718849262,5.50622686556776E-17,-0.899235088745818)); #39412=DIRECTION('',(-0.437465718849262,-2.75784858185914E-16,0.899235088745818)); #39413=DIRECTION('',(0.437465718849262,5.50622686556776E-17,-0.899235088745818)); #39414=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39415=DIRECTION('center_axis',(0.715006669013094,-4.28086085081506E-17, 0.699117631923842)); #39416=DIRECTION('ref_axis',(0.699117631923842,4.37815314287948E-17,-0.715006669013094)); #39417=DIRECTION('',(-0.699117631923842,-1.31236502054974E-16,0.715006669013094)); #39418=DIRECTION('',(0.699117631923842,4.37815314287948E-17,-0.715006669013094)); #39419=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39420=DIRECTION('center_axis',(0.507985019944325,-5.27434498236811E-17, 0.861365903383785)); #39421=DIRECTION('ref_axis',(0.861365903383785,3.11051114344811E-17,-0.507985019944325)); #39422=DIRECTION('',(-0.861365903383785,-1.83394385114888E-18,0.507985019944325)); #39423=DIRECTION('',(0.861365903383785,3.11051114344811E-17,-0.507985019944325)); #39424=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39425=DIRECTION('center_axis',(0.483077342911335,5.36136704074133E-17, -0.875577684026794)); #39426=DIRECTION('ref_axis',(-0.875577684026794,2.95799560868487E-17,-0.483077342911335)); #39427=DIRECTION('',(0.875577684026794,-4.26523719412282E-16,0.483077342911335)); #39428=DIRECTION('',(-0.875577684026794,2.95799560868487E-17,-0.483077342911335)); #39429=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39430=DIRECTION('center_axis',(0.722990691153116,4.23028379295133E-17, -0.690857771546314)); #39431=DIRECTION('ref_axis',(-0.690857771546314,4.42704117866998E-17,-0.722990691153116)); #39432=DIRECTION('',(0.690857771546314,-4.83056761918868E-16,0.722990691153116)); #39433=DIRECTION('',(-0.690857771546314,4.42704117866998E-17,-0.722990691153116)); #39434=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39435=DIRECTION('center_axis',(0.707106781186548,4.32978028117746E-17, -0.707106781186548)); #39436=DIRECTION('ref_axis',(-0.707106781186548,4.32978028117747E-17,-0.707106781186548)); #39437=DIRECTION('',(0.707106781186548,-4.80321322274201E-16,0.707106781186548)); #39438=DIRECTION('',(-0.707106781186548,4.32978028117747E-17,-0.707106781186548)); #39439=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39440=DIRECTION('center_axis',(0.906582061079844,2.58418587242914E-17, -0.422029580157863)); #39441=DIRECTION('ref_axis',(-0.422029580157863,5.55121409632922E-17,-0.906582061079844)); #39442=DIRECTION('',(0.422029580157863,-4.94366932516294E-16,0.906582061079844)); #39443=DIRECTION('',(-0.422029580157863,5.55121409632922E-17,-0.906582061079844)); #39444=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39445=DIRECTION('center_axis',(0.992033729220302,7.71359321356043E-18, -0.125972537043839)); #39446=DIRECTION('ref_axis',(-0.125972537043839,6.07445465567928E-17,-0.992033729220302)); #39447=DIRECTION('',(0.125972537043839,-4.56786911410525E-16,0.992033729220302)); #39448=DIRECTION('',(-0.125972537043839,6.07445465567928E-17,-0.992033729220302)); #39449=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39450=DIRECTION('center_axis',(0.994586492849401,-6.36277623478176E-18, 0.103912021641044)); #39451=DIRECTION('ref_axis',(0.103912021641044,6.09008582471606E-17,-0.994586492849401)); #39452=DIRECTION('',(-0.103912021641044,-4.00260402504276E-16,0.994586492849401)); #39453=DIRECTION('',(0.103912021641044,6.09008582471606E-17,-0.994586492849401)); #39454=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39455=DIRECTION('center_axis',(0.942102631717747,-2.05327139156126E-17, 0.335324665526555)); #39456=DIRECTION('ref_axis',(0.335324665526555,5.76871486200718E-17,-0.942102631717747)); #39457=DIRECTION('',(-0.335324665526555,-3.19760728982055E-16,0.942102631717747)); #39458=DIRECTION('',(0.335324665526555,5.76871486200718E-17,-0.942102631717747)); #39459=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39460=DIRECTION('center_axis',(0.811534341451474,-3.57783456116439E-17, 0.584304725845104)); #39461=DIRECTION('ref_axis',(0.584304725845104,4.96921466828352E-17,-0.811534341451474)); #39462=DIRECTION('',(-0.584304725845104,-2.01388726723152E-16,0.811534341451474)); #39463=DIRECTION('',(0.584304725845104,4.96921466828352E-17,-0.811534341451474)); #39464=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39465=DIRECTION('center_axis',(0.707106781186548,-4.32978028117747E-17, 0.707106781186548)); #39466=DIRECTION('ref_axis',(0.707106781186548,4.32978028117746E-17,-0.707106781186548)); #39467=DIRECTION('',(-0.707106781186548,-1.25847917090643E-16,0.707106781186548)); #39468=DIRECTION('',(0.707106781186548,4.32978028117746E-17,-0.707106781186548)); #39469=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39470=DIRECTION('center_axis',(0.610507860339351,-4.84966376444621E-17, 0.792010197196897)); #39471=DIRECTION('ref_axis',(0.792010197196897,3.73828248509443E-17,-0.610507860339351)); #39472=DIRECTION('',(-0.792010197196897,-6.31619835675941E-17,0.610507860339351)); #39473=DIRECTION('',(0.792010197196897,3.73828248509443E-17,-0.610507860339351)); #39474=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39475=DIRECTION('center_axis',(0.374194034192177,-5.67838369463162E-17, 0.927350432563108)); #39476=DIRECTION('ref_axis',(0.927350432563108,2.29127763116742E-17,-0.374194034192177)); #39477=DIRECTION('',(-0.927350432563108,7.20514604434787E-17,0.374194034192177)); #39478=DIRECTION('',(0.927350432563108,2.29127763116742E-17,-0.374194034192177)); #39479=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39480=DIRECTION('center_axis',(0.164398987305267,-6.03992080759726E-17, 0.986393923832159)); #39481=DIRECTION('ref_axis',(0.986393923832159,1.00665346793231E-17,-0.164398987305267)); #39482=DIRECTION('',(-0.986393923832159,1.7677443534516E-16,0.164398987305267)); #39483=DIRECTION('',(0.986393923832159,1.00665346793231E-17,-0.164398987305267)); #39484=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39485=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #39486=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39487=DIRECTION('',(-1.,2.5065054855558E-16,0.)); #39488=DIRECTION('',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39489=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39490=DIRECTION('center_axis',(-0.0878938102963571,-6.09953616184359E-17, 0.996129850025381)); #39491=DIRECTION('ref_axis',(0.996129850025381,-5.38194367221492E-18,0.0878938102963571)); #39492=DIRECTION('',(-0.996129850025381,2.87354099046954E-16,-0.0878938102963571)); #39493=DIRECTION('',(0.996129850025381,-5.38194367221496E-18,0.0878938102963571)); #39494=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39495=DIRECTION('center_axis',(-0.283017468569415,-5.87288407115755E-17, 0.959114754596425)); #39496=DIRECTION('ref_axis',(0.959114754596425,-1.7329821849316E-17,0.283017468569415)); #39497=DIRECTION('',(-0.959114754596425,3.61711392312557E-16,-0.283017468569415)); #39498=DIRECTION('',(0.959114754596425,-1.7329821849316E-17,0.283017468569415)); #39499=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39500=DIRECTION('center_axis',(-0.975441002067745,-1.34870885589817E-17, 0.220260871434473)); #39501=DIRECTION('ref_axis',(0.220260871434473,-5.97285350469676E-17,0.975441002067745)); #39502=DIRECTION('',(-0.220260871434473,4.73308253579153E-16,-0.975441002067745)); #39503=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39504=DIRECTION('',(0.220260871434473,-5.97285350469676E-17,0.975441002067745)); #39505=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39506=DIRECTION('center_axis',(-0.999405999353582,-2.11020578993538E-18, 0.0344622758399334)); #39507=DIRECTION('ref_axis',(0.0344622758399334,-6.11959679078514E-17,0.999405999353582)); #39508=DIRECTION('',(-0.0344622758399334,4.37009763698712E-16,-0.999405999353582)); #39509=DIRECTION('',(0.0344622758399334,-6.11959679078514E-17,0.999405999353582)); #39510=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39511=DIRECTION('center_axis',(-0.992277876713668,7.5949370348883E-18, -0.124034734589208)); #39512=DIRECTION('ref_axis',(-0.124034734589208,-6.07594962791063E-17,0.992277876713668)); #39513=DIRECTION('',(0.124034734589208,3.94227099689012E-16,-0.992277876713668)); #39514=DIRECTION('',(-0.124034734589208,-6.07594962791063E-17,0.992277876713668)); #39515=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39516=DIRECTION('center_axis',(-0.918324086366516,2.42375571756185E-17, -0.395829347571784)); #39517=DIRECTION('ref_axis',(-0.395829347571784,-5.62311326474336E-17,0.918324086366516)); #39518=DIRECTION('',(0.395829347571784,2.94403085428769E-16,-0.918324086366516)); #39519=DIRECTION('',(-0.395829347571784,-5.62311326474336E-17,0.918324086366516)); #39520=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39521=DIRECTION('center_axis',(-0.769561694130091,3.91012865457991E-17, -0.638572469597323)); #39522=DIRECTION('ref_axis',(-0.638572469597323,-4.71220632731415E-17,0.769561694130091)); #39523=DIRECTION('',(0.638572469597323,1.6979590311493E-16,-0.769561694130091)); #39524=DIRECTION('',(-0.638572469597323,-4.71220632731415E-17,0.769561694130091)); #39525=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39526=DIRECTION('center_axis',(0.249878019021735,-5.92898862583821E-17, 0.968277323709367)); #39527=DIRECTION('ref_axis',(0.968277323709367,1.53006158086124E-17,-0.249878019021735)); #39528=DIRECTION('',(-0.968277323709367,1.35594931681395E-16,0.249878019021735)); #39529=DIRECTION('',(0.968277323709367,1.53006158086124E-17,-0.249878019021735)); #39530=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39531=DIRECTION('center_axis',(0.707106781186548,-4.32978028117747E-17, 0.707106781186548)); #39532=DIRECTION('ref_axis',(0.707106781186548,4.32978028117746E-17,-0.707106781186548)); #39533=DIRECTION('',(-0.707106781186548,-1.25847917090643E-16,0.707106781186548)); #39534=DIRECTION('',(0.707106781186548,4.32978028117746E-17,-0.707106781186548)); #39535=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39536=DIRECTION('center_axis',(0.915086186065999,-2.46924504969911E-17, 0.40325831928329)); #39537=DIRECTION('ref_axis',(0.40325831928329,5.60328684354843E-17,-0.915086186065999)); #39538=DIRECTION('',(-0.40325831928329,-2.91153160110431E-16,0.915086186065999)); #39539=DIRECTION('',(0.40325831928329,5.60328684354843E-17,-0.915086186065999)); #39540=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39541=DIRECTION('center_axis',(0.993883734673602,-6.76198074663515E-18, 0.110431526074997)); #39542=DIRECTION('ref_axis',(0.110431526074997,6.08578267196323E-17,-0.993883734673602)); #39543=DIRECTION('',(-0.110431526074997,-3.98325064448897E-16,0.993883734673602)); #39544=DIRECTION('',(0.110431526074997,6.08578267196323E-17,-0.993883734673602)); #39545=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39546=DIRECTION('center_axis',(0.983869910099888,1.09535739652908E-17, -0.178885438200093)); #39547=DIRECTION('ref_axis',(-0.178885438200093,6.02446568090611E-17,-0.983869910099888)); #39548=DIRECTION('',(0.178885438200093,-4.66550330876886E-16,0.983869910099888)); #39549=DIRECTION('',(-0.178885438200093,6.02446568090611E-17,-0.983869910099888)); #39550=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39551=DIRECTION('center_axis',(0.846636549210973,3.25861089724505E-17, -0.532171545218397)); #39552=DIRECTION('ref_axis',(-0.532171545218397,5.18415370016189E-17,-0.846636549210973)); #39553=DIRECTION('',(0.532171545218396,-4.96279848745994E-16,0.846636549210973)); #39554=DIRECTION('',(-0.532171545218396,5.18415370016189E-17,-0.846636549210973)); #39555=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39556=DIRECTION('center_axis',(0.528558452745021,5.19799441178347E-17, -0.848896908954079)); #39557=DIRECTION('ref_axis',(-0.848896908954079,3.23648708658234E-17,-0.528558452745021)); #39558=DIRECTION('',(0.848896908954079,-4.3933057195724E-16,0.528558452745021)); #39559=DIRECTION('',(-0.848896908954079,3.23648708658234E-17,-0.528558452745021)); #39560=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39561=DIRECTION('center_axis',(0.102899151085647,6.09073060723904E-17, -0.994691793826537)); #39562=DIRECTION('ref_axis',(-0.994691793826537,6.30075580060087E-18,-0.102899151085647)); #39563=DIRECTION('',(0.994691793826537,-2.93425334370562E-16,0.102899151085647)); #39564=DIRECTION('',(-0.994691793826537,6.30075580060087E-18,-0.102899151085647)); #39565=DIRECTION('center_axis',(-0.880994429298896,-2.89706511382577E-17, 0.473126637956809)); #39566=DIRECTION('ref_axis',(0.473126637956809,-5.39453503953772E-17,0.880994429298896)); #39567=DIRECTION('',(-0.473126637956809,4.96206904107771E-16,-0.880994429298896)); #39568=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39569=DIRECTION('',(0.473126637956809,-5.39453503953772E-17,0.880994429298896)); #39570=DIRECTION('center_axis',(-0.897667610561755,2.69834506193324E-17, -0.440673190639446)); #39571=DIRECTION('ref_axis',(-0.440673190639446,-5.49662882986354E-17,0.897667610561755)); #39572=DIRECTION('',(0.440673190639446,2.74309041122932E-16,-0.897667610561755)); #39573=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39574=DIRECTION('',(-0.440673190639446,-5.49662882986354E-17,0.897667610561755)); #39575=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39576=DIRECTION('center_axis',(-0.752576694706878,4.03217780124982E-17, -0.658504607868518)); #39577=DIRECTION('ref_axis',(-0.658504607868518,-4.60820320142837E-17,0.752576694706878)); #39578=DIRECTION('',(0.658504607868518,1.57519682911364E-16,-0.752576694706878)); #39579=DIRECTION('',(-0.658504607868518,-4.60820320142837E-17,0.752576694706878)); #39580=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39581=DIRECTION('center_axis',(-0.562790977081984,5.0614606136889E-17, -0.826599247589245)); #39582=DIRECTION('ref_axis',(-0.826599247589245,-3.44610084336231E-17,0.562790977081984)); #39583=DIRECTION('',(0.826599247589245,3.40395041914872E-17,-0.562790977081984)); #39584=DIRECTION('',(-0.826599247589245,-3.44610084336231E-17,0.562790977081984)); #39585=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39586=DIRECTION('center_axis',(-0.321039281158009,5.79910598904094E-17, -0.947065879415761)); #39587=DIRECTION('ref_axis',(-0.947065879415761,-1.96579864035361E-17,0.321039281158009)); #39588=DIRECTION('',(0.947065879415761,-9.9776677369081E-17,-0.321039281158009)); #39589=DIRECTION('',(-0.947065879415761,-1.96579864035361E-17,0.321039281158009)); #39590=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39591=DIRECTION('center_axis',(-0.0981158450860923,6.09368939268578E-17, -0.995175000159792)); #39592=DIRECTION('ref_axis',(-0.995175000159792,-6.00786278151602E-18,0.0981158450860923)); #39593=DIRECTION('',(0.995175000159792,-2.0738612022824E-16,-0.0981158450860923)); #39594=DIRECTION('',(-0.995175000159792,-6.00786278151602E-18,0.0981158450860923)); #39595=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39596=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #39597=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39598=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #39599=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39600=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39601=DIRECTION('center_axis',(0.0842068979034346,6.10148605569492E-17, -0.996448291857375)); #39602=DIRECTION('ref_axis',(-0.996448291857375,5.15618539917849E-18,-0.0842068979034346)); #39603=DIRECTION('',(0.996448291857375,-2.85853608755571E-16,0.0842068979034346)); #39604=DIRECTION('',(-0.996448291857375,5.15618539917849E-18,-0.0842068979034346)); #39605=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39606=DIRECTION('center_axis',(0.301891704694427,5.83753752383896E-17, -0.953342225350736)); #39607=DIRECTION('ref_axis',(-0.953342225350736,1.84855354921584E-17,-0.301891704694427)); #39608=DIRECTION('',(0.953342225350736,-3.68354500190468E-16,0.301891704694427)); #39609=DIRECTION('',(-0.953342225350736,1.84855354921584E-17,-0.301891704694427)); #39610=DIRECTION('center_axis',(0.278810194863917,-5.88042466333539E-17, 0.960346226753635)); #39611=DIRECTION('ref_axis',(0.960346226753635,1.70722006354873E-17,-0.278810194863917)); #39612=DIRECTION('',(-0.960346226753635,1.2120590409067E-16,0.278810194863917)); #39613=DIRECTION('',(0.960346226753635,1.70722006354873E-17,-0.278810194863917)); #39614=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39615=DIRECTION('center_axis',(0.668964731622641,-4.55136398513173E-17, 0.743294146246995)); #39616=DIRECTION('ref_axis',(0.743294146246995,4.09622758662068E-17,-0.668964731622641)); #39617=DIRECTION('',(-0.743294146246995,-1.00428845568486E-16,0.668964731622641)); #39618=DIRECTION('',(0.743294146246995,4.09622758662068E-17,-0.668964731622641)); #39619=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39620=DIRECTION('center_axis',(0.907008967748432,-2.57856306767211E-17, 0.421111306454629)); #39621=DIRECTION('ref_axis',(0.421111306454629,5.55382814575531E-17,-0.907008967748432)); #39622=DIRECTION('',(-0.421111306454629,-2.83216190237061E-16,0.907008967748432)); #39623=DIRECTION('',(0.421111306454629,5.55382814575531E-17,-0.907008967748432)); #39624=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39625=DIRECTION('center_axis',(0.99778515785659,-4.07311466603706E-18, 0.0665190105240613)); #39626=DIRECTION('ref_axis',(0.0665190105240613,6.10967199902905E-17,-0.99778515785659)); #39627=DIRECTION('',(-0.0665190105240613,-4.11004013454802E-16,0.99778515785659)); #39628=DIRECTION('',(0.0665190105240613,6.10967199902905E-17,-0.99778515785659)); #39629=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39630=DIRECTION('center_axis',(0.963291323828656,1.64383260806132E-17, -0.268458237788367)); #39631=DIRECTION('ref_axis',(-0.268458237788367,5.8984581818659E-17,-0.963291323828656)); #39632=DIRECTION('',(0.268458237788367,-4.80181277296531E-16,0.963291323828656)); #39633=DIRECTION('',(-0.268458237788367,5.89845818186591E-17,-0.963291323828656)); #39634=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39635=DIRECTION('center_axis',(0.768221279597376,3.91999887956588E-17, -0.64018439966448)); #39636=DIRECTION('ref_axis',(-0.64018439966448,4.70399865547904E-17,-0.768221279597376)); #39637=DIRECTION('',(0.64018439966448,-4.8974247683616E-16,0.768221279597376)); #39638=DIRECTION('',(-0.64018439966448,4.70399865547904E-17,-0.768221279597376)); #39639=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39640=DIRECTION('center_axis',(0.413802944301219,5.57438696337806E-17, -0.910366477462589)); #39641=DIRECTION('ref_axis',(-0.910366477462589,2.53381225608119E-17,-0.413802944301219)); #39642=DIRECTION('',(0.910366477462589,-4.05550714888292E-16,0.413802944301219)); #39643=DIRECTION('',(-0.910366477462589,2.53381225608119E-17,-0.413802944301219)); #39644=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39645=DIRECTION('center_axis',(0.0665190105240613,6.10967199902905E-17, -0.99778515785659)); #39646=DIRECTION('ref_axis',(-0.99778515785659,4.07311466603706E-18,-0.0665190105240613)); #39647=DIRECTION('',(0.99778515785659,-2.7860719981963E-16,0.0665190105240613)); #39648=DIRECTION('',(-0.99778515785659,4.07311466603706E-18,-0.0665190105240613)); #39649=DIRECTION('center_axis',(-0.757768802353358,-3.99555184544069E-17, 0.652523135359934)); #39650=DIRECTION('ref_axis',(0.652523135359934,-4.63999569147881E-17,0.757768802353358)); #39651=DIRECTION('',(-0.652523135359933,4.88354980226691E-16,-0.757768802353358)); #39652=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39653=DIRECTION('',(0.652523135359934,-4.63999569147881E-17,0.757768802353358)); #39654=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39655=DIRECTION('center_axis',(-0.928476690885279,-2.27411201515082E-17, 0.371390676354054)); #39656=DIRECTION('ref_axis',(0.371390676354054,-5.68528003787792E-17,0.928476690885279)); #39657=DIRECTION('',(-0.371390676354054,4.91058879408026E-16,-0.928476690885279)); #39658=DIRECTION('',(0.371390676354054,-5.68528003787792E-17,0.928476690885279)); #39659=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39660=DIRECTION('center_axis',(-0.99689814865348,-4.81913734271925E-18, 0.0787024854198703)); #39661=DIRECTION('ref_axis',(0.0787024854198703,-6.10424063412203E-17,0.99689814865348)); #39662=DIRECTION('',(-0.0787024854198703,4.4702366553172E-16,-0.99689814865348)); #39663=DIRECTION('',(0.0787024854198703,-6.10424063412203E-17,0.99689814865348)); #39664=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39665=DIRECTION('center_axis',(-0.990549866766374,8.39820270275007E-18, -0.137153058475263)); #39666=DIRECTION('ref_axis',(-0.137153058475263,-6.06536861865639E-17,0.990549866766374)); #39667=DIRECTION('',(0.137153058475263,3.90198313963046E-16,-0.990549866766374)); #39668=DIRECTION('',(-0.137153058475263,-6.06536861865639E-17,0.990549866766374)); #39669=DIRECTION('center_axis',(-0.504883315037911,-5.28549967618066E-17, 0.863187603129429)); #39670=DIRECTION('ref_axis',(0.86318760312943,-3.09151867852042E-17,0.504883315037911)); #39671=DIRECTION('',(-0.86318760312943,4.32764753727197E-16,-0.504883315037911)); #39672=DIRECTION('',(0.86318760312943,-3.09151867852042E-17,0.504883315037911)); #39673=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39674=DIRECTION('center_axis',(-0.599999999999405,-4.89858719659214E-17, 0.800000000000446)); #39675=DIRECTION('ref_axis',(0.800000000000446,-3.67394039743842E-17,0.599999999999405)); #39676=DIRECTION('',(-0.800000000000446,4.57696266665265E-16,-0.599999999999405)); #39677=DIRECTION('',(0.800000000000446,-3.67394039743842E-17,0.599999999999405)); #39678=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39679=DIRECTION('center_axis',(-0.722990691153116,-4.23028379295133E-17, 0.690857771546314)); #39680=DIRECTION('ref_axis',(0.690857771546314,-4.42704117866998E-17,0.722990691153116)); #39681=DIRECTION('',(-0.690857771546314,4.83056761918868E-16,-0.722990691153116)); #39682=DIRECTION('',(0.690857771546314,-4.42704117866998E-17,0.722990691153116)); #39683=DIRECTION('center_axis',(-0.523263033336338,5.21804381951209E-17, -0.852171225719136)); #39684=DIRECTION('ref_axis',(-0.852171225719136,-3.20406199443741E-17,0.523263033336338)); #39685=DIRECTION('',(0.852171225719136,1.06871544208354E-17,-0.523263033336338)); #39686=DIRECTION('',(-0.852171225719136,-3.20406199443741E-17,0.523263033336338)); #39687=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39688=DIRECTION('center_axis',(-0.393919298578873,5.62814009481984E-17, -0.919145030018184)); #39689=DIRECTION('ref_axis',(-0.919145030018184,-2.41206004063494E-17,0.393919298578873)); #39690=DIRECTION('',(0.919145030018184,-6.1540003131748E-17,-0.393919298578873)); #39691=DIRECTION('',(-0.919145030018184,-2.41206004063494E-17,0.393919298578873)); #39692=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39693=DIRECTION('center_axis',(-0.523263033336338,-5.21804381951209E-17, 0.852171225719136)); #39694=DIRECTION('ref_axis',(0.852171225719136,-3.20406199443741E-17,0.523263033336338)); #39695=DIRECTION('',(-0.852171225719136,4.37881524800401E-16,-0.523263033336338)); #39696=DIRECTION('',(0.852171225719136,-3.20406199443741E-17,0.523263033336338)); #39697=DIRECTION('center_axis',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39698=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39699=DIRECTION('center_axis',(-0.928476690885224,-2.27411201515167E-17, 0.371390676354193)); #39700=DIRECTION('ref_axis',(0.371390676354193,-5.68528003787759E-17,0.928476690885224)); #39701=DIRECTION('',(-0.371390676354193,4.91058879408037E-16,-0.928476690885224)); #39702=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39703=DIRECTION('',(0.371390676354193,-5.68528003787759E-17,0.928476690885224)); #39704=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39705=DIRECTION('center_axis',(-0.971071693103827,-1.4621555418464E-17, 0.238788121254947)); #39706=DIRECTION('ref_axis',(0.238788121254947,-5.94609920351102E-17,0.971071693103827)); #39707=DIRECTION('',(-0.238788121254947,4.76079317826879E-16,-0.971071693103827)); #39708=DIRECTION('',(0.238788121254947,-5.94609920351101E-17,0.971071693103827)); #39709=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39710=DIRECTION('center_axis',(-0.992061421937428,-7.70022789042541E-18, 0.125754264752688)); #39711=DIRECTION('ref_axis',(0.125754264752688,-6.07462422466622E-17,0.992061421937428)); #39712=DIRECTION('',(-0.125754264752688,4.56744071170099E-16,-0.992061421937428)); #39713=DIRECTION('',(0.125754264752688,-6.07462422466622E-17,0.992061421937428)); #39714=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39715=DIRECTION('center_axis',(-0.998782899290359,-3.02013896458974E-18, 0.0493226123106265)); #39716=DIRECTION('ref_axis',(0.0493226123106265,-6.11578140329526E-17,0.998782899290359)); #39717=DIRECTION('',(-0.0493226123106265,4.4046743806252E-16,-0.998782899290359)); #39718=DIRECTION('',(0.0493226123106265,-6.11578140329526E-17,0.998782899290359)); #39719=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39720=DIRECTION('center_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39721=DIRECTION('ref_axis',(0.,-6.12323399573677E-17,1.)); #39722=DIRECTION('',(0.,4.28626379701573E-16,-1.)); #39723=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #39724=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39725=DIRECTION('center_axis',(-0.999314833766765,2.26631057873103E-18, -0.0370116605099354)); #39726=DIRECTION('ref_axis',(-0.0370116605099354,-6.1190385625647E-17,0.999314833766765)); #39727=DIRECTION('',(0.0370116605099354,4.1905570636976E-16,-0.999314833766765)); #39728=DIRECTION('',(-0.0370116605099354,-6.1190385625647E-17,0.999314833766765)); #39729=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39730=DIRECTION('center_axis',(-0.994048673202161,6.67045767580069E-18, -0.10893684089886)); #39731=DIRECTION('ref_axis',(-0.10893684089886,-6.08679262916851E-17,0.994048673202161)); #39732=DIRECTION('',(0.10893684089886,3.98770405112583E-16,-0.994048673202161)); #39733=DIRECTION('',(-0.10893684089886,-6.0867926291685E-17,0.994048673202161)); #39734=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39735=DIRECTION('center_axis',(-0.976187060183963,1.32831595402566E-17, -0.21693045781861)); #39736=DIRECTION('ref_axis',(-0.21693045781861,-5.97742179311678E-17,0.976187060183963)); #39737=DIRECTION('',(0.21693045781861,3.64045787267526E-16,-0.976187060183963)); #39738=DIRECTION('',(-0.21693045781861,-5.97742179311678E-17,0.976187060183963)); #39739=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39740=DIRECTION('center_axis',(-0.943312390837365,2.03233939821025E-17, -0.331906211591006)); #39741=DIRECTION('ref_axis',(-0.331906211591006,-5.77612250017509E-17,0.943312390837365)); #39742=DIRECTION('',(0.331906211591006,3.21136101007965E-16,-0.943312390837365)); #39743=DIRECTION('',(-0.331906211591006,-5.77612250017509E-17,0.943312390837365)); #39744=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39745=DIRECTION('center_axis',(-0.878624509572624,2.92392579701117E-17, -0.477513320419719)); #39746=DIRECTION('ref_axis',(-0.477513320419719,-5.38002346650265E-17,0.878624509572624)); #39747=DIRECTION('',(0.477513320419719,2.56912666949385E-16,-0.878624509572624)); #39748=DIRECTION('',(-0.477513320419719,-5.38002346650265E-17,0.878624509572624)); #39749=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39750=DIRECTION('center_axis',(-0.824041924199256,3.46898854786781E-17, -0.566528822887228)); #39751=DIRECTION('ref_axis',(-0.566528822887228,-5.04580152416923E-17,0.824041924199256)); #39752=DIRECTION('',(0.566528822887228,2.11205346462614E-16,-0.824041924199256)); #39753=DIRECTION('',(-0.566528822887228,-5.04580152416923E-17,0.824041924199256)); #39754=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39755=DIRECTION('center_axis',(-0.682318250359974,4.47642247126741E-17, -0.731055268242904)); #39756=DIRECTION('ref_axis',(-0.731055268242904,-4.17799430651582E-17,0.682318250359974)); #39757=DIRECTION('',(0.731055268242904,1.09220197446576E-16,-0.682318250359974)); #39758=DIRECTION('',(-0.731055268242904,-4.17799430651582E-17,0.682318250359973)); #39759=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39760=DIRECTION('center_axis',(-0.460317164455058,5.43592872683727E-17, -0.887754531448899)); #39761=DIRECTION('ref_axis',(-0.887754531448899,-2.81862971021237E-17,0.460317164455059)); #39762=DIRECTION('',(0.887754531448899,-2.52120805755036E-17,-0.460317164455059)); #39763=DIRECTION('',(-0.887754531448899,-2.81862971021237E-17,0.460317164455059)); #39764=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39765=DIRECTION('center_axis',(-0.199059924290009,6.0006916706559E-17, -0.979987319582073)); #39766=DIRECTION('ref_axis',(-0.979987319582073,-1.21889049560137E-17,0.199059924290009)); #39767=DIRECTION('',(0.979987319582073,-1.60312024538664E-16,-0.199059924290009)); #39768=DIRECTION('',(-0.979987319582073,-1.21889049560137E-17,0.199059924290009)); #39769=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39770=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #39771=DIRECTION('ref_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39772=DIRECTION('',(1.,-2.5065054855558E-16,0.)); #39773=DIRECTION('',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39774=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39775=DIRECTION('center_axis',(0.103912021640893,6.09008582471615E-17, -0.994586492849417)); #39776=DIRECTION('ref_axis',(-0.994586492849417,6.36277623477254E-18,-0.103912021640893)); #39777=DIRECTION('',(0.994586492849417,-2.93833083662085E-16,0.103912021640893)); #39778=DIRECTION('',(-0.994586492849417,6.36277623477254E-18,-0.103912021640893)); #39779=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39780=DIRECTION('center_axis',(0.374194034192177,5.67838369463163E-17, -0.927350432563108)); #39781=DIRECTION('ref_axis',(-0.927350432563108,2.29127763116743E-17,-0.374194034192177)); #39782=DIRECTION('',(0.927350432563108,-3.92830328806917E-16,0.374194034192177)); #39783=DIRECTION('',(-0.927350432563108,2.29127763116742E-17,-0.374194034192177)); #39784=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39785=DIRECTION('center_axis',(0.620702944365561,4.80089604655971E-17, -0.784045824461761)); #39786=DIRECTION('ref_axis',(-0.784045824461761,3.8007093701931E-17,-0.620702944365561)); #39787=DIRECTION('',(0.784045824461761,-4.6257117190757E-16,0.620702944365561)); #39788=DIRECTION('',(-0.784045824461761,3.80070937019312E-17,-0.620702944365561)); #39789=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39790=DIRECTION('center_axis',(0.752576694706851,4.03217780125001E-17, -0.658504607868549)); #39791=DIRECTION('ref_axis',(-0.658504607868549,4.6082032014282E-17,-0.752576694706851)); #39792=DIRECTION('',(0.658504607868549,-4.87628765288603E-16,0.752576694706851)); #39793=DIRECTION('',(-0.658504607868549,4.6082032014282E-17,-0.752576694706851)); #39794=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39795=DIRECTION('center_axis',(0.841178475376596,3.31118526658315E-17, -0.540757591313433)); #39796=DIRECTION('ref_axis',(-0.540757591313433,5.15073263690799E-17,-0.841178475376596)); #39797=DIRECTION('',(0.540757591313433,-4.96092471481866E-16,0.841178475376596)); #39798=DIRECTION('',(-0.540757591313433,5.150732636908E-17,-0.841178475376596)); #39799=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39800=DIRECTION('center_axis',(-0.948683298050587,1.93633660726885E-17, -0.316227766016618)); #39801=DIRECTION('ref_axis',(-0.316227766016617,-5.80900982181103E-17,0.948683298050587)); #39802=DIRECTION('',(0.316227766016617,3.27368024506201E-16,-0.948683298050587)); #39803=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39804=DIRECTION('',(-0.316227766016617,-5.80900982181103E-17,0.948683298050587)); #39805=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39806=DIRECTION('center_axis',(-0.98582116978413,1.0274746724321E-17,-0.167799348048346)); #39807=DIRECTION('ref_axis',(-0.167799348048346,-6.03641370053917E-17,0.98582116978413)); #39808=DIRECTION('',(0.167799348048346,3.80489960402155E-16,-0.98582116978413)); #39809=DIRECTION('',(-0.167799348048346,-6.03641370053917E-17,0.98582116978413)); #39810=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39811=DIRECTION('center_axis',(-0.995634226059275,5.71547000656378E-18, -0.0933407086932016)); #39812=DIRECTION('ref_axis',(-0.0933407086932016,-6.09650134032522E-17, 0.995634226059275)); #39813=DIRECTION('',(0.0933407086932016,4.03359193986247E-16,-0.995634226059275)); #39814=DIRECTION('',(-0.0933407086932016,-6.09650134032522E-17,0.995634226059275)); #39815=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39816=DIRECTION('center_axis',(-0.999695307732111,1.51144896140315E-18, -0.0246838347588133)); #39817=DIRECTION('ref_axis',(-0.0246838347588133,-6.12136829368379E-17, 0.999695307732111)); #39818=DIRECTION('',(0.0246838347588133,4.22308763835113E-16,-0.999695307732111)); #39819=DIRECTION('',(-0.0246838347588133,-6.12136829368379E-17,0.999695307732111)); #39820=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39821=DIRECTION('center_axis',(-1.,6.37557163370356E-33,-4.93038065763132E-32)); #39822=DIRECTION('ref_axis',(0.,-6.12323399573677E-17,1.)); #39823=DIRECTION('',(0.,4.28626379701573E-16,-1.)); #39824=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #39825=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39826=DIRECTION('center_axis',(-0.999729693196846,-1.42362298696042E-18, 0.0232495277487613)); #39827=DIRECTION('ref_axis',(0.0232495277487613,-6.12157884393042E-17,0.999729693196846)); #39828=DIRECTION('',(-0.0232495277487613,4.34338025959014E-16,-0.999729693196846)); #39829=DIRECTION('',(0.0232495277487613,-6.12157884393042E-17,0.999729693196846)); #39830=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39831=DIRECTION('center_axis',(-0.997054485501577,-4.6963060932541E-18, 0.0766964988848025)); #39832=DIRECTION('ref_axis',(0.0766964988848025,-6.10519792122508E-17,0.997054485501576)); #39833=DIRECTION('',(-0.0766964988848025,4.46587874003524E-16,-0.997054485501577)); #39834=DIRECTION('',(0.0766964988848025,-6.10519792122508E-17,0.997054485501576)); #39835=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39836=DIRECTION('center_axis',(-0.988618837339626,-9.21191550333437E-18, 0.150441996986365)); #39837=DIRECTION('ref_axis',(0.150441996986365,-6.05354447362376E-17,0.988618837339626)); #39838=DIRECTION('',(-0.150441996986365,4.61456482224092E-16,-0.988618837339626)); #39839=DIRECTION('',(0.150441996986365,-6.05354447362376E-17,0.988618837339626)); #39840=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39841=DIRECTION('center_axis',(-0.894427190999084,-2.73839349133119E-17, 0.447213595501621)); #39842=DIRECTION('ref_axis',(0.447213595501621,-5.47678698263693E-17,0.894427190999084)); #39843=DIRECTION('',(-0.447213595501621,4.9546942181858E-16,-0.894427190999084)); #39844=DIRECTION('',(0.447213595501621,-5.47678698263693E-17,0.894427190999084)); #39845=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39846=DIRECTION('center_axis',(-0.916834798939158,-2.4448038427766E-17, 0.399266767279965)); #39847=DIRECTION('ref_axis',(0.399266767279965,-5.61399400933875E-17,0.916834798939158)); #39848=DIRECTION('',(-0.399266767279965,4.93056014892448E-16,-0.916834798939158)); #39849=DIRECTION('',(0.399266767279965,-5.61399400933875E-17,0.916834798939158)); #39850=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39851=DIRECTION('center_axis',(-0.707106781186913,-4.32978028117523E-17, 0.707106781186182)); #39852=DIRECTION('ref_axis',(0.707106781186182,-4.32978028117971E-17,0.707106781186913)); #39853=DIRECTION('',(-0.707106781186182,4.80321322274266E-16,-0.707106781186913)); #39854=DIRECTION('',(0.707106781186182,-4.32978028117971E-17,0.707106781186913)); #39855=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39856=DIRECTION('center_axis',(-0.332820117735057,-5.77415046824608E-17, 0.942990333582918)); #39857=DIRECTION('ref_axis',(0.942990333582918,-2.03793545938041E-17,0.332820117735057)); #39858=DIRECTION('',(-0.942990333582918,3.79016526551797E-16,-0.332820117735057)); #39859=DIRECTION('',(0.942990333582918,-2.03793545938041E-17,0.332820117735057)); #39860=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39861=DIRECTION('center_axis',(0.332820117735164,-5.77415046824586E-17, 0.94299033358288)); #39862=DIRECTION('ref_axis',(0.94299033358288,2.03793545938107E-17,-0.332820117735164)); #39863=DIRECTION('',(-0.94299033358288,9.37055622384839E-17,0.332820117735164)); #39864=DIRECTION('',(0.94299033358288,2.03793545938107E-17,-0.332820117735164)); #39865=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39866=DIRECTION('center_axis',(0.85894697420857,-3.13549101352459E-17, 0.512064542316633)); #39867=DIRECTION('ref_axis',(0.512064542316633,5.25953331300915E-17,-0.85894697420857)); #39868=DIRECTION('',(-0.512064542316633,-2.39818073483114E-16,0.85894697420857)); #39869=DIRECTION('',(0.512064542316633,5.25953331300915E-17,-0.85894697420857)); #39870=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39871=DIRECTION('center_axis',(-0.858946974208597,3.13549101352432E-17, -0.512064542316587)); #39872=DIRECTION('ref_axis',(-0.512064542316587,-5.25953331300932E-17,0.858946974208597)); #39873=DIRECTION('',(0.512064542316587,2.39818073483137E-16,-0.858946974208597)); #39874=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39875=DIRECTION('',(-0.512064542316587,-5.25953331300932E-17,0.858946974208597)); #39876=DIRECTION('center_axis',(0.924678098474699,2.33142015140351E-17, -0.380749805254337)); #39877=DIRECTION('ref_axis',(-0.380749805254337,5.6620203676935E-17,-0.924678098474699)); #39878=DIRECTION('',(0.380749805254337,-4.91776573287975E-16,0.924678098474699)); #39879=DIRECTION('',(-0.380749805254337,5.6620203676935E-17,-0.924678098474699)); #39880=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39881=DIRECTION('center_axis',(0.966234939601256,1.57772870134244E-17, -0.257662650560295)); #39882=DIRECTION('ref_axis',(-0.257662650560295,5.91648263003508E-17,-0.966234939601256)); #39883=DIRECTION('',(0.257662650560295,-4.78737068807678E-16,0.966234939601256)); #39884=DIRECTION('',(-0.257662650560295,5.91648263003507E-17,-0.966234939601256)); #39885=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39886=DIRECTION('center_axis',(0.989660599000338,8.7824977160077E-18,-0.143429072319013)); #39887=DIRECTION('ref_axis',(-0.143429072319013,6.05992342404008E-17,-0.989660599000338)); #39888=DIRECTION('',(0.143429072319013,-4.60145215338384E-16,0.989660599000338)); #39889=DIRECTION('',(-0.143429072319013,6.05992342404008E-17,-0.989660599000338)); #39890=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39891=DIRECTION('center_axis',(0.997951740916156,3.91711027312571E-18, -0.0639712654432762)); #39892=DIRECTION('ref_axis',(-0.0639712654432762,6.1106920260825E-17,-0.997951740916156)); #39893=DIRECTION('',(0.0639712654432762,-4.43782874600926E-16,0.997951740916156)); #39894=DIRECTION('',(-0.0639712654432762,6.11069202608249E-17,-0.997951740916156)); #39895=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39896=DIRECTION('center_axis',(0.999567754464357,1.80017272210294E-18, -0.0293990516017562)); #39897=DIRECTION('ref_axis',(-0.0293990516017562,6.12058725517841E-17,-0.999567754464357)); #39898=DIRECTION('',(0.0293990516017562,-4.35809996273482E-16,0.999567754464357)); #39899=DIRECTION('',(-0.0293990516017562,6.12058725517841E-17,-0.999567754464357)); #39900=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39901=DIRECTION('center_axis',(0.99931483376677,-2.2663105787238E-18,0.0370116605098166)); #39902=DIRECTION('ref_axis',(0.0370116605098166,6.11903856256473E-17,-0.999314833766769)); #39903=DIRECTION('',(-0.0370116605098166,-4.19055706369791E-16,0.999314833766769)); #39904=DIRECTION('',(0.0370116605098166,6.11903856256473E-17,-0.999314833766769)); #39905=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39906=DIRECTION('center_axis',(0.993883734673619,-6.76198074662592E-18, 0.110431526074847)); #39907=DIRECTION('ref_axis',(0.110431526074847,6.08578267196333E-17,-0.993883734673619)); #39908=DIRECTION('',(-0.110431526074847,-3.98325064448942E-16,0.993883734673619)); #39909=DIRECTION('',(0.110431526074847,6.08578267196333E-17,-0.993883734673619)); #39910=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39911=DIRECTION('center_axis',(0.976900017396268,-1.30851599308408E-17, 0.213696878805403)); #39912=DIRECTION('ref_axis',(0.213696878805403,5.98178739695668E-17,-0.976900017396268)); #39913=DIRECTION('',(-0.213696878805403,-3.65161877889777E-16,0.976900017396268)); #39914=DIRECTION('',(0.213696878805403,5.98178739695667E-17,-0.976900017396268)); #39915=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39916=DIRECTION('center_axis',(0.943312390837383,-2.03233939820994E-17, 0.331906211590955)); #39917=DIRECTION('ref_axis',(0.331906211590955,5.77612250017519E-17,-0.943312390837383)); #39918=DIRECTION('',(-0.331906211590955,-3.21136101007986E-16,0.943312390837383)); #39919=DIRECTION('',(0.331906211590955,5.77612250017519E-17,-0.943312390837383)); #39920=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39921=DIRECTION('center_axis',(0.878624509572509,-2.92392579701246E-17, 0.477513320419931)); #39922=DIRECTION('ref_axis',(0.477513320419931,5.38002346650194E-17,-0.878624509572509)); #39923=DIRECTION('',(-0.477513320419931,-2.56912666949283E-16,0.878624509572509)); #39924=DIRECTION('',(0.477513320419931,5.38002346650194E-17,-0.878624509572509)); #39925=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39926=DIRECTION('center_axis',(-0.349109023172939,5.73797306234021E-17, -0.937082114832652)); #39927=DIRECTION('ref_axis',(-0.937082114832652,-2.13767623891099E-17,0.349109023172939)); #39928=DIRECTION('',(0.937082114832652,-8.52428094006584E-17,-0.349109023172939)); #39929=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39930=DIRECTION('',(-0.937082114832652,-2.13767623891099E-17,0.349109023172939)); #39931=DIRECTION('center_axis',(0.974391195694561,-1.376867375684E-17,0.224859506699013)); #39932=DIRECTION('ref_axis',(0.224859506699013,5.96642529462354E-17,-0.974391195694561)); #39933=DIRECTION('',(-0.224859506699013,-3.61288611921602E-16,0.974391195694561)); #39934=DIRECTION('',(0.224859506699013,5.96642529462354E-17,-0.974391195694561)); #39935=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39936=DIRECTION('center_axis',(0.982155191892132,-1.15161052214409E-17, 0.188072270787934)); #39937=DIRECTION('ref_axis',(0.188072270787934,6.01396606008327E-17,-0.982155191892132)); #39938=DIRECTION('',(-0.188072270787934,-3.73837206364739E-16,0.982155191892132)); #39939=DIRECTION('',(0.188072270787934,6.01396606008327E-17,-0.982155191892132)); #39940=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39941=DIRECTION('center_axis',(0.996962141349249,-4.76924412216139E-18, 0.0778876672928377)); #39942=DIRECTION('ref_axis',(0.0778876672928377,6.10463247637225E-17,-0.996962141349249)); #39943=DIRECTION('',(-0.0778876672928377,-4.07801686813392E-16,0.996962141349249)); #39944=DIRECTION('',(0.0778876672928377,6.10463247637225E-17,-0.996962141349249)); #39945=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39946=DIRECTION('center_axis',(0.999695307732111,-1.51144896140315E-18, 0.0246838347588133)); #39947=DIRECTION('ref_axis',(0.0246838347588133,6.12136829368379E-17,-0.999695307732111)); #39948=DIRECTION('',(-0.0246838347588133,-4.22308763835113E-16,0.999695307732111)); #39949=DIRECTION('',(0.0246838347588133,6.12136829368379E-17,-0.999695307732111)); #39950=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39951=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39952=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #39953=DIRECTION('',(0.,-4.28626379701573E-16,1.)); #39954=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #39955=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39956=DIRECTION('center_axis',(0.999930803030744,7.20330621944681E-19, -0.0117638918004144)); #39957=DIRECTION('ref_axis',(-0.0117638918004144,6.12281028650222E-17,-0.999930803030744)); #39958=DIRECTION('',(0.0117638918004144,-4.31545345988077E-16,0.999930803030744)); #39959=DIRECTION('',(-0.0117638918004144,6.12281028650222E-17,-0.999930803030744)); #39960=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39961=DIRECTION('center_axis',(0.997054485501587,4.6963060932454E-18,-0.0766964988846607)); #39962=DIRECTION('ref_axis',(-0.0766964988846607,6.10519792122515E-17,-0.997054485501587)); #39963=DIRECTION('',(0.0766964988846607,-4.46587874003493E-16,0.997054485501587)); #39964=DIRECTION('',(-0.0766964988846607,6.10519792122515E-17,-0.997054485501587)); #39965=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39966=DIRECTION('center_axis',(0.985211754819675,1.04916210610632E-17, -0.171341174751248)); #39967=DIRECTION('ref_axis',(-0.171341174751248,6.03268211011131E-17,-0.985211754819674)); #39968=DIRECTION('',(0.171341174751248,-4.65234507149349E-16,0.985211754819675)); #39969=DIRECTION('',(-0.171341174751248,6.03268211011131E-17,-0.985211754819674)); #39970=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39971=DIRECTION('center_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #39972=DIRECTION('ref_axis',(0.,6.12323399573677E-17,-1.)); #39973=DIRECTION('',(0.,-4.28626379701573E-16,1.)); #39974=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #39975=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39976=DIRECTION('center_axis',(0.913811548620218,2.48688086231539E-17, -0.406138466053535)); #39977=DIRECTION('ref_axis',(-0.406138466053535,5.59548194020818E-17,-0.913811548620218)); #39978=DIRECTION('',(0.406138466053535,-4.93482565120413E-16,0.913811548620218)); #39979=DIRECTION('',(-0.406138466053535,5.59548194020819E-17,-0.913811548620218)); #39980=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39981=DIRECTION('center_axis',(0.707106781186913,4.32978028117523E-17, -0.707106781186182)); #39982=DIRECTION('ref_axis',(-0.707106781186182,4.32978028117971E-17,-0.707106781186913)); #39983=DIRECTION('',(0.707106781186182,-4.80321322274266E-16,0.707106781186913)); #39984=DIRECTION('',(-0.707106781186182,4.32978028117971E-17,-0.707106781186913)); #39985=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39986=DIRECTION('center_axis',(0.338719468272742,5.76127378651537E-17, -0.940887411868727)); #39987=DIRECTION('ref_axis',(-0.940887411868727,2.07405856314553E-17,-0.338719468272742)); #39988=DIRECTION('',(0.940887411868727,-3.81018045334124E-16,0.338719468272742)); #39989=DIRECTION('',(-0.940887411868727,2.07405856314553E-17,-0.338719468272742)); #39990=DIRECTION('center_axis',(0.8,-3.67394039744207E-17,0.6)); #39991=DIRECTION('ref_axis',(0.6,4.89858719658942E-17,-0.8)); #39992=DIRECTION('',(-0.6,-1.9251077462791E-16,0.8)); #39993=DIRECTION('',(0.6,4.89858719658942E-17,-0.8)); #39994=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #39995=DIRECTION('center_axis',(0.69893294780104,-4.3792585910576E-17,0.715187202400986)); #39996=DIRECTION('ref_axis',(0.715187202400986,4.27972998671583E-17,-0.69893294780104)); #39997=DIRECTION('',(-0.715187202400986,-1.2031903446837E-16,0.69893294780104)); #39998=DIRECTION('',(0.715187202400986,4.27972998671583E-17,-0.69893294780104)); #39999=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40000=DIRECTION('center_axis',(0.440673190639386,-5.49662882986372E-17, 0.897667610561785)); #40001=DIRECTION('ref_axis',(0.897667610561785,2.69834506193288E-17,-0.440673190639386)); #40002=DIRECTION('',(-0.897667610561785,3.61167246725875E-17,0.440673190639386)); #40003=DIRECTION('',(0.897667610561785,2.69834506193288E-17,-0.440673190639386)); #40004=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40005=DIRECTION('center_axis',(0.202091528727924,-5.99689109956029E-17, 0.97936663921966)); #40006=DIRECTION('ref_axis',(0.97936663921966,1.23745371895723E-17,-0.202091528727924)); #40007=DIRECTION('',(-0.97936663921966,1.58857025030437E-16,0.202091528727924)); #40008=DIRECTION('',(0.97936663921966,1.23745371895723E-17,-0.202091528727924)); #40009=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40010=DIRECTION('center_axis',(0.0434372242760966,-6.11745463248994E-17, 0.999056158355069)); #40011=DIRECTION('ref_axis',(0.999056158355069,2.65976288367836E-18,-0.0434372242760966)); #40012=DIRECTION('',(-0.999056158355069,2.3179563394378E-16,0.0434372242760966)); #40013=DIRECTION('',(0.999056158355069,2.65976288367836E-18,-0.0434372242760966)); #40014=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40015=DIRECTION('center_axis',(-0.124034734589208,-6.07594962791063E-17, 0.992277876713668)); #40016=DIRECTION('ref_axis',(0.992277876713668,-7.5949370348883E-18,0.124034734589208)); #40017=DIRECTION('',(-0.992277876713668,3.01879553362065E-16,-0.124034734589208)); #40018=DIRECTION('',(0.992277876713668,-7.59493703488829E-18,0.124034734589208)); #40019=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40020=DIRECTION('center_axis',(-0.374194034192177,-5.67838369463163E-17, 0.927350432563108)); #40021=DIRECTION('ref_axis',(0.927350432563108,-2.29127763116743E-17,0.374194034192177)); #40022=DIRECTION('',(-0.927350432563108,3.92830328806917E-16,-0.374194034192177)); #40023=DIRECTION('',(0.927350432563108,-2.29127763116742E-17,0.374194034192177)); #40024=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40025=DIRECTION('center_axis',(-0.594843005410271,-4.92211204439814E-17, 0.803841899203118)); #40026=DIRECTION('ref_axis',(0.803841899203118,-3.64236291285441E-17,0.594843005410271)); #40027=DIRECTION('',(-0.803841899203118,4.56448816887029E-16,-0.594843005410271)); #40028=DIRECTION('',(0.803841899203118,-3.64236291285441E-17,0.594843005410271)); #40029=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40030=DIRECTION('center_axis',(-0.752576694706806,-4.03217780125033E-17, 0.658504607868601)); #40031=DIRECTION('ref_axis',(0.658504607868601,-4.60820320142791E-17,0.752576694706806)); #40032=DIRECTION('',(-0.658504607868601,4.87628765288596E-16,-0.752576694706806)); #40033=DIRECTION('',(0.658504607868601,-4.60820320142793E-17,0.752576694706806)); #40034=DIRECTION('',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40035=DIRECTION('center_axis',(-0.847998304005132,-3.24530752713149E-17, 0.529998940003109)); #40036=DIRECTION('ref_axis',(0.529998940003109,-5.19249204341135E-17,0.847998304005132)); #40037=DIRECTION('',(-0.529998940003109,4.96318968084449E-16,-0.847998304005132)); #40038=DIRECTION('',(0.529998940003109,-5.19249204341135E-17,0.847998304005132)); #40039=DIRECTION('center_axis',(8.62202619291503E-33,1.,6.12323399573677E-17)); #40040=DIRECTION('ref_axis',(1.,-6.37557163370356E-33,4.93038065763132E-32)); #40041=DIRECTION('center_axis',(-2.5065054855558E-16,-1.,-4.28626379701573E-16)); #40042=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40043=DIRECTION('center_axis',(-2.5065054855558E-16,-1.,-4.28626379701573E-16)); #40044=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40045=DIRECTION('',(2.51866611426148E-15,-4.28626379701574E-16,1.)); #40046=DIRECTION('',(1.,-2.5065054855558E-16,-2.44929359829471E-16)); #40047=DIRECTION('',(-2.02880739460253E-15,-4.28626379701573E-16,1.)); #40048=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40049=DIRECTION('',(-2.44929359829471E-16,4.28626379701574E-16,-1.)); #40050=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40051=DIRECTION('',(-2.02880739460253E-15,-4.28626379701573E-16,1.)); #40052=DIRECTION('',(-1.,3.06161699786838E-16,-1.73036955323551E-16)); #40053=DIRECTION('',(2.51866611426148E-15,-4.28626379701574E-16,1.)); #40054=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40055=DIRECTION('',(-2.44929359829471E-16,4.28626379701574E-16,-1.)); #40056=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40057=DIRECTION('center_axis',(-2.5065054855558E-16,-1.,-4.28626379701573E-16)); #40058=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40059=DIRECTION('center_axis',(-2.5065054855558E-16,-1.,-4.28626379701573E-16)); #40060=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40061=DIRECTION('center_axis',(-2.5065054855558E-16,-1.,-4.28626379701573E-16)); #40062=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40063=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40064=DIRECTION('ref_axis',(1.,-3.06161699786838E-16,1.77635683940025E-16)); #40065=DIRECTION('center_axis',(-0.476190476190477,-0.87934215774378,-2.60276217102462E-16)); #40066=DIRECTION('ref_axis',(-0.87934215774378,0.476190476190477,4.19484511625142E-16)); #40067=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40068=DIRECTION('ref_axis',(1.,1.80843561669452E-14,1.73036955323689E-16)); #40069=DIRECTION('center_axis',(-0.476190476190473,0.879342157743782,4.93542274082911E-16)); #40070=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40071=DIRECTION('center_axis',(1.73036955323553E-16,7.53405373730257E-15, -1.)); #40072=DIRECTION('ref_axis',(1.,1.80843561669452E-14,1.73036955323537E-16)); #40073=DIRECTION('center_axis',(0.241725586684591,-0.930592399947153,0.274893662900016)); #40074=DIRECTION('ref_axis',(-0.614516168880981,-0.366057079101876,-0.6988362419217)); #40075=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40076=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40077=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40078=DIRECTION('ref_axis',(-0.859124692984215,-0.511766315719174,-8.93168209360787E-18)); #40079=DIRECTION('center_axis',(-0.241725586684552,-0.930592399947176,-0.274893662899973)); #40080=DIRECTION('ref_axis',(-0.614516168880994,0.366057079101818,-0.698836241921718)); #40081=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40082=DIRECTION('ref_axis',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40083=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40084=DIRECTION('ref_axis',(-0.85912469298424,0.511766315719133,4.29781404226225E-16)); #40085=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40086=DIRECTION('ref_axis',(-0.85912469298422,-0.511766315719166,-8.93168209360323E-18)); #40087=DIRECTION('',(2.44929359829471E-16,-2.28847549044393E-17,1.)); #40088=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40089=DIRECTION('ref_axis',(-0.85912469298422,-0.511766315719166,-8.93168209360323E-18)); #40090=DIRECTION('',(-2.44929359829468E-16,7.53405373730257E-15,-1.)); #40091=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40092=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044391E-17)); #40093=DIRECTION('',(-2.44929359829471E-16,2.28847549044393E-17,-1.)); #40094=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40095=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044391E-17)); #40096=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40097=DIRECTION('ref_axis',(-0.859124692984244,0.511766315719126,4.29781404226223E-16)); #40098=DIRECTION('',(2.44929359829471E-16,-4.28626379701573E-16,1.)); #40099=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40100=DIRECTION('ref_axis',(-0.859124692984244,0.511766315719126,4.29781404226223E-16)); #40101=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40102=DIRECTION('ref_axis',(-0.707106781186548,5.19573633741296E-16,-0.707106781186547)); #40103=DIRECTION('center_axis',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40104=DIRECTION('ref_axis',(-1.,3.06161699786841E-16,-6.86049799777153E-15)); #40105=DIRECTION('',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40106=DIRECTION('center_axis',(-0.939692620785907,0.342020143325674,3.76757167864266E-16)); #40107=DIRECTION('ref_axis',(-0.342020143325674,-0.939692620785906,-7.87540716764081E-15)); #40108=DIRECTION('',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40109=DIRECTION('center_axis',(-1.,3.06161699786841E-16,-1.7303695532355E-16)); #40110=DIRECTION('ref_axis',(-3.89680225305972E-16,-0.707106781186547,-0.707106781186548)); #40111=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40112=DIRECTION('ref_axis',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40113=DIRECTION('',(-1.,3.06161699786841E-16,-1.7303695532355E-16)); #40114=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40115=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40116=DIRECTION('',(1.,-3.06161699786841E-16,1.7303695532355E-16)); #40117=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40118=DIRECTION('ref_axis',(-1.,1.37513026752034E-14,-1.77635683940025E-16)); #40119=DIRECTION('center_axis',(0.476190476190474,0.879342157743782,2.60276217102464E-16)); #40120=DIRECTION('ref_axis',(0.879342157743782,-0.476190476190474,-4.19484511625141E-16)); #40121=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40122=DIRECTION('ref_axis',(-1.,1.86966795665188E-14,-1.73036955323412E-16)); #40123=DIRECTION('center_axis',(0.476190476190477,-0.87934215774378,-4.93542274082911E-16)); #40124=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40125=DIRECTION('center_axis',(1.73036955323553E-16,7.53405373730257E-15, -1.)); #40126=DIRECTION('ref_axis',(-1.,1.86966795665188E-14,-1.73036955323522E-16)); #40127=DIRECTION('center_axis',(-0.241725586684595,-0.930592399947152,0.274893662900016)); #40128=DIRECTION('ref_axis',(0.614516168880982,-0.366057079101878,-0.698836241921698)); #40129=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40130=DIRECTION('ref_axis',(0.859124692984215,-0.511766315719175,-4.29781404226237E-16)); #40131=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463863)); #40132=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #40133=DIRECTION('center_axis',(-2.02880739460254E-15,-7.53405373730257E-15, 1.)); #40134=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-7.23532076603627E-15)); #40135=DIRECTION('center_axis',(1.,-3.61672851018096E-16,1.7303695532355E-16)); #40136=DIRECTION('ref_axis',(4.32978028117747E-17,0.707106781186548,-0.707106781186547)); #40137=DIRECTION('center_axis',(-1.,3.06161699786855E-16,-2.02880739460253E-15)); #40138=DIRECTION('ref_axis',(4.32978028117747E-17,0.707106781186548,-0.707106781186547)); #40139=DIRECTION('',(1.,-3.61672851018096E-16,1.7303695532355E-16)); #40140=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40141=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40142=DIRECTION('',(-1.,3.61672851018096E-16,-1.7303695532355E-16)); #40143=DIRECTION('center_axis',(0.241725586684559,-0.93059239994717,-0.274893662899986)); #40144=DIRECTION('ref_axis',(0.614516168880989,0.366057079101833,-0.698836241921716)); #40145=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40146=DIRECTION('ref_axis',(0.85912469298424,0.511766315719132,8.93168209358377E-18)); #40147=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40148=DIRECTION('ref_axis',(-1.,3.06161699786838E-16,-1.7303695532355E-16)); #40149=DIRECTION('',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40150=DIRECTION('center_axis',(2.02880739460254E-15,7.53405373730257E-15, -1.)); #40151=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-1.01784851440115E-14)); #40152=DIRECTION('',(-2.02880739460254E-15,-7.53405373730257E-15,1.)); #40153=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40154=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-1.01784851440115E-14)); #40155=DIRECTION('',(2.02880739460254E-15,7.53405373730257E-15,-1.)); #40156=DIRECTION('center_axis',(3.61672851018096E-16,1.,4.28626379701573E-16)); #40157=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40158=DIRECTION('',(-2.44929359829471E-16,4.28626379701573E-16,-1.)); #40159=DIRECTION('',(-1.,3.61672851018096E-16,6.62895674982493E-16)); #40160=DIRECTION('',(-2.02880739460253E-15,-4.28626379701572E-16,1.)); #40161=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40162=DIRECTION('ref_axis',(0.859124692984245,0.511766315719125,8.93168209357964E-18)); #40163=DIRECTION('',(2.44929359829471E-16,-2.28847549044393E-17,1.)); #40164=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40165=DIRECTION('ref_axis',(0.859124692984245,0.511766315719125,8.93168209357964E-18)); #40166=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40167=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044391E-17)); #40168=DIRECTION('',(-2.44929359829471E-16,2.28847549044393E-17,-1.)); #40169=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40170=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044391E-17)); #40171=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40172=DIRECTION('ref_axis',(0.85912469298422,-0.511766315719167,-4.29781404226234E-16)); #40173=DIRECTION('',(2.44929359829468E-16,-7.53405373730257E-15,1.)); #40174=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40175=DIRECTION('ref_axis',(0.85912469298422,-0.511766315719167,-4.29781404226234E-16)); #40176=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40177=DIRECTION('ref_axis',(-2.44929359829468E-16,7.53405373730257E-15, -1.)); #40178=DIRECTION('',(1.,-3.06161699786835E-16,-6.62895674982492E-16)); #40179=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40180=DIRECTION('ref_axis',(-1.,7.11903324583972E-16,2.44929359829471E-16)); #40181=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40182=DIRECTION('ref_axis',(-7.11903324583972E-16,-1.,-2.28847549044392E-17)); #40183=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40184=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044391E-17)); #40185=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40186=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044392E-17)); #40187=DIRECTION('center_axis',(6.62895674982489E-16,-7.53405373730257E-15, 1.)); #40188=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044392E-17)); #40189=DIRECTION('',(2.44929359829471E-16,-2.28847549044393E-17,1.)); #40190=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40191=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044391E-17)); #40192=DIRECTION('',(2.44929359829471E-16,-2.28847549044393E-17,1.)); #40193=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40194=DIRECTION('ref_axis',(7.11903324583972E-16,1.,2.28847549044391E-17)); #40195=DIRECTION('center_axis',(1.,-3.06161699786855E-16,2.02880739460253E-15)); #40196=DIRECTION('ref_axis',(-2.02880739460253E-15,-4.28626379701573E-16, 1.)); #40197=DIRECTION('',(2.02880739460253E-15,4.28626379701572E-16,-1.)); #40198=DIRECTION('',(-3.06161699786855E-16,-1.,-4.28626379701574E-16)); #40199=DIRECTION('',(2.02880739460254E-15,6.67566677028744E-15,-1.)); #40200=DIRECTION('center_axis',(-2.02880739460253E-15,-4.28626379701572E-16, 1.)); #40201=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,4.76275830929521E-16)); #40202=DIRECTION('',(2.02880739460253E-15,4.28626379701572E-16,-1.)); #40203=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40204=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,4.76275830929521E-16)); #40205=DIRECTION('',(-2.02880739460253E-15,-4.28626379701572E-16,1.)); #40206=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40207=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,4.76275830929521E-16)); #40208=DIRECTION('center_axis',(-1.,3.06161699786855E-16,-2.02880739460253E-15)); #40209=DIRECTION('ref_axis',(2.02880739460253E-15,4.28626379701573E-16, -1.)); #40210=DIRECTION('',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40211=DIRECTION('',(-2.44929359829471E-16,4.28626379701574E-16,-1.)); #40212=DIRECTION('',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40213=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40214=DIRECTION('ref_axis',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40215=DIRECTION('',(3.06161699786855E-16,1.,4.28626379701574E-16)); #40216=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40217=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40218=DIRECTION('ref_axis',(-0.342020143325667,-0.939692620785909,-3.19006271326209E-16)); #40219=DIRECTION('',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40220=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40221=DIRECTION('ref_axis',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40222=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40223=DIRECTION('ref_axis',(-3.71468664810503E-16,-0.939692620785908,-0.34202014332567)); #40224=DIRECTION('',(2.44929359829471E-16,-4.28626379701574E-16,1.)); #40225=DIRECTION('',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40226=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40227=DIRECTION('ref_axis',(1.88043911260985E-16,-0.17364817766693,0.984807753012208)); #40228=DIRECTION('center_axis',(-0.581542957519853,0.764454696956462,0.278238755137144)); #40229=DIRECTION('ref_axis',(0.813515696565876,0.546471625851418,0.198899405680974)); #40230=DIRECTION('center_axis',(-1.,3.06161699786855E-16,-2.02880739460253E-15)); #40231=DIRECTION('ref_axis',(1.88043911260985E-16,-0.17364817766693,0.984807753012208)); #40232=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40233=DIRECTION('center_axis',(-2.34123470007803E-15,-7.53405373730257E-15, 1.)); #40234=DIRECTION('ref_axis',(0.573576436351063,-0.81915204428898,-1.7839846205436E-14)); #40235=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40236=DIRECTION('ref_axis',(0.573576436351063,-0.81915204428898,-1.7839846205436E-14)); #40237=DIRECTION('',(-2.34123470007803E-15,-7.53405373730257E-15,1.)); #40238=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40239=DIRECTION('ref_axis',(0.939692620785908,-0.34202014332567,-3.76757167864265E-16)); #40240=DIRECTION('',(2.34123470007803E-15,7.53405373730257E-15,-1.)); #40241=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40242=DIRECTION('ref_axis',(1.25444843603627E-16,-0.342020143325716,0.939692620785891)); #40243=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40244=DIRECTION('ref_axis',(0.707106781186572,-5.5438695015292E-15,0.707106781186523)); #40245=DIRECTION('center_axis',(-0.156701269212979,-0.975135592855719,-0.156701269213005)); #40246=DIRECTION('ref_axis',(0.689524990284647,-0.221609060162091,0.68952499028464)); #40247=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40248=DIRECTION('ref_axis',(-1.10307272894664E-16,-0.819152044289013,0.573576436351017)); #40249=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40250=DIRECTION('ref_axis',(1.25444843603643E-16,-0.342020143325675,0.939692620785906)); #40251=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40252=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40253=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40254=DIRECTION('ref_axis',(0.,-7.42335087675468E-15,1.)); #40255=DIRECTION('center_axis',(1.48779463559753E-14,4.28626379701569E-16, -1.)); #40256=DIRECTION('ref_axis',(0.939692620785891,-0.342020143325716,1.38340975473397E-14)); #40257=DIRECTION('center_axis',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40258=DIRECTION('ref_axis',(-0.707106781186543,5.54386950152941E-15,-0.707106781186552)); #40259=DIRECTION('center_axis',(3.06161699786842E-16,1.,1.73151891316386E-14)); #40260=DIRECTION('ref_axis',(-0.707106781186545,1.24601766665682E-14,-0.70710678118655)); #40261=DIRECTION('center_axis',(-2.34123470007809E-15,-7.53405373730257E-15, 1.)); #40262=DIRECTION('ref_axis',(0.573576436351063,-0.81915204428898,-4.91595884537464E-16)); #40263=DIRECTION('',(-2.34123470007809E-15,-7.53405373730257E-15,1.)); #40264=DIRECTION('',(-2.34123470007809E-15,-7.53405373730257E-15,1.)); #40265=DIRECTION('center_axis',(-0.707106781186548,1.05681653693175E-14, -0.707106781186547)); #40266=DIRECTION('ref_axis',(0.707106781186547,1.01351873411997E-14,-0.707106781186548)); #40267=DIRECTION('center_axis',(-0.939692620785907,0.342020143325674,3.76757167864266E-16)); #40268=DIRECTION('ref_axis',(-0.342020143325674,-0.939692620785907,-3.19006271326206E-16)); #40269=DIRECTION('',(-0.342020143325674,-0.939692620785907,-3.19006271326206E-16)); #40270=DIRECTION('',(-0.32361557711819,-0.889126490715987,0.323615577118183)); #40271=DIRECTION('',(0.342020143325674,0.939692620785907,3.19006271326206E-16)); #40272=DIRECTION('',(2.02880739460254E-15,6.67566677028744E-15,-1.)); #40273=DIRECTION('center_axis',(7.30024126476009E-16,-1.,-4.28626379701574E-16)); #40274=DIRECTION('ref_axis',(-1.,-7.1797747421278E-16,4.28626379701573E-16)); #40275=DIRECTION('',(-3.71468664810503E-16,-0.939692620785908,-0.34202014332567)); #40276=DIRECTION('',(-3.71468664810503E-16,-0.939692620785908,-0.34202014332567)); #40277=DIRECTION('center_axis',(-1.25444843603645E-16,0.34202014332567, -0.939692620785908)); #40278=DIRECTION('ref_axis',(3.71468664810502E-16,0.939692620785908,0.34202014332567)); #40279=DIRECTION('',(-0.323615577118183,-0.889126490715989,-0.323615577118186)); #40280=DIRECTION('center_axis',(0.323615577118183,0.889126490715989,0.323615577118186)); #40281=DIRECTION('ref_axis',(0.628707370917907,-0.457661538155719,0.62870737091785)); #40282=DIRECTION('',(0.323615577118183,0.889126490715989,0.323615577118186)); #40283=DIRECTION('center_axis',(0.278238755137156,0.764454696956473,-0.581542957519831)); #40284=DIRECTION('ref_axis',(0.198899405680973,0.546471625851396,0.813515696565892)); #40285=DIRECTION('center_axis',(-0.939692620785909,0.342020143325667,3.76757167864264E-16)); #40286=DIRECTION('ref_axis',(0.342020143325667,0.939692620785909,3.19006271326209E-16)); #40287=DIRECTION('',(-0.342020143325667,-0.939692620785909,-3.19006271326209E-16)); #40288=DIRECTION('',(-2.44929359829471E-16,4.28626379701574E-16,-1.)); #40289=DIRECTION('center_axis',(-1.,3.06161699786855E-16,-2.02880739460253E-15)); #40290=DIRECTION('ref_axis',(2.02880739460253E-15,4.28626379701573E-16, -1.)); #40291=DIRECTION('',(2.02880739460254E-15,6.67566677028744E-15,-1.)); #40292=DIRECTION('',(2.02880739460254E-15,7.53405373730257E-15,-1.)); #40293=DIRECTION('',(-3.06161699786855E-16,-1.,-4.28626379701574E-16)); #40294=DIRECTION('',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40295=DIRECTION('center_axis',(3.61672851018096E-16,1.,4.28626379701573E-16)); #40296=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40297=DIRECTION('',(-1.,3.61672851018096E-16,2.44929359829471E-16)); #40298=DIRECTION('',(2.44929359829471E-16,-4.28626379701573E-16,1.)); #40299=DIRECTION('',(1.,-3.61672851018096E-16,-2.44929359829471E-16)); #40300=DIRECTION('center_axis',(7.30024126476009E-16,-1.,-4.28626379701574E-16)); #40301=DIRECTION('ref_axis',(-1.,-7.1797747421278E-16,4.28626379701573E-16)); #40302=DIRECTION('',(0.342020143325674,0.939692620785907,3.19006271326206E-16)); #40303=DIRECTION('',(-3.71468664810503E-16,-0.939692620785908,-0.34202014332567)); #40304=DIRECTION('center_axis',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40305=DIRECTION('ref_axis',(-1.,-7.1797747421278E-16,4.28626379701573E-16)); #40306=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40307=DIRECTION('ref_axis',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40308=DIRECTION('',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40309=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40310=DIRECTION('center_axis',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40311=DIRECTION('ref_axis',(-2.44929359829468E-16,7.53405373730257E-15, -1.)); #40312=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40313=DIRECTION('',(-2.34123470007803E-15,-7.53405373730257E-15,1.)); #40314=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40315=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40316=DIRECTION('ref_axis',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40317=DIRECTION('',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40318=DIRECTION('',(-2.44929359829471E-16,4.28626379701574E-16,-1.)); #40319=DIRECTION('center_axis',(-0.939692620785909,0.342020143325667,3.76757167864264E-16)); #40320=DIRECTION('ref_axis',(0.342020143325667,0.939692620785909,3.19006271326209E-16)); #40321=DIRECTION('',(-0.323615577118183,-0.889126490715989,-0.323615577118186)); #40322=DIRECTION('',(0.342020143325667,0.939692620785909,3.19006271326209E-16)); #40323=DIRECTION('center_axis',(-1.25444843603645E-16,0.34202014332567, -0.939692620785908)); #40324=DIRECTION('ref_axis',(3.71468664810502E-16,0.939692620785908,0.34202014332567)); #40325=DIRECTION('center_axis',(-0.939692620785907,0.342020143325674,3.76757167864266E-16)); #40326=DIRECTION('ref_axis',(-0.342020143325674,-0.939692620785907,-3.19006271326206E-16)); #40327=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40328=DIRECTION('ref_axis',(0.984807753012209,-0.173648177666924,-3.15638522235529E-16)); #40329=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40330=DIRECTION('ref_axis',(0.984807753012209,-0.173648177666924,-3.15638522235529E-16)); #40331=DIRECTION('center_axis',(1.,-3.06161699786855E-16,2.02880739460253E-15)); #40332=DIRECTION('ref_axis',(-2.02880739460253E-15,-4.28626379701573E-16, 1.)); #40333=DIRECTION('',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40334=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40335=DIRECTION('ref_axis',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40336=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40337=DIRECTION('center_axis',(-1.,3.61672851018096E-16,-1.7303695532355E-16)); #40338=DIRECTION('ref_axis',(4.32978028117747E-17,0.707106781186548,-0.707106781186547)); #40339=DIRECTION('',(1.,-3.61672851018096E-16,1.7303695532355E-16)); #40340=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40341=DIRECTION('ref_axis',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40342=DIRECTION('',(-1.,3.61672851018096E-16,-1.7303695532355E-16)); #40343=DIRECTION('center_axis',(3.61672851018096E-16,1.,4.28626379701573E-16)); #40344=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40345=DIRECTION('',(2.44929359829471E-16,-4.28626379701573E-16,1.)); #40346=DIRECTION('',(-1.,3.61672851018096E-16,6.62895674982493E-16)); #40347=DIRECTION('center_axis',(1.73036955323553E-16,7.53405373730257E-15, -1.)); #40348=DIRECTION('ref_axis',(1.,-3.06161699786838E-16,1.73036955323551E-16)); #40349=DIRECTION('',(1.,-3.06161699786838E-16,1.73036955323551E-16)); #40350=DIRECTION('',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40351=DIRECTION('',(1.,-3.06161699786835E-16,-6.62895674982492E-16)); #40352=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40353=DIRECTION('ref_axis',(0.859124692984245,0.511766315719125,8.93168209357964E-18)); #40354=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40355=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044393E-17)); #40356=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40357=DIRECTION('ref_axis',(0.85912469298422,-0.511766315719167,-4.29781404226234E-16)); #40358=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40359=DIRECTION('ref_axis',(-0.859124692984244,0.511766315719126,4.29781404226223E-16)); #40360=DIRECTION('',(1.,-2.50650548555581E-16,1.73036955323551E-16)); #40361=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40362=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,1.29893408435324E-16)); #40363=DIRECTION('',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40364=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40365=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,-1.05248675665057E-14)); #40366=DIRECTION('',(-1.,3.06161699786841E-16,-1.73036955323551E-16)); #40367=DIRECTION('center_axis',(-6.6289567498249E-16,7.53405373730257E-15, -1.)); #40368=DIRECTION('ref_axis',(-0.85912469298422,-0.511766315719166,-8.93168209360323E-18)); #40369=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40370=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044393E-17)); #40371=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40372=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044394E-17)); #40373=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40374=DIRECTION('ref_axis',(-1.,3.06161699786838E-16,-1.7303695532355E-16)); #40375=DIRECTION('',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40376=DIRECTION('',(0.342020143325673,-0.939692620785907,-4.86547820833288E-16)); #40377=DIRECTION('',(-1.,-8.42191313188721E-16,6.62895674982483E-16)); #40378=DIRECTION('center_axis',(1.73036955323553E-16,7.53405373730257E-15, -1.)); #40379=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044393E-17)); #40380=DIRECTION('center_axis',(-3.34871780487495E-16,-0.342020143325668, -0.939692620785909)); #40381=DIRECTION('ref_axis',(-2.03927115303423E-16,-0.939692620785909,0.342020143325668)); #40382=DIRECTION('',(0.323615577118189,-0.889126490715987,0.323615577118183)); #40383=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40384=DIRECTION('center_axis',(6.62895674982489E-16,-7.53405373730257E-15, 1.)); #40385=DIRECTION('ref_axis',(-1.,3.06161699786838E-16,6.75015598972095E-16)); #40386=DIRECTION('center_axis',(-0.476190476190477,0.87934215774378,4.93542274082911E-16)); #40387=DIRECTION('ref_axis',(0.87934215774378,0.476190476190477,-1.12689119093569E-17)); #40388=DIRECTION('center_axis',(6.62895674982489E-16,-7.53405373730257E-15, 1.)); #40389=DIRECTION('ref_axis',(-1.,1.86966795665188E-14,6.62895674982479E-16)); #40390=DIRECTION('center_axis',(-0.476190476190474,-0.879342157743782,-2.60276217102464E-16)); #40391=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40392=DIRECTION('center_axis',(-6.62895674982489E-16,7.53405373730257E-15, -1.)); #40393=DIRECTION('ref_axis',(-1.,1.86966795665188E-14,6.6289567498263E-16)); #40394=DIRECTION('center_axis',(-0.241725586684591,-0.930592399947153,0.274893662900016)); #40395=DIRECTION('ref_axis',(0.61451616888098,-0.366057079101877,-0.6988362419217)); #40396=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40397=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40398=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40399=DIRECTION('ref_axis',(0.859124692984215,-0.511766315719175,-4.29781404226237E-16)); #40400=DIRECTION('center_axis',(0.241725586684551,-0.930592399947176,-0.274893662899973)); #40401=DIRECTION('ref_axis',(0.614516168880994,0.366057079101818,-0.698836241921719)); #40402=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40403=DIRECTION('ref_axis',(0.85912469298424,0.511766315719132,8.93168209358377E-18)); #40404=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40405=DIRECTION('ref_axis',(0.85912469298422,-0.511766315719167,-4.29781404226234E-16)); #40406=DIRECTION('',(-2.44929359829468E-16,7.53405373730257E-15,-1.)); #40407=DIRECTION('',(2.44929359829471E-16,-2.28847549044393E-17,1.)); #40408=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40409=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044393E-17)); #40410=DIRECTION('',(-2.44929359829471E-16,2.28847549044393E-17,-1.)); #40411=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40412=DIRECTION('ref_axis',(0.859124692984245,0.511766315719125,8.93168209357964E-18)); #40413=DIRECTION('center_axis',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40414=DIRECTION('ref_axis',(0.707106781186547,8.65956056235494E-17,-0.707106781186548)); #40415=DIRECTION('center_axis',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40416=DIRECTION('ref_axis',(1.,-3.06161699786835E-16,-7.35035671743047E-15)); #40417=DIRECTION('',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40418=DIRECTION('center_axis',(-0.939692620785907,-0.342020143325673,8.35594562268728E-17)); #40419=DIRECTION('ref_axis',(0.342020143325673,-0.939692620785907,-8.04294871714789E-15)); #40420=DIRECTION('',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40421=DIRECTION('center_axis',(-1.,3.06161699786835E-16,6.62895674982492E-16)); #40422=DIRECTION('ref_axis',(-3.89680225305972E-16,-0.707106781186547,-0.707106781186548)); #40423=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40424=DIRECTION('ref_axis',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40425=DIRECTION('',(-1.,3.06161699786835E-16,6.62895674982492E-16)); #40426=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40427=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40428=DIRECTION('',(1.,-3.06161699786835E-16,-6.62895674982492E-16)); #40429=DIRECTION('center_axis',(6.62895674982489E-16,-7.53405373730257E-15, 1.)); #40430=DIRECTION('ref_axis',(1.,-1.46394810949036E-14,-6.75015598972095E-16)); #40431=DIRECTION('center_axis',(0.476190476190473,-0.879342157743782,-4.93542274082911E-16)); #40432=DIRECTION('ref_axis',(-0.879342157743782,-0.476190476190473,1.12689119093589E-17)); #40433=DIRECTION('center_axis',(6.62895674982489E-16,-7.53405373730257E-15, 1.)); #40434=DIRECTION('ref_axis',(1.,1.80843561669452E-14,-6.62895674982463E-16)); #40435=DIRECTION('center_axis',(0.476190476190477,0.87934215774378,2.60276217102462E-16)); #40436=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40437=DIRECTION('center_axis',(-6.62895674982489E-16,7.53405373730257E-15, -1.)); #40438=DIRECTION('ref_axis',(1.,1.80843561669452E-14,-6.62895674982353E-16)); #40439=DIRECTION('center_axis',(0.241725586684591,-0.930592399947153,0.274893662900016)); #40440=DIRECTION('ref_axis',(-0.614516168880983,-0.366057079101878,-0.698836241921698)); #40441=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40442=DIRECTION('ref_axis',(-0.859124692984215,-0.511766315719174,-8.93168209360787E-18)); #40443=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726,0.408248290463863)); #40444=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189625,-0.577350269189626)); #40445=DIRECTION('center_axis',(-2.51866611426147E-15,7.53405373730257E-15, -1.)); #40446=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,-7.58170318853046E-15)); #40447=DIRECTION('center_axis',(1.,-2.5065054855558E-16,-6.62895674982491E-16)); #40448=DIRECTION('ref_axis',(4.32978028117747E-17,0.707106781186548,-0.707106781186547)); #40449=DIRECTION('center_axis',(-1.,3.06161699786821E-16,2.51866611426148E-15)); #40450=DIRECTION('ref_axis',(4.32978028117747E-17,0.707106781186548,-0.707106781186547)); #40451=DIRECTION('',(1.,-2.5065054855558E-16,-6.62895674982491E-16)); #40452=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40453=DIRECTION('ref_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40454=DIRECTION('',(-1.,2.5065054855558E-16,6.62895674982491E-16)); #40455=DIRECTION('center_axis',(-0.241725586684563,-0.93059239994717,-0.274893662899986)); #40456=DIRECTION('ref_axis',(-0.614516168880989,0.366057079101834,-0.698836241921715)); #40457=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40458=DIRECTION('ref_axis',(-0.85912469298424,0.511766315719133,4.29781404226225E-16)); #40459=DIRECTION('center_axis',(-6.62895674982489E-16,7.53405373730257E-15, -1.)); #40460=DIRECTION('ref_axis',(1.,-3.06161699786838E-16,-6.62895674982492E-16)); #40461=DIRECTION('',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40462=DIRECTION('center_axis',(2.51866611426147E-15,-7.53405373730257E-15, 1.)); #40463=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,-1.05248675665057E-14)); #40464=DIRECTION('',(-2.51866611426147E-15,7.53405373730257E-15,-1.)); #40465=DIRECTION('',(2.51866611426147E-15,-7.53405373730257E-15,1.)); #40466=DIRECTION('center_axis',(-2.5065054855558E-16,-1.,-4.28626379701573E-16)); #40467=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40468=DIRECTION('',(2.51866611426148E-15,-4.28626379701574E-16,1.)); #40469=DIRECTION('',(-2.44929359829471E-16,4.28626379701573E-16,-1.)); #40470=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40471=DIRECTION('ref_axis',(-0.859124692984244,0.511766315719126,4.29781404226223E-16)); #40472=DIRECTION('',(2.44929359829471E-16,-2.28847549044393E-17,1.)); #40473=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40474=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044393E-17)); #40475=DIRECTION('',(-2.44929359829471E-16,2.28847549044393E-17,-1.)); #40476=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40477=DIRECTION('ref_axis',(-0.85912469298422,-0.511766315719166,-8.93168209360323E-18)); #40478=DIRECTION('',(2.44929359829468E-16,-7.53405373730257E-15,1.)); #40479=DIRECTION('center_axis',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40480=DIRECTION('ref_axis',(-2.44929359829468E-16,7.53405373730257E-15, -1.)); #40481=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40482=DIRECTION('ref_axis',(1.,9.95799250102961E-17,-2.44929359829471E-16)); #40483=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40484=DIRECTION('ref_axis',(9.9579925010296E-17,-1.,-2.28847549044393E-17)); #40485=DIRECTION('center_axis',(2.44929359829471E-16,-2.28847549044393E-17, 1.)); #40486=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044394E-17)); #40487=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40488=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044393E-17)); #40489=DIRECTION('',(2.44929359829471E-16,-2.28847549044393E-17,1.)); #40490=DIRECTION('center_axis',(-2.44929359829471E-16,2.28847549044393E-17, -1.)); #40491=DIRECTION('ref_axis',(-9.9579925010296E-17,1.,2.28847549044394E-17)); #40492=DIRECTION('',(2.44929359829471E-16,-2.28847549044393E-17,1.)); #40493=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40494=DIRECTION('ref_axis',(-3.91278691632113E-16,-0.819152044288973,-0.573576436351073)); #40495=DIRECTION('center_axis',(-0.707106781186547,-1.01351873411997E-14, 0.707106781186548)); #40496=DIRECTION('ref_axis',(-0.707106781186548,1.05681653693175E-14,-0.707106781186547)); #40497=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40498=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40499=DIRECTION('ref_axis',(-2.44929359829468E-16,7.53405373730257E-15, -1.)); #40500=DIRECTION('',(1.,-3.06161699786841E-16,1.7303695532355E-16)); #40501=DIRECTION('center_axis',(-1.,3.06161699786841E-16,-1.7303695532355E-16)); #40502=DIRECTION('ref_axis',(-3.89680225305972E-16,-0.707106781186547,-0.707106781186548)); #40503=DIRECTION('',(1.,-3.06161699786835E-16,-6.62895674982492E-16)); #40504=DIRECTION('center_axis',(-1.73036955323553E-16,-7.53405373730257E-15, 1.)); #40505=DIRECTION('ref_axis',(-1.,3.06161699786838E-16,-1.7303695532355E-16)); #40506=DIRECTION('center_axis',(1.,-3.06161699786821E-16,-2.51866611426148E-15)); #40507=DIRECTION('ref_axis',(2.51866611426148E-15,-4.28626379701574E-16, 1.)); #40508=DIRECTION('',(-2.51866611426148E-15,4.28626379701574E-16,-1.)); #40509=DIRECTION('',(-2.51866611426147E-15,6.67566677028745E-15,-1.)); #40510=DIRECTION('',(-3.06161699786822E-16,-1.,-4.28626379701574E-16)); #40511=DIRECTION('center_axis',(-2.51866611426148E-15,4.28626379701574E-16, -1.)); #40512=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,1.29893408435324E-16)); #40513=DIRECTION('',(-2.51866611426148E-15,4.28626379701574E-16,-1.)); #40514=DIRECTION('',(2.51866611426148E-15,-4.28626379701574E-16,1.)); #40515=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40516=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,1.29893408435324E-16)); #40517=DIRECTION('center_axis',(-1.,3.06161699786821E-16,2.51866611426148E-15)); #40518=DIRECTION('ref_axis',(-2.51866611426148E-15,4.28626379701574E-16, -1.)); #40519=DIRECTION('',(-2.44929359829471E-16,4.28626379701574E-16,-1.)); #40520=DIRECTION('',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40521=DIRECTION('center_axis',(-2.5065054855558E-16,-1.,-4.28626379701573E-16)); #40522=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40523=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40524=DIRECTION('ref_axis',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40525=DIRECTION('',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40526=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40527=DIRECTION('ref_axis',(0.342020143325666,-0.939692620785909,-4.86547820833287E-16)); #40528=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40529=DIRECTION('',(3.06161699786822E-16,1.,4.28626379701574E-16)); #40530=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40531=DIRECTION('ref_axis',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40532=DIRECTION('',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40533=DIRECTION('',(2.44929359829471E-16,-4.28626379701574E-16,1.)); #40534=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40535=DIRECTION('ref_axis',(-3.71468664810503E-16,-0.939692620785908,-0.34202014332567)); #40536=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40537=DIRECTION('ref_axis',(1.88043911260985E-16,-0.17364817766693,0.984807753012208)); #40538=DIRECTION('center_axis',(-0.581542957519853,-0.764454696956461,-0.278238755137144)); #40539=DIRECTION('ref_axis',(-0.813515696565876,0.546471625851419,0.198899405680975)); #40540=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40541=DIRECTION('center_axis',(-1.,3.06161699786821E-16,2.51866611426148E-15)); #40542=DIRECTION('ref_axis',(1.88043911260985E-16,-0.17364817766693,0.984807753012208)); #40543=DIRECTION('center_axis',(-2.83109341973697E-15,7.53405373730258E-15, -1.)); #40544=DIRECTION('ref_axis',(-0.573576436351063,-0.81915204428898,-1.75588747866985E-14)); #40545=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40546=DIRECTION('ref_axis',(-0.573576436351063,-0.81915204428898,-1.75588747866985E-14)); #40547=DIRECTION('',(-2.83109341973697E-15,7.53405373730258E-15,-1.)); #40548=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40549=DIRECTION('ref_axis',(-0.939692620785908,-0.34202014332567,8.35594562268747E-17)); #40550=DIRECTION('',(2.83109341973697E-15,-7.53405373730258E-15,1.)); #40551=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40552=DIRECTION('ref_axis',(1.25444843603627E-16,-0.342020143325716,0.939692620785891)); #40553=DIRECTION('center_axis',(-0.156701269212978,0.975135592855719,0.156701269213005)); #40554=DIRECTION('ref_axis',(-0.689524990284647,-0.22160906016209,0.68952499028464)); #40555=DIRECTION('center_axis',(-3.0616169978684E-16,-1.,-7.53405373730257E-15)); #40556=DIRECTION('ref_axis',(-0.707106781186572,-5.11089147341144E-15,0.707106781186523)); #40557=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40558=DIRECTION('ref_axis',(-1.10307272894664E-16,-0.819152044289013,0.573576436351017)); #40559=DIRECTION('center_axis',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40560=DIRECTION('ref_axis',(1.25444843603643E-16,-0.342020143325675,0.939692620785906)); #40561=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40562=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40563=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40564=DIRECTION('ref_axis',(0.,-7.42335087675468E-15,1.)); #40565=DIRECTION('center_axis',(1.53678050756343E-14,-4.28626379701578E-16, 1.)); #40566=DIRECTION('ref_axis',(-0.939692620785891,-0.342020143325716,1.42944141714308E-14)); #40567=DIRECTION('center_axis',(-3.06161699786842E-16,-1.,-1.73151891316386E-14)); #40568=DIRECTION('ref_axis',(0.707106781186544,1.20271986384505E-14,-0.707106781186551)); #40569=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40570=DIRECTION('ref_axis',(0.707106781186542,5.11089147341167E-15,-0.707106781186553)); #40571=DIRECTION('center_axis',(-2.83109341973703E-15,7.53405373730258E-15, -1.)); #40572=DIRECTION('ref_axis',(-0.573576436351063,-0.81915204428898,-2.10624465799993E-16)); #40573=DIRECTION('',(2.83109341973703E-15,-7.53405373730258E-15,1.)); #40574=DIRECTION('',(2.83109341973703E-15,-7.53405373730258E-15,1.)); #40575=DIRECTION('center_axis',(-0.939692620785907,-0.342020143325673,8.35594562268728E-17)); #40576=DIRECTION('ref_axis',(0.342020143325673,-0.939692620785907,-4.86547820833288E-16)); #40577=DIRECTION('',(-2.51866611426147E-15,6.67566677028745E-15,-1.)); #40578=DIRECTION('',(-0.342020143325673,0.939692620785907,4.86547820833288E-16)); #40579=DIRECTION('center_axis',(-1.34234752604969E-15,-1.,-4.28626379701574E-16)); #40580=DIRECTION('ref_axis',(1.,-1.33030087378646E-15,-6.12323399573682E-17)); #40581=DIRECTION('',(-3.71468664810503E-16,-0.939692620785908,-0.34202014332567)); #40582=DIRECTION('',(-3.71468664810503E-16,-0.939692620785908,-0.34202014332567)); #40583=DIRECTION('center_axis',(1.25444843603645E-16,-0.34202014332567, 0.939692620785908)); #40584=DIRECTION('ref_axis',(3.71468664810502E-16,0.939692620785908,0.34202014332567)); #40585=DIRECTION('',(0.323615577118182,-0.889126490715989,-0.323615577118186)); #40586=DIRECTION('center_axis',(0.323615577118182,-0.889126490715989,-0.323615577118186)); #40587=DIRECTION('ref_axis',(-0.628707370917907,-0.457661538155719,0.62870737091785)); #40588=DIRECTION('center_axis',(0.278238755137156,-0.764454696956474,0.581542957519831)); #40589=DIRECTION('ref_axis',(-0.198899405680972,0.546471625851396,0.813515696565892)); #40590=DIRECTION('',(-0.323615577118182,0.889126490715989,0.323615577118186)); #40591=DIRECTION('center_axis',(-0.939692620785909,-0.342020143325666,8.35594562268767E-17)); #40592=DIRECTION('ref_axis',(-0.342020143325666,0.939692620785909,4.86547820833287E-16)); #40593=DIRECTION('',(-2.44929359829471E-16,4.28626379701574E-16,-1.)); #40594=DIRECTION('',(0.342020143325666,-0.939692620785909,-4.86547820833287E-16)); #40595=DIRECTION('center_axis',(-1.,3.06161699786821E-16,2.51866611426148E-15)); #40596=DIRECTION('ref_axis',(-2.51866611426148E-15,4.28626379701574E-16, -1.)); #40597=DIRECTION('',(-2.51866611426147E-15,6.67566677028745E-15,-1.)); #40598=DIRECTION('',(-3.06161699786822E-16,-1.,-4.28626379701574E-16)); #40599=DIRECTION('',(-2.51866611426147E-15,7.53405373730257E-15,-1.)); #40600=DIRECTION('center_axis',(-2.5065054855558E-16,-1.,-4.28626379701573E-16)); #40601=DIRECTION('ref_axis',(2.44929359829471E-16,-4.28626379701573E-16, 1.)); #40602=DIRECTION('',(-1.,2.5065054855558E-16,2.44929359829471E-16)); #40603=DIRECTION('',(2.44929359829471E-16,-4.28626379701573E-16,1.)); #40604=DIRECTION('',(1.,-2.5065054855558E-16,-2.44929359829471E-16)); #40605=DIRECTION('center_axis',(-1.34234752604969E-15,-1.,-4.28626379701574E-16)); #40606=DIRECTION('ref_axis',(1.,-1.33030087378646E-15,-6.12323399573681E-17)); #40607=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40608=DIRECTION('ref_axis',(1.,-1.33030087378646E-15,-6.12323399573682E-17)); #40609=DIRECTION('',(-3.71468664810503E-16,-0.939692620785908,-0.34202014332567)); #40610=DIRECTION('',(-0.342020143325673,0.939692620785907,4.86547820833288E-16)); #40611=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40612=DIRECTION('ref_axis',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40613=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40614=DIRECTION('',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40615=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40616=DIRECTION('ref_axis',(-2.44929359829468E-16,7.53405373730257E-15, -1.)); #40617=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40618=DIRECTION('',(2.83109341973697E-15,-7.53405373730258E-15,1.)); #40619=DIRECTION('',(1.,-3.06161699786838E-16,-2.44929359829471E-16)); #40620=DIRECTION('center_axis',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40621=DIRECTION('ref_axis',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40622=DIRECTION('',(-2.44929359829471E-16,4.28626379701574E-16,-1.)); #40623=DIRECTION('',(3.06161699786838E-16,1.,4.28626379701574E-16)); #40624=DIRECTION('center_axis',(-0.939692620785909,-0.342020143325666,8.35594562268767E-17)); #40625=DIRECTION('ref_axis',(-0.342020143325666,0.939692620785909,4.86547820833287E-16)); #40626=DIRECTION('',(-0.342020143325666,0.939692620785909,4.86547820833287E-16)); #40627=DIRECTION('',(0.323615577118182,-0.889126490715989,-0.323615577118186)); #40628=DIRECTION('center_axis',(1.25444843603645E-16,-0.34202014332567, 0.939692620785908)); #40629=DIRECTION('ref_axis',(3.71468664810502E-16,0.939692620785908,0.34202014332567)); #40630=DIRECTION('center_axis',(-0.939692620785907,-0.342020143325673,8.35594562268728E-17)); #40631=DIRECTION('ref_axis',(0.342020143325673,-0.939692620785907,-4.86547820833288E-16)); #40632=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40633=DIRECTION('ref_axis',(-0.984807753012209,-0.173648177666923,1.66778142765231E-16)); #40634=DIRECTION('center_axis',(-2.44929359829471E-16,4.28626379701574E-16, -1.)); #40635=DIRECTION('ref_axis',(-0.984807753012209,-0.173648177666923,1.66778142765231E-16)); #40636=DIRECTION('center_axis',(1.,-3.06161699786821E-16,-2.51866611426148E-15)); #40637=DIRECTION('ref_axis',(2.51866611426148E-15,-4.28626379701574E-16, 1.)); #40638=DIRECTION('center_axis',(2.44929359829471E-16,-4.28626379701574E-16, 1.)); #40639=DIRECTION('ref_axis',(-3.06161699786838E-16,-1.,-4.28626379701574E-16)); #40640=DIRECTION('',(-1.,3.06161699786838E-16,2.44929359829471E-16)); #40641=DIRECTION('center_axis',(3.0616169978684E-16,1.,7.53405373730257E-15)); #40642=DIRECTION('ref_axis',(-2.44929359829468E-16,7.53405373730257E-15, -1.)); #40643=DIRECTION('axis',(0.,0.,1.)); #40644=DIRECTION('refdir',(1.,0.,0.)); #40645=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40646=DIRECTION('ref_axis',(0.,0.,-1.)); #40647=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40648=DIRECTION('ref_axis',(9.55019797121457E-18,-1.,1.22460635382238E-16)); #40649=DIRECTION('center_axis',(9.55019797121457E-18,-1.,-1.22464679914735E-16)); #40650=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #40651=DIRECTION('center_axis',(-1.,-9.55019797121457E-18,0.)); #40652=DIRECTION('ref_axis',(1.15648231731787E-17,-1.,1.22460635382238E-16)); #40653=DIRECTION('center_axis',(-1.,-9.55019797121457E-18,0.)); #40654=DIRECTION('ref_axis',(1.15648231731787E-17,-1.,1.22460635382238E-16)); #40655=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40656=DIRECTION('ref_axis',(0.,1.,0.)); #40657=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40658=DIRECTION('ref_axis',(0.,-1.,0.)); #40659=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40660=DIRECTION('ref_axis',(0.,-1.,0.)); #40661=DIRECTION('',(-1.,-9.55019797121457E-18,0.)); #40662=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40663=DIRECTION('ref_axis',(0.,0.,-1.)); #40664=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40665=DIRECTION('ref_axis',(0.,1.,0.)); #40666=DIRECTION('center_axis',(-1.,-9.55019797121457E-18,0.)); #40667=DIRECTION('ref_axis',(4.23128439691493E-18,-1.,0.)); #40668=DIRECTION('center_axis',(-1.,-9.55019797121457E-18,0.)); #40669=DIRECTION('ref_axis',(9.55019797121457E-18,-1.,0.)); #40670=DIRECTION('',(-0.707106781186548,0.707106781186547,8.65956056235493E-17)); #40671=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40672=DIRECTION('ref_axis',(0.,0.,-1.)); #40673=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40674=DIRECTION('ref_axis',(0.,0.,-1.)); #40675=DIRECTION('center_axis',(-1.,-9.55019797121457E-18,0.)); #40676=DIRECTION('ref_axis',(1.38777878078144E-17,-1.,1.22460635382238E-16)); #40677=DIRECTION('center_axis',(-1.,-9.55019797121457E-18,0.)); #40678=DIRECTION('ref_axis',(1.38777878078144E-17,-1.,1.22460635382238E-16)); #40679=DIRECTION('center_axis',(9.55019797121457E-18,-1.,-1.22464679914735E-16)); #40680=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #40681=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40682=DIRECTION('ref_axis',(9.55019797121457E-18,-1.,1.22460635382238E-16)); #40683=DIRECTION('center_axis',(-1.,-9.55019797121457E-18,0.)); #40684=DIRECTION('ref_axis',(0.,0.,1.)); #40685=DIRECTION('',(-9.18233717311082E-33,9.61481343191782E-16,1.)); #40686=DIRECTION('',(8.27071405424242E-18,-0.866025403784438,0.500000000000001)); #40687=DIRECTION('',(8.27071405424242E-18,-0.866025403784439,-0.5)); #40688=DIRECTION('',(1.14779214663885E-33,-1.20185167898973E-16,-1.)); #40689=DIRECTION('',(-8.27071405424242E-18,0.866025403784438,-0.5)); #40690=DIRECTION('',(-8.27071405424242E-18,0.866025403784439,0.5)); #40691=DIRECTION('center_axis',(1.,9.55019797121457E-18,0.)); #40692=DIRECTION('ref_axis',(0.,1.,0.)); #40693=DIRECTION('',(-1.,-9.55019797121457E-18,0.)); #40694=DIRECTION('center_axis',(4.77509898560729E-18,-0.5,-0.866025403784439)); #40695=DIRECTION('ref_axis',(-1.,0.,-5.51380936949495E-18)); #40696=DIRECTION('',(-1.,-9.55019797121457E-18,0.)); #40697=DIRECTION('',(-1.,-9.55019797121457E-18,0.)); #40698=DIRECTION('center_axis',(-4.77509898560728E-18,0.5,-0.866025403784439)); #40699=DIRECTION('ref_axis',(-1.,0.,5.51380936949494E-18)); #40700=DIRECTION('',(-1.,-9.55019797121457E-18,0.)); #40701=DIRECTION('center_axis',(-9.55019797121457E-18,1.,-9.61481343191782E-16)); #40702=DIRECTION('ref_axis',(0.,9.61481343191782E-16,1.)); #40703=DIRECTION('',(-1.,-9.55019797121457E-18,0.)); #40704=DIRECTION('center_axis',(-4.77509898560729E-18,0.500000000000001, 0.866025403784438)); #40705=DIRECTION('ref_axis',(1.,0.,5.51380936949496E-18)); #40706=DIRECTION('',(1.,9.55019797121457E-18,0.)); #40707=DIRECTION('center_axis',(9.55019797121457E-18,-1.,1.20185167898973E-16)); #40708=DIRECTION('ref_axis',(0.,-1.20185167898973E-16,-1.)); #40709=DIRECTION('',(-1.,-9.55019797121457E-18,0.)); #40710=DIRECTION('center_axis',(4.77509898560728E-18,-0.5,0.866025403784439)); #40711=DIRECTION('ref_axis',(1.,0.,-5.51380936949494E-18)); #40712=DIRECTION('center_axis',(-1.,-9.55019797121457E-18,0.)); #40713=DIRECTION('ref_axis',(0.,1.,0.)); #40714=DIRECTION('',(-0.5,-0.866025403784438,-1.06057523872491E-16)); #40715=DIRECTION('',(0.,0.,1.)); #40716=DIRECTION('',(1.,0.,0.)); #40717=DIRECTION('',(0.,0.,1.)); #40718=DIRECTION('',(1.,0.,0.)); #40719=DIRECTION('',(9.43689570931383E-16,-1.,-4.75314232417645E-16)); #40720=DIRECTION('',(-5.38770335813691E-16,4.75314232417645E-16,-1.)); #40721=DIRECTION('',(-5.27355936696949E-16,-1.,4.80671262018572E-16)); #40722=DIRECTION('',(5.42670294204519E-16,4.80671262018572E-16,1.)); #40723=DIRECTION('',(-1.,9.81307786677383E-18,9.81307786677372E-18)); #40724=DIRECTION('',(9.81307786677372E-18,9.62964972193653E-35,1.)); #40725=DIRECTION('',(1.,-9.25189068699461E-18,-1.04988265956872E-17)); #40726=DIRECTION('',(-1.04988265956872E-17,-9.71339960050094E-35,-1.)); #40727=DIRECTION('',(1.56204543975216E-15,2.58692795853603E-32,1.)); #40728=DIRECTION('',(1.,5.55111512312577E-17,-1.56204543975216E-15)); #40729=DIRECTION('',(-1.56204543975216E-15,2.3434526990953E-32,-1.)); #40730=DIRECTION('',(1.,5.55111512312577E-17,-1.56204543975216E-15)); #40731=DIRECTION('',(2.94823016490384E-16,0.,-1.)); #40732=DIRECTION('',(0.828523563117491,-0.559954199340532,2.4426781611166E-16)); #40733=DIRECTION('',(-0.968847853108845,-0.247656692876213,2.77555756156289E-16)); #40734=DIRECTION('',(-2.84591918185632E-16,-7.38719066609658E-18,-1.)); #40735=DIRECTION('',(2.55692784735066E-16,0.,1.)); #40736=DIRECTION('',(0.247656692876213,-0.968847853108844,-6.3324029459796E-17)); #40737=DIRECTION('',(-1.,0.,0.)); #40738=DIRECTION('',(0.,0.,1.)); #40739=DIRECTION('',(-1.,0.,0.)); #40740=DIRECTION('',(0.,0.,1.)); #40741=DIRECTION('',(0.994146277242708,-0.108042489070109,-5.52613716365629E-17)); #40742=DIRECTION('',(-5.55086254654395E-17,7.18960437740097E-19,-1.)); #40743=DIRECTION('',(0.998003948372931,-0.0631515560539881,-5.69732558147087E-17)); #40744=DIRECTION('',(-5.72291472144988E-17,-2.24316036260007E-18,-1.)); #40745=DIRECTION('',(0.994498546981838,-0.104750370171245,-5.52585802819799E-17)); #40746=DIRECTION('',(-5.55114639521609E-17,5.01287400085701E-19,-1.)); #40747=DIRECTION('',(0.993102191625415,-0.117252023388929,-5.47873620806096E-17)); #40748=DIRECTION('',(-5.5011076281544E-17,1.3282641717728E-18,-1.)); #40749=DIRECTION('',(-1.,0.,0.)); #40750=DIRECTION('',(0.,0.,1.)); #40751=DIRECTION('',(-1.,0.,0.)); #40752=DIRECTION('',(0.,0.,1.)); #40753=DIRECTION('',(0.999493593500592,-0.0318206937585107,-4.57608267916631E-16)); #40754=DIRECTION('',(4.57840121129661E-16,8.06504468810152E-32,1.)); #40755=DIRECTION('',(0.99719361519196,-0.074865838807751,-4.54905311861087E-16)); #40756=DIRECTION('',(4.56185544041532E-16,-8.48088789643726E-32,1.)); #40757=DIRECTION('',(0.999999883145162,-0.000483435271563782,-4.5453673380488E-16)); #40758=DIRECTION('',(4.54536786919703E-16,8.64195997792517E-32,1.)); #40759=DIRECTION('',(0.992555642992531,-0.121792017651748,-4.52946313013107E-16)); #40760=DIRECTION('',(4.56343496922233E-16,7.22762751369138E-32,1.)); #40761=DIRECTION('',(1.,0.,0.)); #40762=DIRECTION('',(0.,0.,-1.)); #40763=DIRECTION('',(1.,0.,0.)); #40764=DIRECTION('',(0.,0.,-1.)); #40765=DIRECTION('',(1.,0.,0.)); #40766=DIRECTION('',(0.,0.,-1.)); #40767=DIRECTION('',(1.,0.,0.)); #40768=DIRECTION('',(0.,0.,-1.)); #40769=DIRECTION('',(1.,0.,0.)); #40770=DIRECTION('',(0.,0.,-1.)); #40771=DIRECTION('',(1.,0.,0.)); #40772=DIRECTION('',(0.,0.,-1.)); #40773=DIRECTION('',(1.,0.,0.)); #40774=DIRECTION('',(0.,0.,-1.)); #40775=DIRECTION('',(1.,0.,0.)); #40776=DIRECTION('',(0.,0.,-1.)); #40777=DIRECTION('',(-1.,0.,0.)); #40778=DIRECTION('',(0.,0.,1.)); #40779=DIRECTION('',(-1.,0.,0.)); #40780=DIRECTION('',(0.,0.,1.)); #40781=DIRECTION('',(-1.,0.,0.)); #40782=DIRECTION('',(0.,0.,1.)); #40783=DIRECTION('',(-1.,0.,0.)); #40784=DIRECTION('',(0.,0.,1.)); #40785=DIRECTION('',(1.,-2.77555756156289E-17,0.)); #40786=DIRECTION('',(-2.77555756156289E-17,-1.,0.)); #40787=DIRECTION('',(-1.,3.08395284618108E-17,0.)); #40788=DIRECTION('',(3.08395284618108E-17,1.,0.)); #40789=DIRECTION('',(-1.,-1.38777878078145E-17,0.)); #40790=DIRECTION('',(1.38777878078145E-17,-1.,0.)); #40791=DIRECTION('',(1.,-3.46944695195368E-17,0.)); #40792=DIRECTION('',(3.46944695195368E-17,1.,0.)); #40793=DIRECTION('',(-2.97260589345161E-16,-2.25667623866683E-31,-1.)); #40794=DIRECTION('',(-1.,-9.99200722162647E-16,2.97260589345161E-16)); #40795=DIRECTION('',(-6.90013656915626E-17,6.01853107621422E-36,-1.)); #40796=DIRECTION('',(-1.,1.11022302462516E-16,6.90013656915626E-17)); #40797=DIRECTION('',(1.,1.97350012615035E-33,8.83369056090271E-49)); #40798=DIRECTION('',(8.83369056090271E-49,1.82296441154431E-81,-1.)); #40799=DIRECTION('',(-1.,5.68756397938621E-32,-7.39557098644699E-32)); #40800=DIRECTION('',(-7.39557098644699E-32,-4.20627831495096E-63,1.)); #40801=DIRECTION('',(1.,5.66649184108994E-33,2.22044604924981E-16)); #40802=DIRECTION('',(2.22044604924981E-16,-2.46519032881566E-31,-1.)); #40803=DIRECTION('',(-0.999999977009918,0.000214429854923861,2.22043798090144E-16)); #40804=DIRECTION('',(2.22043803194949E-16,2.15856783307746E-32,1.)); #40805=DIRECTION('',(2.22044604924981E-16,1.10340266133954E-31,-1.)); #40806=DIRECTION('',(1.,4.96928381444949E-16,2.22044604924981E-16)); #40807=DIRECTION('',(-2.22044604925031E-16,3.73889173722468E-18,1.)); #40808=DIRECTION('',(4.91008058864373E-16,-1.,3.73889173722479E-18)); #40809=DIRECTION('',(1.,-1.57091094492686E-15,1.23259516440783E-32)); #40810=DIRECTION('',(1.23259516440783E-32,1.93629723443218E-47,-1.)); #40811=DIRECTION('',(1.23259516440783E-32,1.3684555315672E-48,-1.)); #40812=DIRECTION('',(-1.,-2.22044604925033E-16,-1.23259516440783E-32)); #40813=DIRECTION('',(1.23259516440783E-32,1.3684555315672E-48,-1.)); #40814=DIRECTION('',(-1.,-2.22044604925033E-16,-1.23259516440783E-32)); #40815=DIRECTION('',(1.23259516440783E-32,1.3684555315672E-48,-1.)); #40816=DIRECTION('',(-1.,-2.22044604925033E-16,-1.23259516440783E-32)); #40817=DIRECTION('',(1.23259516440783E-32,1.3684555315672E-48,-1.)); #40818=DIRECTION('',(-1.,-2.22044604925033E-16,-1.23259516440783E-32)); #40819=DIRECTION('',(7.8837995557704E-94,1.65890290428001E-109,1.)); #40820=DIRECTION('',(1.,2.10419213799748E-16,-7.8837995557704E-94)); #40821=DIRECTION('',(0.,0.,1.)); #40822=DIRECTION('',(1.,2.10419213799748E-16,0.)); #40823=DIRECTION('',(-0.666646579021518,-0.745373958948733,3.42410946746452E-17)); #40824=DIRECTION('',(-2.19257732324705E-16,2.42037583533736E-16,1.)); #40825=DIRECTION('',(-0.796410427297387,0.604756505787242,4.82926369773494E-17)); #40826=DIRECTION('',(6.06378763035922E-17,1.04590064243561E-30,1.)); #40827=DIRECTION('',(-2.22044604925031E-16,-6.16297582203915E-32,1.)); #40828=DIRECTION('',(-1.,-2.77555756156289E-16,-2.22044604925031E-16)); #40829=DIRECTION('',(2.22044604924981E-16,-4.00266360152301E-16,-1.)); #40830=DIRECTION('',(1.,4.35696041487581E-16,2.22044604924981E-16)); #40831=DIRECTION('',(0.99955535634526,-0.029817605562846,-6.12051133859406E-17)); #40832=DIRECTION('',(-6.12323399573675E-17,1.75387017552527E-30,-1.)); #40833=DIRECTION('',(0.99955535634526,-0.0298176055628455,1.60224223802422E-16)); #40834=DIRECTION('',(1.48243719754053E-16,-4.04003589500959E-16,-1.)); #40835=DIRECTION('',(-0.666646579021518,-0.745373958948733,3.42410946746452E-17)); #40836=DIRECTION('',(-2.19257732324705E-16,2.42037583533736E-16,1.)); #40837=DIRECTION('',(-0.796410427297387,0.604756505787242,4.82926369773494E-17)); #40838=DIRECTION('',(6.06378763035922E-17,1.04590064243561E-30,1.)); #40839=DIRECTION('',(-2.22044604925031E-16,-6.16297582203915E-32,1.)); #40840=DIRECTION('',(-1.,-2.77555756156289E-16,-2.22044604925031E-16)); #40841=DIRECTION('',(2.22044604924981E-16,-4.00266360152301E-16,-1.)); #40842=DIRECTION('',(1.,4.35696041487581E-16,2.22044604924981E-16)); #40843=DIRECTION('',(0.99955535634526,-0.029817605562846,-6.12051133859406E-17)); #40844=DIRECTION('',(-6.12323399573675E-17,1.75387017552527E-30,-1.)); #40845=DIRECTION('',(0.99955535634526,-0.0298176055628455,1.60224223802422E-16)); #40846=DIRECTION('',(1.48243719754053E-16,-4.04003589500959E-16,-1.)); #40847=DIRECTION('',(-1.,0.,0.)); #40848=DIRECTION('',(0.,0.,1.)); #40849=DIRECTION('',(-1.,0.,0.)); #40850=DIRECTION('',(0.,0.,1.)); #40851=DIRECTION('',(1.,0.,0.)); #40852=DIRECTION('',(0.,0.,-1.)); #40853=DIRECTION('',(1.,0.,0.)); #40854=DIRECTION('',(0.,0.,-1.)); #40855=DIRECTION('',(0.968847853108844,0.247656692876213,-2.63677968348475E-16)); #40856=DIRECTION('',(2.73197018542064E-16,-4.07167086837014E-18,1.)); #40857=DIRECTION('',(0.,0.,1.)); #40858=DIRECTION('',(1.,0.,0.)); #40859=DIRECTION('',(0.,0.,1.)); #40860=DIRECTION('',(1.,0.,0.)); #40861=DIRECTION('',(0.,0.,1.)); #40862=DIRECTION('',(1.,0.,0.)); #40863=DIRECTION('',(0.,0.,1.)); #40864=DIRECTION('',(1.,0.,0.)); #40865=DIRECTION('',(0.,0.,-1.)); #40866=DIRECTION('',(1.,0.,0.)); #40867=DIRECTION('',(-2.35247944522103E-64,0.,-1.)); #40868=DIRECTION('',(1.,-1.92094524466884E-48,-2.35247944522103E-64)); #40869=DIRECTION('',(0.,0.,-1.)); #40870=DIRECTION('',(1.,0.,0.)); #40871=DIRECTION('',(0.,0.,-1.)); #40872=DIRECTION('',(1.,0.,0.)); #40873=DIRECTION('',(-3.35975664345635E-33,5.50509607726873E-48,1.)); #40874=DIRECTION('',(1.,-5.55111512312579E-17,3.35975664345635E-33)); #40875=DIRECTION('',(1.76221474043137E-19,9.92932089799251E-48,1.)); #40876=DIRECTION('',(1.,-1.11022302462516E-16,-1.76221474043137E-19)); #40877=DIRECTION('',(1.23625402791087E-17,4.61853741903931E-48,1.)); #40878=DIRECTION('',(1.,-1.11022302462516E-16,-1.23625402791087E-17)); #40879=DIRECTION('',(4.48241310517047E-32,0.,1.)); #40880=DIRECTION('',(1.,-9.71445146547014E-17,-4.48241310517047E-32)); #40881=DIRECTION('',(1.23259516440783E-32,-1.91583774419409E-47,-1.)); #40882=DIRECTION('',(-1.,3.10862446895044E-15,-1.23259516440783E-32)); #40883=DIRECTION('',(1.23259516440783E-32,-1.91583774419409E-47,-1.)); #40884=DIRECTION('',(-1.,3.10862446895044E-15,-1.23259516440783E-32)); #40885=DIRECTION('',(1.23259516440783E-32,-1.91583774419409E-47,-1.)); #40886=DIRECTION('',(-1.,3.10862446895044E-15,-1.23259516440783E-32)); #40887=DIRECTION('',(1.23259516440783E-32,-1.91583774419409E-47,-1.)); #40888=DIRECTION('',(-1.,3.10862446895044E-15,-1.23259516440783E-32)); #40889=DIRECTION('',(1.24217253605196E-15,1.,2.53512709763133E-16)); #40890=DIRECTION('',(-6.34155317481976E-31,-2.53512709763133E-16,1.)); #40891=DIRECTION('',(1.24217253605196E-15,1.,2.53512709763133E-16)); #40892=DIRECTION('',(-4.95105935780262E-31,-2.53512709763133E-16,1.)); #40893=DIRECTION('',(1.24217253605196E-15,1.,2.53512709763133E-16)); #40894=DIRECTION('',(-3.95535460587485E-31,-2.53512709763133E-16,1.)); #40895=DIRECTION('',(1.24217253605196E-15,1.,2.53512709763133E-16)); #40896=DIRECTION('',(-3.54362399790546E-31,-2.53512709763133E-16,1.)); #40897=DIRECTION('',(1.04779246279308E-17,-6.01853107621422E-36,1.)); #40898=DIRECTION('',(1.,-1.11022302462516E-16,-1.04779246279308E-17)); #40899=DIRECTION('',(-1.44593037689082E-64,1.71056941445899E-49,1.)); #40900=DIRECTION('',(1.,-9.71445146547014E-17,1.61210281244162E-64)); #40901=DIRECTION('',(1.54802619002242E-15,1.,-1.73036955323554E-16)); #40902=DIRECTION('',(8.24233765634454E-17,-1.73036955323554E-16,-1.)); #40903=DIRECTION('',(5.72896266841497E-16,3.90050711466511E-16,1.)); #40904=DIRECTION('',(1.54315536931123E-15,1.,-3.90050711466512E-16)); #40905=DIRECTION('',(5.72896266841496E-16,4.17966315153024E-16,1.)); #40906=DIRECTION('',(1.57091094492686E-15,1.,-4.17966315153025E-16)); #40907=CARTESIAN_POINT('',(0.,0.,0.)); #40908=CARTESIAN_POINT('',(0.,0.,0.)); #40909=CARTESIAN_POINT('Origin',(-180.,50.,-436.082946727091)); #40910=CARTESIAN_POINT('',(-185.,50.,3.99999999999999)); #40911=CARTESIAN_POINT('Origin',(-180.,50.,3.99999999999999)); #40912=CARTESIAN_POINT('',(-185.,50.,-3.99999999999999)); #40913=CARTESIAN_POINT('',(-185.,50.,-436.082946727091)); #40914=CARTESIAN_POINT('Origin',(-180.,50.,-3.99999999999999)); #40915=CARTESIAN_POINT('Origin',(-180.,-14.,-436.082946727091)); #40916=CARTESIAN_POINT('',(-185.,-14.,3.99999999999999)); #40917=CARTESIAN_POINT('Origin',(-180.,-14.,3.99999999999999)); #40918=CARTESIAN_POINT('',(-185.,-14.,-3.99999999999999)); #40919=CARTESIAN_POINT('',(-185.,-14.,-436.082946727091)); #40920=CARTESIAN_POINT('Origin',(-180.,-14.,-3.99999999999999)); #40921=CARTESIAN_POINT('Origin',(43.8406204335659,43.8406204335659,-436.082946727091)); #40922=CARTESIAN_POINT('',(41.773620433566,43.8406204335659,3.99999999999999)); #40923=CARTESIAN_POINT('Origin',(43.8406204335659,43.8406204335659,3.99999999999999)); #40924=CARTESIAN_POINT('',(41.773620433566,43.8406204335659,-3.99999999999999)); #40925=CARTESIAN_POINT('',(41.773620433566,43.8406204335659,-436.082946727091)); #40926=CARTESIAN_POINT('Origin',(43.8406204335659,43.8406204335659,-3.99999999999999)); #40927=CARTESIAN_POINT('Origin',(-130.,62.,-436.082946727091)); #40928=CARTESIAN_POINT('',(-132.067,62.,3.99999999999999)); #40929=CARTESIAN_POINT('Origin',(-130.,62.,3.99999999999999)); #40930=CARTESIAN_POINT('',(-132.067,62.,-3.99999999999999)); #40931=CARTESIAN_POINT('',(-132.067,62.,-436.082946727091)); #40932=CARTESIAN_POINT('Origin',(-130.,62.,-3.99999999999999)); #40933=CARTESIAN_POINT('Origin',(-30.,62.,-436.082946727091)); #40934=CARTESIAN_POINT('',(-32.067,62.,3.99999999999999)); #40935=CARTESIAN_POINT('Origin',(-30.,62.,3.99999999999999)); #40936=CARTESIAN_POINT('',(-32.067,62.,-3.99999999999999)); #40937=CARTESIAN_POINT('',(-32.067,62.,-436.082946727091)); #40938=CARTESIAN_POINT('Origin',(-30.,62.,-3.99999999999999)); #40939=CARTESIAN_POINT('Origin',(62.,0.,-436.082946727091)); #40940=CARTESIAN_POINT('',(59.933,-2.53134493383758E-16,3.99999999999999)); #40941=CARTESIAN_POINT('Origin',(62.,0.,3.99999999999999)); #40942=CARTESIAN_POINT('',(59.933,-2.53134493383758E-16,-3.99999999999999)); #40943=CARTESIAN_POINT('',(59.933,-2.53134493383758E-16,-436.082946727091)); #40944=CARTESIAN_POINT('Origin',(62.,0.,-3.99999999999999)); #40945=CARTESIAN_POINT('Origin',(37.5,-2.,-436.082946727091)); #40946=CARTESIAN_POINT('',(34.75,-2.,3.99999999999999)); #40947=CARTESIAN_POINT('Origin',(37.5,-2.,3.99999999999999)); #40948=CARTESIAN_POINT('',(34.75,-2.,-3.99999999999999)); #40949=CARTESIAN_POINT('',(34.75,-2.,-436.082946727091)); #40950=CARTESIAN_POINT('Origin',(37.5,-2.,-3.99999999999999)); #40951=CARTESIAN_POINT('Origin',(0.,35.5,-436.082946727091)); #40952=CARTESIAN_POINT('',(-2.75,35.5,3.99999999999999)); #40953=CARTESIAN_POINT('Origin',(0.,35.5,3.99999999999999)); #40954=CARTESIAN_POINT('',(-2.75,35.5,-3.99999999999999)); #40955=CARTESIAN_POINT('',(-2.75,35.5,-436.082946727091)); #40956=CARTESIAN_POINT('Origin',(0.,35.5,-3.99999999999999)); #40957=CARTESIAN_POINT('Origin',(-37.5,-2.,-436.082946727091)); #40958=CARTESIAN_POINT('',(-40.25,-2.,3.99999999999999)); #40959=CARTESIAN_POINT('Origin',(-37.5,-2.,3.99999999999999)); #40960=CARTESIAN_POINT('',(-40.25,-2.,-3.99999999999999)); #40961=CARTESIAN_POINT('',(-40.25,-2.,-436.082946727091)); #40962=CARTESIAN_POINT('Origin',(-37.5,-2.,-3.99999999999999)); #40963=CARTESIAN_POINT('Origin',(0.,-39.5,-436.082946727091)); #40964=CARTESIAN_POINT('',(-2.75,-39.5,3.99999999999999)); #40965=CARTESIAN_POINT('Origin',(0.,-39.5,3.99999999999999)); #40966=CARTESIAN_POINT('',(-2.75,-39.5,-3.99999999999999)); #40967=CARTESIAN_POINT('',(-2.75,-39.5,-436.082946727091)); #40968=CARTESIAN_POINT('Origin',(0.,-39.5,-3.99999999999999)); #40969=CARTESIAN_POINT('Origin',(-26.5165042944955,26.5165042944955,-436.082946727091)); #40970=CARTESIAN_POINT('',(-26.5165042944955,29.2665042944955,3.99999999999999)); #40971=CARTESIAN_POINT('Origin',(-26.5165042944955,26.5165042944955,3.99999999999999)); #40972=CARTESIAN_POINT('',(-26.5165042944955,29.2665042944955,-3.99999999999999)); #40973=CARTESIAN_POINT('',(-26.5165042944955,29.2665042944955,-436.082946727091)); #40974=CARTESIAN_POINT('Origin',(-26.5165042944955,26.5165042944955,-3.99999999999999)); #40975=CARTESIAN_POINT('Origin',(26.5165042944955,26.5165042944955,-436.082946727091)); #40976=CARTESIAN_POINT('',(29.2665042944955,26.5165042944955,3.99999999999999)); #40977=CARTESIAN_POINT('Origin',(26.5165042944955,26.5165042944955,3.99999999999999)); #40978=CARTESIAN_POINT('',(29.2665042944955,26.5165042944955,-3.99999999999999)); #40979=CARTESIAN_POINT('',(29.2665042944955,26.5165042944955,-436.082946727091)); #40980=CARTESIAN_POINT('Origin',(26.5165042944955,26.5165042944955,-3.99999999999999)); #40981=CARTESIAN_POINT('Origin',(26.5165042944955,-26.5165042944955,-436.082946727091)); #40982=CARTESIAN_POINT('',(26.5165042944955,-29.2665042944955,3.99999999999999)); #40983=CARTESIAN_POINT('Origin',(26.5165042944955,-26.5165042944955,3.99999999999999)); #40984=CARTESIAN_POINT('',(26.5165042944955,-29.2665042944955,-3.99999999999999)); #40985=CARTESIAN_POINT('',(26.5165042944955,-29.2665042944955,-436.082946727091)); #40986=CARTESIAN_POINT('Origin',(26.5165042944955,-26.5165042944955,-3.99999999999999)); #40987=CARTESIAN_POINT('Origin',(-26.5165042944955,-26.5165042944955,-436.082946727091)); #40988=CARTESIAN_POINT('',(-29.2665042944955,-26.5165042944955,3.99999999999999)); #40989=CARTESIAN_POINT('Origin',(-26.5165042944955,-26.5165042944955,3.99999999999999)); #40990=CARTESIAN_POINT('',(-29.2665042944955,-26.5165042944955,-3.99999999999999)); #40991=CARTESIAN_POINT('',(-29.2665042944955,-26.5165042944955,-436.082946727091)); #40992=CARTESIAN_POINT('Origin',(-26.5165042944955,-26.5165042944955,-3.99999999999999)); #40993=CARTESIAN_POINT('Origin',(30.,0.,4.)); #40994=CARTESIAN_POINT('',(30.,-2.,-4.)); #40995=CARTESIAN_POINT('',(30.,0.,-4.)); #40996=CARTESIAN_POINT('',(30.,-22.167363014997,-4.)); #40997=CARTESIAN_POINT('',(30.,-2.,4.)); #40998=CARTESIAN_POINT('',(30.,-2.,4.)); #40999=CARTESIAN_POINT('',(30.,0.,4.)); #41000=CARTESIAN_POINT('',(30.,-22.167363014997,4.)); #41001=CARTESIAN_POINT('',(30.,0.,4.)); #41002=CARTESIAN_POINT('Origin',(0.,0.,4.)); #41003=CARTESIAN_POINT('',(-30.,0.,-4.)); #41004=CARTESIAN_POINT('Origin',(0.,0.,-4.)); #41005=CARTESIAN_POINT('',(-30.,0.,4.)); #41006=CARTESIAN_POINT('Origin',(0.,0.,4.)); #41007=CARTESIAN_POINT('',(-30.,0.,4.)); #41008=CARTESIAN_POINT('Origin',(-30.,-2.,4.)); #41009=CARTESIAN_POINT('',(-30.,-2.,-4.)); #41010=CARTESIAN_POINT('',(-30.,-23.167363014997,-4.)); #41011=CARTESIAN_POINT('',(-30.,-2.,4.)); #41012=CARTESIAN_POINT('',(-30.,-23.167363014997,4.)); #41013=CARTESIAN_POINT('',(-30.,-2.,4.)); #41014=CARTESIAN_POINT('Origin',(0.,-2.,4.)); #41015=CARTESIAN_POINT('Origin',(0.,-2.,-4.)); #41016=CARTESIAN_POINT('Origin',(0.,-2.,4.)); #41017=CARTESIAN_POINT('Origin',(-117.5,22.5,-436.082946727091)); #41018=CARTESIAN_POINT('',(-120.8235,22.5,3.99999999999999)); #41019=CARTESIAN_POINT('Origin',(-117.5,22.5,3.99999999999999)); #41020=CARTESIAN_POINT('',(-120.8235,22.5,-3.99999999999999)); #41021=CARTESIAN_POINT('',(-120.8235,22.5,-436.082946727091)); #41022=CARTESIAN_POINT('Origin',(-117.5,22.5,-3.99999999999999)); #41023=CARTESIAN_POINT('Origin',(-117.5,-22.5,-436.082946727091)); #41024=CARTESIAN_POINT('',(-120.8235,-22.5,3.99999999999999)); #41025=CARTESIAN_POINT('Origin',(-117.5,-22.5,3.99999999999999)); #41026=CARTESIAN_POINT('',(-120.8235,-22.5,-3.99999999999999)); #41027=CARTESIAN_POINT('',(-120.8235,-22.5,-436.082946727091)); #41028=CARTESIAN_POINT('Origin',(-117.5,-22.5,-3.99999999999999)); #41029=CARTESIAN_POINT('Origin',(-219.,-4.50000000000001,-436.082946727091)); #41030=CARTESIAN_POINT('',(-223.1,-4.50000000000001,3.99999999999999)); #41031=CARTESIAN_POINT('Origin',(-219.,-4.50000000000001,3.99999999999999)); #41032=CARTESIAN_POINT('',(-223.1,-4.50000000000001,-3.99999999999999)); #41033=CARTESIAN_POINT('',(-223.1,-4.50000000000001,-436.082946727091)); #41034=CARTESIAN_POINT('Origin',(-219.,-4.50000000000001,-3.99999999999999)); #41035=CARTESIAN_POINT('Origin',(-264.,40.5,-436.082946727091)); #41036=CARTESIAN_POINT('',(-268.1,40.5,3.99999999999999)); #41037=CARTESIAN_POINT('Origin',(-264.,40.5,3.99999999999999)); #41038=CARTESIAN_POINT('',(-268.1,40.5,-3.99999999999999)); #41039=CARTESIAN_POINT('',(-268.1,40.5,-436.082946727091)); #41040=CARTESIAN_POINT('Origin',(-264.,40.5,-3.99999999999999)); #41041=CARTESIAN_POINT('Origin',(-264.,-4.50000000000001,-436.082946727091)); #41042=CARTESIAN_POINT('',(-268.1,-4.50000000000001,3.99999999999999)); #41043=CARTESIAN_POINT('Origin',(-264.,-4.50000000000001,3.99999999999999)); #41044=CARTESIAN_POINT('',(-268.1,-4.50000000000001,-3.99999999999999)); #41045=CARTESIAN_POINT('',(-268.1,-4.50000000000001,-436.082946727091)); #41046=CARTESIAN_POINT('Origin',(-264.,-4.50000000000001,-3.99999999999999)); #41047=CARTESIAN_POINT('Origin',(-219.,40.5,-436.082946727091)); #41048=CARTESIAN_POINT('',(-223.1,40.5,3.99999999999999)); #41049=CARTESIAN_POINT('Origin',(-219.,40.5,3.99999999999999)); #41050=CARTESIAN_POINT('',(-223.1,40.5,-3.99999999999999)); #41051=CARTESIAN_POINT('',(-223.1,40.5,-436.082946727091)); #41052=CARTESIAN_POINT('Origin',(-219.,40.5,-3.99999999999999)); #41053=CARTESIAN_POINT('Origin',(-103.,-91.,4.)); #41054=CARTESIAN_POINT('',(-105.75,-91.,-4.)); #41055=CARTESIAN_POINT('',(-100.25,-91.,-4.)); #41056=CARTESIAN_POINT('Origin',(-103.,-91.,-4.)); #41057=CARTESIAN_POINT('',(-105.75,-91.,4.)); #41058=CARTESIAN_POINT('',(-105.75,-91.,4.)); #41059=CARTESIAN_POINT('',(-100.25,-91.,4.)); #41060=CARTESIAN_POINT('Origin',(-103.,-91.,4.)); #41061=CARTESIAN_POINT('',(-100.25,-91.,4.)); #41062=CARTESIAN_POINT('Origin',(-100.25,-81.,4.)); #41063=CARTESIAN_POINT('',(-100.25,-81.,-4.)); #41064=CARTESIAN_POINT('',(-100.25,-62.667363014997,-4.)); #41065=CARTESIAN_POINT('',(-100.25,-81.,4.)); #41066=CARTESIAN_POINT('',(-100.25,-62.667363014997,4.)); #41067=CARTESIAN_POINT('',(-100.25,-81.,4.)); #41068=CARTESIAN_POINT('Origin',(-103.,-81.,4.)); #41069=CARTESIAN_POINT('',(-105.75,-81.,-4.)); #41070=CARTESIAN_POINT('Origin',(-103.,-81.,-4.)); #41071=CARTESIAN_POINT('',(-105.75,-81.,4.)); #41072=CARTESIAN_POINT('Origin',(-103.,-81.,4.)); #41073=CARTESIAN_POINT('',(-105.75,-81.,4.)); #41074=CARTESIAN_POINT('Origin',(-105.75,-91.,4.)); #41075=CARTESIAN_POINT('',(-105.75,-67.667363014997,-4.)); #41076=CARTESIAN_POINT('',(-105.75,-67.667363014997,4.)); #41077=CARTESIAN_POINT('Origin',(-163.,-54.,4.)); #41078=CARTESIAN_POINT('',(-160.25,-54.,-4.)); #41079=CARTESIAN_POINT('',(-165.75,-54.,-4.)); #41080=CARTESIAN_POINT('Origin',(-163.,-54.,-4.)); #41081=CARTESIAN_POINT('',(-160.25,-54.,4.)); #41082=CARTESIAN_POINT('',(-160.25,-54.,4.)); #41083=CARTESIAN_POINT('',(-165.75,-54.,4.)); #41084=CARTESIAN_POINT('Origin',(-163.,-54.,4.)); #41085=CARTESIAN_POINT('',(-165.75,-54.,4.)); #41086=CARTESIAN_POINT('Origin',(-165.75,-64.,4.)); #41087=CARTESIAN_POINT('',(-165.75,-64.,-4.)); #41088=CARTESIAN_POINT('',(-165.75,-54.1673630149968,-4.)); #41089=CARTESIAN_POINT('',(-165.75,-64.,4.)); #41090=CARTESIAN_POINT('',(-165.75,-54.1673630149968,4.)); #41091=CARTESIAN_POINT('',(-165.75,-64.,4.)); #41092=CARTESIAN_POINT('Origin',(-163.,-64.,4.)); #41093=CARTESIAN_POINT('',(-160.25,-64.,-4.)); #41094=CARTESIAN_POINT('Origin',(-163.,-64.,-4.)); #41095=CARTESIAN_POINT('',(-160.25,-64.,4.)); #41096=CARTESIAN_POINT('Origin',(-163.,-64.,4.)); #41097=CARTESIAN_POINT('',(-160.25,-64.,4.)); #41098=CARTESIAN_POINT('Origin',(-160.25,-54.,4.)); #41099=CARTESIAN_POINT('',(-160.25,-49.1673630149968,-4.)); #41100=CARTESIAN_POINT('',(-160.25,-49.1673630149968,4.)); #41101=CARTESIAN_POINT('Origin',(-253.,-91.,4.)); #41102=CARTESIAN_POINT('',(-255.75,-91.,-4.)); #41103=CARTESIAN_POINT('',(-250.25,-91.,-4.)); #41104=CARTESIAN_POINT('Origin',(-253.,-91.,-4.)); #41105=CARTESIAN_POINT('',(-255.75,-91.,4.)); #41106=CARTESIAN_POINT('',(-255.75,-91.,4.)); #41107=CARTESIAN_POINT('',(-250.25,-91.,4.)); #41108=CARTESIAN_POINT('Origin',(-253.,-91.,4.)); #41109=CARTESIAN_POINT('',(-250.25,-91.,4.)); #41110=CARTESIAN_POINT('Origin',(-250.25,-81.,4.)); #41111=CARTESIAN_POINT('',(-250.25,-81.,-4.)); #41112=CARTESIAN_POINT('',(-250.25,-62.667363014997,-4.)); #41113=CARTESIAN_POINT('',(-250.25,-81.,4.)); #41114=CARTESIAN_POINT('',(-250.25,-62.667363014997,4.)); #41115=CARTESIAN_POINT('',(-250.25,-81.,4.)); #41116=CARTESIAN_POINT('Origin',(-253.,-81.,4.)); #41117=CARTESIAN_POINT('',(-255.75,-81.,-4.)); #41118=CARTESIAN_POINT('Origin',(-253.,-81.,-4.)); #41119=CARTESIAN_POINT('',(-255.75,-81.,4.)); #41120=CARTESIAN_POINT('Origin',(-253.,-81.,4.)); #41121=CARTESIAN_POINT('',(-255.75,-81.,4.)); #41122=CARTESIAN_POINT('Origin',(-255.75,-91.,4.)); #41123=CARTESIAN_POINT('',(-255.75,-67.667363014997,-4.)); #41124=CARTESIAN_POINT('',(-255.75,-67.667363014997,4.)); #41125=CARTESIAN_POINT('Origin',(73.,-24.,2.)); #41126=CARTESIAN_POINT('',(73.,-23.,4.)); #41127=CARTESIAN_POINT('',(74.,-24.,4.)); #41128=CARTESIAN_POINT('Origin',(73.,-24.,4.)); #41129=CARTESIAN_POINT('',(74.,-24.,-4.)); #41130=CARTESIAN_POINT('',(74.,-24.,2.)); #41131=CARTESIAN_POINT('',(73.,-23.,-4.)); #41132=CARTESIAN_POINT('Origin',(73.,-24.,-4.)); #41133=CARTESIAN_POINT('',(73.,-23.,2.)); #41134=CARTESIAN_POINT('Origin',(74.,-23.,4.)); #41135=CARTESIAN_POINT('',(69.,-23.,-4.)); #41136=CARTESIAN_POINT('',(-25.7857753330752,-22.9999999999999,-4.)); #41137=CARTESIAN_POINT('',(69.,-23.,4.)); #41138=CARTESIAN_POINT('',(69.,-23.,4.)); #41139=CARTESIAN_POINT('',(-25.7857753330752,-22.9999999999999,4.)); #41140=CARTESIAN_POINT('Origin',(-125.571550666151,-44.334726029994,-4.)); #41141=CARTESIAN_POINT('',(74.,-128.,-4.)); #41142=CARTESIAN_POINT('',(74.,-128.,-4.)); #41143=CARTESIAN_POINT('',(44.,-158.,-4.)); #41144=CARTESIAN_POINT('Origin',(44.,-128.,-4.)); #41145=CARTESIAN_POINT('',(-295.5,-158.,-4.)); #41146=CARTESIAN_POINT('',(-295.5,-158.,-4.)); #41147=CARTESIAN_POINT('',(-298.,-155.5,-4.)); #41148=CARTESIAN_POINT('Origin',(-295.5,-155.5,-4.)); #41149=CARTESIAN_POINT('',(-298.,75.,-4.)); #41150=CARTESIAN_POINT('',(-298.,75.,-4.)); #41151=CARTESIAN_POINT('',(-296.,77.,-4.)); #41152=CARTESIAN_POINT('Origin',(-296.,75.,-4.)); #41153=CARTESIAN_POINT('',(-84.6170850440592,77.,-4.)); #41154=CARTESIAN_POINT('',(-84.6170850440592,77.,-4.)); #41155=CARTESIAN_POINT('',(2.58256275598507,73.9549211994131,-4.)); #41156=CARTESIAN_POINT('',(2.58256275598507,73.9549211994131,-4.)); #41157=CARTESIAN_POINT('',(74.,8.88178419700125E-15,-4.)); #41158=CARTESIAN_POINT('Origin',(0.,0.,-4.)); #41159=CARTESIAN_POINT('',(74.,-14.,-4.)); #41160=CARTESIAN_POINT('',(74.,-128.,-4.)); #41161=CARTESIAN_POINT('',(73.,-15.,-4.)); #41162=CARTESIAN_POINT('Origin',(73.,-14.,-4.)); #41163=CARTESIAN_POINT('',(69.,-15.,-4.)); #41164=CARTESIAN_POINT('',(-28.2857753330753,-15.,-4.)); #41165=CARTESIAN_POINT('Origin',(69.,-19.,-4.)); #41166=CARTESIAN_POINT('Origin',(73.,-14.,2.)); #41167=CARTESIAN_POINT('',(74.,-14.,4.)); #41168=CARTESIAN_POINT('',(74.,-14.,2.)); #41169=CARTESIAN_POINT('',(73.,-15.,4.)); #41170=CARTESIAN_POINT('Origin',(73.,-14.,4.)); #41171=CARTESIAN_POINT('',(73.,-15.,2.)); #41172=CARTESIAN_POINT('Origin',(69.,-15.,4.)); #41173=CARTESIAN_POINT('',(69.,-15.,4.)); #41174=CARTESIAN_POINT('',(-28.2857753330753,-15.,4.)); #41175=CARTESIAN_POINT('',(69.,-15.,4.)); #41176=CARTESIAN_POINT('Origin',(69.,-19.,4.)); #41177=CARTESIAN_POINT('Origin',(69.,-19.,4.)); #41178=CARTESIAN_POINT('Origin',(-125.571550666151,-44.334726029994,4.)); #41179=CARTESIAN_POINT('',(74.,8.88178419700125E-15,4.)); #41180=CARTESIAN_POINT('',(74.,-128.,4.)); #41181=CARTESIAN_POINT('',(2.58256275598507,73.9549211994131,4.)); #41182=CARTESIAN_POINT('Origin',(0.,0.,4.)); #41183=CARTESIAN_POINT('',(-84.6170850440592,77.,4.)); #41184=CARTESIAN_POINT('',(2.58256275598507,73.9549211994131,4.)); #41185=CARTESIAN_POINT('',(-296.,77.,4.)); #41186=CARTESIAN_POINT('',(-84.6170850440592,77.,4.)); #41187=CARTESIAN_POINT('',(-298.,75.,4.)); #41188=CARTESIAN_POINT('Origin',(-296.,75.,4.)); #41189=CARTESIAN_POINT('',(-298.,-155.5,4.)); #41190=CARTESIAN_POINT('',(-298.,75.,4.)); #41191=CARTESIAN_POINT('',(-295.5,-158.,4.)); #41192=CARTESIAN_POINT('Origin',(-295.5,-155.5,4.)); #41193=CARTESIAN_POINT('',(44.,-158.,4.)); #41194=CARTESIAN_POINT('',(-295.5,-158.,4.)); #41195=CARTESIAN_POINT('',(74.,-128.,4.)); #41196=CARTESIAN_POINT('Origin',(44.,-128.,4.)); #41197=CARTESIAN_POINT('',(74.,-128.,4.)); #41198=CARTESIAN_POINT('Origin',(74.,-128.,0.)); #41199=CARTESIAN_POINT('',(74.,9.06238631369041E-15,0.)); #41200=CARTESIAN_POINT('Origin',(0.,0.,0.)); #41201=CARTESIAN_POINT('',(2.58256275598507,73.9549211994131,0.)); #41202=CARTESIAN_POINT('Origin',(74.,-128.,0.)); #41203=CARTESIAN_POINT('',(74.,-128.,0.)); #41204=CARTESIAN_POINT('Origin',(44.,-128.,0.)); #41205=CARTESIAN_POINT('',(44.,-158.,0.)); #41206=CARTESIAN_POINT('Origin',(-295.5,-158.,0.)); #41207=CARTESIAN_POINT('',(-295.5,-158.,0.)); #41208=CARTESIAN_POINT('Origin',(-295.5,-155.5,0.)); #41209=CARTESIAN_POINT('',(-298.,-155.5,0.)); #41210=CARTESIAN_POINT('Origin',(-298.,75.,0.)); #41211=CARTESIAN_POINT('',(-298.,75.,0.)); #41212=CARTESIAN_POINT('Origin',(-296.,75.,0.)); #41213=CARTESIAN_POINT('',(-296.,77.,0.)); #41214=CARTESIAN_POINT('Origin',(-84.6170850440592,77.,0.)); #41215=CARTESIAN_POINT('',(-84.6170850440592,77.,0.)); #41216=CARTESIAN_POINT('Origin',(2.58256275598507,73.9549211994131,0.)); #41217=CARTESIAN_POINT('',(0.,0.,0.)); #41218=CARTESIAN_POINT('Origin',(111.967737738494,0.,0.)); #41219=CARTESIAN_POINT('',(-12.,-1.59204083889156E-15,-13.)); #41220=CARTESIAN_POINT('Origin',(-12.,0.,0.)); #41221=CARTESIAN_POINT('',(-9.2,-1.59204083889156E-15,-13.)); #41222=CARTESIAN_POINT('',(111.967737738494,-1.59204083889156E-15,-13.)); #41223=CARTESIAN_POINT('Origin',(-9.2,0.,0.)); #41224=CARTESIAN_POINT('Origin',(-121.967737738494,-26.5165042944955,26.5165042944955)); #41225=CARTESIAN_POINT('',(1.99999999999999,-26.5165042944955,28.5835042944955)); #41226=CARTESIAN_POINT('Origin',(1.99999999999999,-26.5165042944955,26.5165042944955)); #41227=CARTESIAN_POINT('',(-4.,-26.5165042944955,28.5835042944955)); #41228=CARTESIAN_POINT('',(-121.967737738494,-26.5165042944955,28.5835042944955)); #41229=CARTESIAN_POINT('Origin',(-4.,-26.5165042944955,26.5165042944955)); #41230=CARTESIAN_POINT('Origin',(-121.967737738494,-26.5165042944955,-26.5165042944955)); #41231=CARTESIAN_POINT('',(1.99999999999999,-26.5165042944955,-24.4495042944955)); #41232=CARTESIAN_POINT('Origin',(1.99999999999999,-26.5165042944955,-26.5165042944955)); #41233=CARTESIAN_POINT('',(-4.,-26.5165042944955,-24.4495042944955)); #41234=CARTESIAN_POINT('',(-121.967737738494,-26.5165042944955,-24.4495042944955)); #41235=CARTESIAN_POINT('Origin',(-4.,-26.5165042944955,-26.5165042944955)); #41236=CARTESIAN_POINT('Origin',(-121.967737738494,26.5165042944955,-26.5165042944955)); #41237=CARTESIAN_POINT('',(1.99999999999999,26.5165042944955,-24.4495042944955)); #41238=CARTESIAN_POINT('Origin',(1.99999999999999,26.5165042944955,-26.5165042944955)); #41239=CARTESIAN_POINT('',(-4.,26.5165042944955,-24.4495042944955)); #41240=CARTESIAN_POINT('',(-121.967737738494,26.5165042944955,-24.4495042944955)); #41241=CARTESIAN_POINT('Origin',(-4.,26.5165042944955,-26.5165042944955)); #41242=CARTESIAN_POINT('Origin',(-121.967737738494,26.5165042944955,26.5165042944955)); #41243=CARTESIAN_POINT('',(1.99999999999999,26.5165042944955,28.5835042944955)); #41244=CARTESIAN_POINT('Origin',(1.99999999999999,26.5165042944955,26.5165042944955)); #41245=CARTESIAN_POINT('',(-4.,26.5165042944955,28.5835042944955)); #41246=CARTESIAN_POINT('',(-121.967737738494,26.5165042944955,28.5835042944955)); #41247=CARTESIAN_POINT('Origin',(-4.,26.5165042944955,26.5165042944955)); #41248=CARTESIAN_POINT('Origin',(-12.,15.,0.)); #41249=CARTESIAN_POINT('',(-12.,-30.,-3.67394039744206E-15)); #41250=CARTESIAN_POINT('Origin',(-12.,0.,0.)); #41251=CARTESIAN_POINT('Origin',(-8.,0.,0.)); #41252=CARTESIAN_POINT('',(-4.,-30.,-3.67394039744206E-15)); #41253=CARTESIAN_POINT('Origin',(-4.,0.,0.)); #41254=CARTESIAN_POINT('',(-8.,-30.,-3.67394039744206E-15)); #41255=CARTESIAN_POINT('Origin',(-4.,36.5,0.)); #41256=CARTESIAN_POINT('',(-4.,-43.,-5.26598123633362E-15)); #41257=CARTESIAN_POINT('Origin',(-4.,0.,0.)); #41258=CARTESIAN_POINT('Origin',(-1.5,0.,0.)); #41259=CARTESIAN_POINT('',(0.999999999999996,-43.,-5.26598123633362E-15)); #41260=CARTESIAN_POINT('Origin',(0.999999999999996,0.,0.)); #41261=CARTESIAN_POINT('',(-1.5,-43.,-5.26598123633362E-15)); #41262=CARTESIAN_POINT('Origin',(1.5,0.,0.)); #41263=CARTESIAN_POINT('',(2.,-42.,-5.14351655641888E-15)); #41264=CARTESIAN_POINT('Origin',(2.,0.,0.)); #41265=CARTESIAN_POINT('',(1.5,-42.5,-5.20474889637625E-15)); #41266=CARTESIAN_POINT('Origin',(2.,37.,0.)); #41267=CARTESIAN_POINT('',(2.,-32.,-3.91886975727153E-15)); #41268=CARTESIAN_POINT('Origin',(2.,0.,0.)); #41269=CARTESIAN_POINT('Origin',(6.5,0.,0.)); #41270=CARTESIAN_POINT('',(11.,-32.,-3.91886975727153E-15)); #41271=CARTESIAN_POINT('Origin',(11.,0.,0.)); #41272=CARTESIAN_POINT('',(6.5,-32.,-3.91886975727153E-15)); #41273=CARTESIAN_POINT('Origin',(11.5,0.,0.)); #41274=CARTESIAN_POINT('',(12.,-31.,-3.7964050773568E-15)); #41275=CARTESIAN_POINT('Origin',(12.,0.,0.)); #41276=CARTESIAN_POINT('',(11.5,-31.5,-3.85763741731416E-15)); #41277=CARTESIAN_POINT('Origin',(12.,29.,0.)); #41278=CARTESIAN_POINT('',(12.,-27.,-3.30654635769785E-15)); #41279=CARTESIAN_POINT('Origin',(12.,0.,0.)); #41280=CARTESIAN_POINT('Origin',(11.5,0.,0.)); #41281=CARTESIAN_POINT('',(11.,-26.,-3.18408167778312E-15)); #41282=CARTESIAN_POINT('',(11.5,-26.5,-3.24531401774049E-15)); #41283=CARTESIAN_POINT('Origin',(11.,0.,0.)); #41284=CARTESIAN_POINT('Origin',(1.9,0.,0.)); #41285=CARTESIAN_POINT('',(-7.2,-26.,-3.18408167778312E-15)); #41286=CARTESIAN_POINT('',(1.9,-26.,-3.18408167778312E-15)); #41287=CARTESIAN_POINT('Origin',(-7.2,0.,0.)); #41288=CARTESIAN_POINT('Origin',(-7.2,24.5,0.)); #41289=CARTESIAN_POINT('',(-7.2,-23.,-2.81668763803891E-15)); #41290=CARTESIAN_POINT('Origin',(-7.2,0.,0.)); #41291=CARTESIAN_POINT('Origin',(-8.2,0.,0.)); #41292=CARTESIAN_POINT('',(-9.2,-23.,-2.81668763803891E-15)); #41293=CARTESIAN_POINT('',(-8.2,-23.,-2.81668763803891E-15)); #41294=CARTESIAN_POINT('Origin',(-9.2,0.,0.)); #41295=CARTESIAN_POINT('Origin',(-9.2,11.5,0.)); #41296=CARTESIAN_POINT('',(0.,0.,0.)); #41297=CARTESIAN_POINT('Origin',(0.,18.0950011,-18.0950011)); #41298=CARTESIAN_POINT('',(0.,-3.02171636174767E-15,-16.450001)); #41299=CARTESIAN_POINT('Origin',(0.,0.,0.)); #41300=CARTESIAN_POINT('',(0.,8.26609288830105E-16,13.5)); #41301=CARTESIAN_POINT('Origin',(0.,0.,0.)); #41302=CARTESIAN_POINT('Origin',(18.,-18.0950011,-18.0950011)); #41303=CARTESIAN_POINT('',(18.,-3.02171636174767E-15,-16.450001)); #41304=CARTESIAN_POINT('Origin',(18.,0.,0.)); #41305=CARTESIAN_POINT('',(18.,-1.65327317884893E-15,13.5)); #41306=CARTESIAN_POINT('Origin',(18.,0.,0.)); #41307=CARTESIAN_POINT('Origin',(17.,0.,0.)); #41308=CARTESIAN_POINT('',(17.,-1.53080849893419E-15,12.5)); #41309=CARTESIAN_POINT('',(17.,-1.53080849893419E-15,-12.5)); #41310=CARTESIAN_POINT('Origin',(17.,0.,0.)); #41311=CARTESIAN_POINT('Origin',(17.,-1.65327317884893E-15,13.5)); #41312=CARTESIAN_POINT('Origin',(17.,0.,0.)); #41313=CARTESIAN_POINT('Origin',(17.8,0.,0.)); #41314=CARTESIAN_POINT('',(1.,-2.29613691341696E-15,-12.5)); #41315=CARTESIAN_POINT('',(17.8,-1.53080849893419E-15,-12.5)); #41316=CARTESIAN_POINT('',(1.,-1.53080849893419E-15,12.5)); #41317=CARTESIAN_POINT('Origin',(1.,0.,0.)); #41318=CARTESIAN_POINT('Origin',(1.,0.,0.)); #41319=CARTESIAN_POINT('Origin',(0.999999999999997,0.,0.)); #41320=CARTESIAN_POINT('Origin',(0.999999999999997,-1.65327317884893E-15, 13.5)); #41321=CARTESIAN_POINT('Origin',(3.32475791000389,0.,0.)); #41322=CARTESIAN_POINT('',(0.3,-2.01454410706208E-15,-16.450001)); #41323=CARTESIAN_POINT('',(3.32475791000389,-2.01454410706208E-15,-16.450001)); #41324=CARTESIAN_POINT('Origin',(0.3,0.,0.)); #41325=CARTESIAN_POINT('Origin',(0.,27.5,-27.5)); #41326=CARTESIAN_POINT('',(0.,-3.06161699786838E-15,25.)); #41327=CARTESIAN_POINT('Origin',(0.,0.,0.)); #41328=CARTESIAN_POINT('',(0.,-4.14682344932198E-15,-22.575001)); #41329=CARTESIAN_POINT('Origin',(0.,0.,0.)); #41330=CARTESIAN_POINT('Origin',(18.,-27.5,-27.5)); #41331=CARTESIAN_POINT('',(18.,1.53075794227797E-15,25.)); #41332=CARTESIAN_POINT('Origin',(18.,0.,0.)); #41333=CARTESIAN_POINT('',(18.,-4.14682344932198E-15,-22.575001)); #41334=CARTESIAN_POINT('Origin',(18.,0.,0.)); #41335=CARTESIAN_POINT('Origin',(0.999999999999994,0.,0.)); #41336=CARTESIAN_POINT('',(1.,-3.18408167778312E-15,-26.)); #41337=CARTESIAN_POINT('',(1.,-3.18408167778312E-15,26.)); #41338=CARTESIAN_POINT('Origin',(1.,0.,0.)); #41339=CARTESIAN_POINT('Origin',(1.,0.,0.)); #41340=CARTESIAN_POINT('Origin',(0.999999999999994,-3.06161699786838E-15, 25.)); #41341=CARTESIAN_POINT('Origin',(17.8,0.,0.)); #41342=CARTESIAN_POINT('',(17.,-4.77596477990727E-15,-26.)); #41343=CARTESIAN_POINT('',(17.8,-3.18408167778312E-15,-26.)); #41344=CARTESIAN_POINT('',(17.,-3.18408167778312E-15,26.)); #41345=CARTESIAN_POINT('Origin',(17.,0.,0.)); #41346=CARTESIAN_POINT('Origin',(17.,0.,0.)); #41347=CARTESIAN_POINT('Origin',(17.,0.,0.)); #41348=CARTESIAN_POINT('Origin',(17.,-3.06161699786838E-15,25.)); #41349=CARTESIAN_POINT('Origin',(18.09,0.,0.)); #41350=CARTESIAN_POINT('',(17.4,-2.76464027153983E-15,-22.575001)); #41351=CARTESIAN_POINT('',(18.09,-2.76464027153983E-15,-22.575001)); #41352=CARTESIAN_POINT('Origin',(17.4,0.,0.)); #41353=CARTESIAN_POINT('Origin',(1.89,0.,0.)); #41354=CARTESIAN_POINT('',(0.6,-2.76464027153983E-15,-22.575001)); #41355=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41356=CARTESIAN_POINT('',(1.89,-2.76464027153983E-15,-22.575001)); #41357=CARTESIAN_POINT('Origin',(0.6,24.8325011,-24.8325011)); #41358=CARTESIAN_POINT('',(0.6,1.36390538779999E-15,22.275001)); #41359=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41360=CARTESIAN_POINT('Origin',(0.3,23.9250011,-23.9250011)); #41361=CARTESIAN_POINT('',(0.3,-3.99527841303646E-15,-21.750001)); #41362=CARTESIAN_POINT('Origin',(0.3,0.,0.)); #41363=CARTESIAN_POINT('',(0.3,-3.2145918624747E-15,-17.500001)); #41364=CARTESIAN_POINT('Origin',(0.3,0.,0.)); #41365=CARTESIAN_POINT('Origin',(0.3,18.4250011,-18.4250011)); #41366=CARTESIAN_POINT('',(0.3,-3.07682364766968E-15,-16.750001)); #41367=CARTESIAN_POINT('Origin',(0.3,0.,0.)); #41368=CARTESIAN_POINT('Origin',(0.615000000000001,0.,0.)); #41369=CARTESIAN_POINT('',(0.600000000000001,-2.0512835110365E-15,-16.750001)); #41370=CARTESIAN_POINT('',(0.615000000000001,-2.0512835110365E-15,-16.750001)); #41371=CARTESIAN_POINT('',(0.600000000000001,1.02560788255656E-15,16.750001)); #41372=CARTESIAN_POINT('Origin',(0.600000000000001,0.,0.)); #41373=CARTESIAN_POINT('Origin',(0.600000000000001,0.,0.)); #41374=CARTESIAN_POINT('Origin',(0.450000000000006,0.,0.)); #41375=CARTESIAN_POINT('',(0.6,-2.12476231898534E-15,17.350001)); #41376=CARTESIAN_POINT('',(0.600000000000005,-2.12476231898534E-15,-17.350001)); #41377=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41378=CARTESIAN_POINT('Origin',(0.450000000000006,-2.08802291501092E-15, 17.050001)); #41379=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41380=CARTESIAN_POINT('Origin',(34.3000019999995,0.,0.)); #41381=CARTESIAN_POINT('',(34.3000019999995,-6.12323399573686E-17,-0.500000000000008)); #41382=CARTESIAN_POINT('Origin',(-85.700004000009,0.,0.)); #41383=CARTESIAN_POINT('',(0.6,1.33635174483899E-15,21.825001)); #41384=CARTESIAN_POINT('',(0.600000000000005,2.67279176160378E-15,-21.825001)); #41385=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41386=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41387=CARTESIAN_POINT('',(-85.700004000009,3.06161699786807E-17,-0.249999999999974)); #41388=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41389=CARTESIAN_POINT('Origin',(0.6,-2.70034631458459E-15,22.050001)); #41390=CARTESIAN_POINT('Origin',(18.1583218052383,0.,0.)); #41391=CARTESIAN_POINT('',(17.7,-2.01454410706208E-15,-16.450001)); #41392=CARTESIAN_POINT('Origin',(17.7,0.,0.)); #41393=CARTESIAN_POINT('',(18.1583218052383,-2.01454410706208E-15,-16.450001)); #41394=CARTESIAN_POINT('Origin',(17.4,24.8325011,24.8325011)); #41395=CARTESIAN_POINT('',(17.4,-2.87485363002409E-15,-22.275001)); #41396=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41397=CARTESIAN_POINT('Origin',(17.7,23.9250011,23.9250011)); #41398=CARTESIAN_POINT('',(17.7,-6.84248830813413E-15,21.750001)); #41399=CARTESIAN_POINT('Origin',(17.7,-1.83690953073357E-16,0.)); #41400=CARTESIAN_POINT('',(17.7,-5.54134405719785E-15,17.500001)); #41401=CARTESIAN_POINT('Origin',(17.7,-1.83690953073357E-16,0.)); #41402=CARTESIAN_POINT('Origin',(17.7,18.4250011,18.4250011)); #41403=CARTESIAN_POINT('',(17.7,-5.31173036585615E-15,16.750001)); #41404=CARTESIAN_POINT('Origin',(17.7,-1.83690953073357E-16,0.)); #41405=CARTESIAN_POINT('Origin',(17.385,-1.65321857766021E-16,0.)); #41406=CARTESIAN_POINT('',(17.4,-5.27499217524148E-15,16.750001)); #41407=CARTESIAN_POINT('',(17.385,-2.21660536880252E-15,16.750001)); #41408=CARTESIAN_POINT('',(17.4,-2.21660536880252E-15,-16.750001)); #41409=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41410=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41411=CARTESIAN_POINT('Origin',(17.55,-1.6532185776602E-16,0.)); #41412=CARTESIAN_POINT('',(17.4,-2.29008417675136E-15,17.350001)); #41413=CARTESIAN_POINT('',(17.4,-2.29008417675136E-15,-17.350001)); #41414=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41415=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41416=CARTESIAN_POINT('Origin',(17.55,-2.25334477277694E-15,-17.050001)); #41417=CARTESIAN_POINT('Origin',(-16.3000019999995,-1.65321857766021E-16, 0.)); #41418=CARTESIAN_POINT('',(-16.3000019999995,-2.2655419772339E-16,0.500000000000008)); #41419=CARTESIAN_POINT('Origin',(103.700004000009,-1.65321857766021E-16, 0.)); #41420=CARTESIAN_POINT('',(17.4,-6.82871148665362E-15,21.825001)); #41421=CARTESIAN_POINT('',(17.4,-2.81974452406246E-15,-21.825001)); #41422=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41423=CARTESIAN_POINT('',(103.700004000009,-1.3470568778734E-16,0.249999999999974)); #41424=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41425=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41426=CARTESIAN_POINT('Origin',(17.4,-2.84729907704328E-15,-22.050001)); #41427=CARTESIAN_POINT('Origin',(16.2,-24.8325011,24.8325011)); #41428=CARTESIAN_POINT('',(16.1999999999686,1.33203353971878E-15,21.7544770294731)); #41429=CARTESIAN_POINT('Origin',(16.1999999999686,0.,0.)); #41430=CARTESIAN_POINT('',(16.2,-6.54399050938992E-15,21.375001)); #41431=CARTESIAN_POINT('Origin',(16.2,0.,0.)); #41432=CARTESIAN_POINT('Origin',(82.2000039999998,-7.34763812293426E-17, 0.)); #41433=CARTESIAN_POINT('',(17.4,-5.1831466987048E-15,16.450001)); #41434=CARTESIAN_POINT('',(17.4,-2.01454410706208E-15,-16.450001)); #41435=CARTESIAN_POINT('Origin',(17.4,0.,0.)); #41436=CARTESIAN_POINT('',(16.2,-5.12803941278279E-15,16.750001)); #41437=CARTESIAN_POINT('',(82.2000039999998,-4.28602112506587E-17,0.250000000000001)); #41438=CARTESIAN_POINT('Origin',(16.2,0.,0.)); #41439=CARTESIAN_POINT('Origin',(17.4,0.,0.)); #41440=CARTESIAN_POINT('Origin',(-17.5000019999999,-7.34763812293426E-17, 0.)); #41441=CARTESIAN_POINT('',(17.4,-5.64237408138819E-15,17.950001)); #41442=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41443=CARTESIAN_POINT('',(16.2,-5.31173036585615E-15,17.350001)); #41444=CARTESIAN_POINT('',(-17.5000019999999,-1.3470872118671E-16,0.500000000000001)); #41445=CARTESIAN_POINT('Origin',(16.2,0.,0.)); #41446=CARTESIAN_POINT('Origin',(100.700003999997,-7.34763812293426E-17, 0.)); #41447=CARTESIAN_POINT('',(17.4,-6.59909779531192E-15,21.075001)); #41448=CARTESIAN_POINT('Origin',(17.4,-1.46952762458685E-16,0.)); #41449=CARTESIAN_POINT('',(100.700003999997,-4.28602112506576E-17,0.250000000000009)); #41450=CARTESIAN_POINT('Origin',(17.4,-23.1825011,23.1825011)); #41451=CARTESIAN_POINT('Origin',(16.2,-19.0850011,19.0850011)); #41452=CARTESIAN_POINT('Origin',(1.8,-24.8325011,-24.8325011)); #41453=CARTESIAN_POINT('',(1.8,1.3320335397246E-15,21.754477029568)); #41454=CARTESIAN_POINT('',(1.8,-8.81745695366608E-16,-21.754477029568)); #41455=CARTESIAN_POINT('Origin',(1.8,0.,0.)); #41456=CARTESIAN_POINT('Origin',(1.8,0.,0.)); #41457=CARTESIAN_POINT('',(1.8,-3.92639430563395E-15,-21.375001)); #41458=CARTESIAN_POINT('Origin',(1.8,0.,0.)); #41459=CARTESIAN_POINT('Origin',(-64.2000039999998,0.,0.)); #41460=CARTESIAN_POINT('',(0.6,-3.02171636174767E-15,-16.450001)); #41461=CARTESIAN_POINT('Origin',(0.600000000000015,0.,0.)); #41462=CARTESIAN_POINT('',(1.8,-3.07682364766968E-15,-16.750001)); #41463=CARTESIAN_POINT('',(-64.2000039999998,3.0616169978684E-17,-0.250000000000001)); #41464=CARTESIAN_POINT('Origin',(1.8,0.,0.)); #41465=CARTESIAN_POINT('Origin',(35.5000019999999,0.,0.)); #41466=CARTESIAN_POINT('',(0.6,-3.2972527913577E-15,-17.950001)); #41467=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41468=CARTESIAN_POINT('',(1.8,-3.18703821951369E-15,-17.350001)); #41469=CARTESIAN_POINT('',(35.5000019999999,-6.12323399573678E-17,-0.500000000000001)); #41470=CARTESIAN_POINT('Origin',(1.8,0.,0.)); #41471=CARTESIAN_POINT('Origin',(-82.7000039999968,0.,0.)); #41472=CARTESIAN_POINT('',(0.6,-3.87128701971194E-15,-21.075001)); #41473=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #41474=CARTESIAN_POINT('',(-82.7000039999968,3.0616169978685E-17,-0.250000000000009)); #41475=CARTESIAN_POINT('Origin',(0.6,-23.1825011,-23.1825011)); #41476=CARTESIAN_POINT('Origin',(1.8,-19.0850011,-19.0850011)); #41477=CARTESIAN_POINT('Origin',(12.38,-9.56699999999999,-16.5705300760115)); #41478=CARTESIAN_POINT('',(9.,-9.56699999999999,-17.7258586007178)); #41479=CARTESIAN_POINT('',(9.,-9.56699999999999,-15.4152015513052)); #41480=CARTESIAN_POINT('Origin',(9.,-9.56699999999999,-16.5705300760115)); #41481=CARTESIAN_POINT('',(12.38,-9.56699999999999,-20.1425300760115)); #41482=CARTESIAN_POINT('Origin',(12.38,-9.56699999999999,-16.5705300760115)); #41483=CARTESIAN_POINT('Origin',(9.,-9.56699999999999,-16.5705300760115)); #41484=CARTESIAN_POINT('',(12.38,-9.56699999999999,-12.9985300760115)); #41485=CARTESIAN_POINT('Origin',(12.38,-9.56699999999999,-16.5705300760115)); #41486=CARTESIAN_POINT('',(12.1444806536032,-11.349113537171,-19.657241267248)); #41487=CARTESIAN_POINT('Origin',(12.9834372808967,-11.2750131556411,-19.528895641578)); #41488=CARTESIAN_POINT('Origin',(12.38,-16.5705300760115,-9.567)); #41489=CARTESIAN_POINT('',(9.,-16.5705300760115,-10.7223285247063)); #41490=CARTESIAN_POINT('',(9.,-16.5705300760115,-8.41167147529372)); #41491=CARTESIAN_POINT('Origin',(9.,-16.5705300760115,-9.567)); #41492=CARTESIAN_POINT('',(12.38,-16.5705300760115,-13.139)); #41493=CARTESIAN_POINT('Origin',(12.38,-16.5705300760115,-9.567)); #41494=CARTESIAN_POINT('Origin',(9.,-16.5705300760115,-9.567)); #41495=CARTESIAN_POINT('',(12.38,-16.5705300760115,-5.995)); #41496=CARTESIAN_POINT('Origin',(12.38,-16.5705300760115,-9.567)); #41497=CARTESIAN_POINT('',(12.1444806536032,-19.657241267248,-11.349113537171)); #41498=CARTESIAN_POINT('Origin',(12.9834372808967,-19.528895641578,-11.2750131556411)); #41499=CARTESIAN_POINT('Origin',(12.38,-19.134,4.27277402912166E-15)); #41500=CARTESIAN_POINT('',(9.,-19.134,-1.15532852470628)); #41501=CARTESIAN_POINT('',(9.,-19.134,1.15532852470629)); #41502=CARTESIAN_POINT('Origin',(9.,-19.134,4.06581555532568E-15)); #41503=CARTESIAN_POINT('',(12.38,-19.134,-3.572)); #41504=CARTESIAN_POINT('Origin',(12.38,-19.134,4.27277402912166E-15)); #41505=CARTESIAN_POINT('Origin',(9.,-19.134,4.06581555532568E-15)); #41506=CARTESIAN_POINT('',(12.38,-19.134,3.57200000000001)); #41507=CARTESIAN_POINT('Origin',(12.38,-19.134,4.27277402912166E-15)); #41508=CARTESIAN_POINT('',(12.1444806536034,-22.6982270743421,4.27277402912166E-15)); #41509=CARTESIAN_POINT('Origin',(12.9834372808967,-22.5500263112823,5.03559981074826E-15)); #41510=CARTESIAN_POINT('Origin',(12.38,-16.5705300760114,9.56700000000001)); #41511=CARTESIAN_POINT('',(9.,-16.5705300760114,8.41167147529373)); #41512=CARTESIAN_POINT('',(9.,-16.5705300760114,10.7223285247063)); #41513=CARTESIAN_POINT('Origin',(9.,-16.5705300760114,9.56700000000001)); #41514=CARTESIAN_POINT('',(12.38,-16.5705300760114,5.99500000000001)); #41515=CARTESIAN_POINT('Origin',(12.38,-16.5705300760114,9.56700000000001)); #41516=CARTESIAN_POINT('Origin',(9.,-16.5705300760114,9.56700000000001)); #41517=CARTESIAN_POINT('',(12.38,-16.5705300760114,13.139)); #41518=CARTESIAN_POINT('Origin',(12.38,-16.5705300760114,9.56700000000001)); #41519=CARTESIAN_POINT('',(12.1444806536036,-19.6572412672479,11.349113537171)); #41520=CARTESIAN_POINT('Origin',(12.9834372808967,-19.528895641578,11.2750131556412)); #41521=CARTESIAN_POINT('Origin',(12.38,-9.56699999999999,16.5705300760115)); #41522=CARTESIAN_POINT('',(9.,-9.56699999999999,15.4152015513052)); #41523=CARTESIAN_POINT('',(9.,-9.56699999999999,17.7258586007178)); #41524=CARTESIAN_POINT('Origin',(9.,-9.56699999999999,16.5705300760115)); #41525=CARTESIAN_POINT('',(12.38,-9.56699999999999,12.9985300760115)); #41526=CARTESIAN_POINT('Origin',(12.38,-9.56699999999999,16.5705300760115)); #41527=CARTESIAN_POINT('Origin',(9.,-9.56699999999999,16.5705300760115)); #41528=CARTESIAN_POINT('',(12.38,-9.56699999999999,20.1425300760115)); #41529=CARTESIAN_POINT('Origin',(12.38,-9.56699999999999,16.5705300760115)); #41530=CARTESIAN_POINT('',(12.1444806536032,-11.349113537171,19.657241267248)); #41531=CARTESIAN_POINT('Origin',(12.9834372808967,-11.2750131556411,19.528895641578)); #41532=CARTESIAN_POINT('Origin',(12.38,1.08403647386748E-14,19.134)); #41533=CARTESIAN_POINT('',(12.1444806536034,1.08403647386748E-14,22.6982270743421)); #41534=CARTESIAN_POINT('Origin',(12.9834372808967,1.27757139166413E-14, 22.5500263112823)); #41535=CARTESIAN_POINT('',(9.,1.08403647386748E-14,20.2893285247063)); #41536=CARTESIAN_POINT('Origin',(12.38,1.08403647386748E-14,19.134)); #41537=CARTESIAN_POINT('',(9.,1.0426434120563E-14,17.9786714752937)); #41538=CARTESIAN_POINT('Origin',(9.,1.08403647386748E-14,19.134)); #41539=CARTESIAN_POINT('',(12.38,1.08403647386748E-14,15.562)); #41540=CARTESIAN_POINT('Origin',(12.38,1.08403647386748E-14,19.134)); #41541=CARTESIAN_POINT('Origin',(9.,1.08403647386748E-14,19.134)); #41542=CARTESIAN_POINT('Origin',(12.38,9.56700000000001,16.5705300760115)); #41543=CARTESIAN_POINT('',(9.,9.56700000000001,17.7258586007178)); #41544=CARTESIAN_POINT('',(9.,9.56700000000001,15.4152015513052)); #41545=CARTESIAN_POINT('Origin',(9.,9.56700000000001,16.5705300760115)); #41546=CARTESIAN_POINT('',(12.38,9.56700000000001,20.1425300760115)); #41547=CARTESIAN_POINT('Origin',(12.38,9.56700000000001,16.5705300760115)); #41548=CARTESIAN_POINT('Origin',(9.,9.56700000000001,16.5705300760115)); #41549=CARTESIAN_POINT('',(12.38,9.56700000000001,12.9985300760115)); #41550=CARTESIAN_POINT('Origin',(12.38,9.56700000000001,16.5705300760115)); #41551=CARTESIAN_POINT('',(12.1444806536031,11.349113537171,19.657241267248)); #41552=CARTESIAN_POINT('Origin',(12.9834372808967,11.2750131556411,19.528895641578)); #41553=CARTESIAN_POINT('Origin',(12.38,16.5705300760115,9.567)); #41554=CARTESIAN_POINT('',(9.,16.5705300760115,10.7223285247063)); #41555=CARTESIAN_POINT('',(9.,16.5705300760115,8.41167147529372)); #41556=CARTESIAN_POINT('Origin',(9.,16.5705300760115,9.567)); #41557=CARTESIAN_POINT('',(12.38,16.5705300760115,13.139)); #41558=CARTESIAN_POINT('Origin',(12.38,16.5705300760115,9.567)); #41559=CARTESIAN_POINT('Origin',(9.,16.5705300760115,9.567)); #41560=CARTESIAN_POINT('',(12.38,16.5705300760115,5.995)); #41561=CARTESIAN_POINT('Origin',(12.38,16.5705300760115,9.567)); #41562=CARTESIAN_POINT('',(12.1444806536032,19.657241267248,11.349113537171)); #41563=CARTESIAN_POINT('Origin',(12.9834372808967,19.528895641578,11.2750131556411)); #41564=CARTESIAN_POINT('Origin',(12.38,19.134,-4.13549565685817E-16)); #41565=CARTESIAN_POINT('',(9.,19.134,1.15532852470628)); #41566=CARTESIAN_POINT('',(9.,19.134,-1.15532852470628)); #41567=CARTESIAN_POINT('Origin',(9.,19.134,-6.20508039481799E-16)); #41568=CARTESIAN_POINT('',(12.38,19.134,3.572)); #41569=CARTESIAN_POINT('Origin',(12.38,19.134,-4.13549565685817E-16)); #41570=CARTESIAN_POINT('Origin',(9.,19.134,-6.20508039481799E-16)); #41571=CARTESIAN_POINT('',(12.38,19.134,-3.572)); #41572=CARTESIAN_POINT('Origin',(12.38,19.134,-4.13549565685817E-16)); #41573=CARTESIAN_POINT('',(12.1444806536034,22.6982270743421,-4.13549565685817E-16)); #41574=CARTESIAN_POINT('Origin',(12.9834372808967,22.5500263112823,-4.87381289183367E-16)); #41575=CARTESIAN_POINT('Origin',(12.38,16.5705300760115,-9.567)); #41576=CARTESIAN_POINT('',(9.,16.5705300760115,-8.41167147529372)); #41577=CARTESIAN_POINT('',(9.,16.5705300760115,-10.7223285247063)); #41578=CARTESIAN_POINT('Origin',(9.,16.5705300760115,-9.567)); #41579=CARTESIAN_POINT('',(12.38,16.5705300760115,-5.995)); #41580=CARTESIAN_POINT('Origin',(12.38,16.5705300760115,-9.567)); #41581=CARTESIAN_POINT('Origin',(9.,16.5705300760115,-9.567)); #41582=CARTESIAN_POINT('',(12.38,16.5705300760115,-13.139)); #41583=CARTESIAN_POINT('Origin',(12.38,16.5705300760115,-9.567)); #41584=CARTESIAN_POINT('',(12.1444806536032,19.657241267248,-11.349113537171)); #41585=CARTESIAN_POINT('Origin',(12.9834372808967,19.528895641578,-11.2750131556411)); #41586=CARTESIAN_POINT('Origin',(12.38,9.567,-16.5705300760115)); #41587=CARTESIAN_POINT('',(9.,9.567,-15.4152015513052)); #41588=CARTESIAN_POINT('',(9.,9.567,-17.7258586007178)); #41589=CARTESIAN_POINT('Origin',(9.,9.567,-16.5705300760115)); #41590=CARTESIAN_POINT('',(12.38,9.567,-12.9985300760115)); #41591=CARTESIAN_POINT('Origin',(12.38,9.567,-16.5705300760115)); #41592=CARTESIAN_POINT('Origin',(9.,9.567,-16.5705300760115)); #41593=CARTESIAN_POINT('',(12.38,9.567,-20.1425300760115)); #41594=CARTESIAN_POINT('Origin',(12.38,9.567,-16.5705300760115)); #41595=CARTESIAN_POINT('',(12.1444806536032,11.349113537171,-19.657241267248)); #41596=CARTESIAN_POINT('Origin',(12.9834372808967,11.2750131556411,-19.528895641578)); #41597=CARTESIAN_POINT('Origin',(12.38,0.,-19.134)); #41598=CARTESIAN_POINT('',(9.,0.,-20.2893285247063)); #41599=CARTESIAN_POINT('',(9.,-4.13930618111805E-16,-17.9786714752937)); #41600=CARTESIAN_POINT('Origin',(9.,0.,-19.134)); #41601=CARTESIAN_POINT('',(12.1444806536034,0.,-22.6982270743421)); #41602=CARTESIAN_POINT('Origin',(12.38,0.,-19.134)); #41603=CARTESIAN_POINT('Origin',(12.9834372808967,0.,-22.5500263112823)); #41604=CARTESIAN_POINT('Origin',(9.,0.,-19.134)); #41605=CARTESIAN_POINT('',(12.38,-2.67857097183408E-32,-15.562)); #41606=CARTESIAN_POINT('Origin',(12.38,0.,-19.134)); #41607=CARTESIAN_POINT('Origin',(5.62,-9.56699999999999,-16.5705300760115)); #41608=CARTESIAN_POINT('',(5.62,-9.56699999999999,-12.9985300760115)); #41609=CARTESIAN_POINT('',(5.62,-9.56699999999999,-20.1425300760115)); #41610=CARTESIAN_POINT('Origin',(5.62,-9.56699999999999,-16.5705300760115)); #41611=CARTESIAN_POINT('',(5.85551934618133,-11.3491135371781,-19.6572412672603)); #41612=CARTESIAN_POINT('Origin',(5.01656271914704,-11.2750131556781,-19.5288956416421)); #41613=CARTESIAN_POINT('Origin',(5.62,-16.5705300760115,-9.567)); #41614=CARTESIAN_POINT('',(5.62,-16.5705300760115,-5.995)); #41615=CARTESIAN_POINT('',(5.62,-16.5705300760115,-13.139)); #41616=CARTESIAN_POINT('Origin',(5.62,-16.5705300760115,-9.567)); #41617=CARTESIAN_POINT('',(5.85551934618135,-19.6572412672603,-11.3491135371781)); #41618=CARTESIAN_POINT('Origin',(5.01656271914704,-19.5288956416421,-11.2750131556781)); #41619=CARTESIAN_POINT('Origin',(5.62,-19.134,3.85885708152969E-15)); #41620=CARTESIAN_POINT('',(5.62,-19.134,3.572)); #41621=CARTESIAN_POINT('',(5.62,-19.134,-3.572)); #41622=CARTESIAN_POINT('Origin',(5.62,-19.134,3.85885708152969E-15)); #41623=CARTESIAN_POINT('',(5.85551934618113,-22.6982270743563,3.85885708152969E-15)); #41624=CARTESIAN_POINT('Origin',(5.01656271914703,-22.5500263113563,4.54778555034275E-15)); #41625=CARTESIAN_POINT('Origin',(5.62,-16.5705300760114,9.56700000000001)); #41626=CARTESIAN_POINT('',(5.62,-16.5705300760114,13.139)); #41627=CARTESIAN_POINT('',(5.62,-16.5705300760114,5.99500000000001)); #41628=CARTESIAN_POINT('Origin',(5.62,-16.5705300760114,9.56700000000001)); #41629=CARTESIAN_POINT('',(5.85551934618096,-19.6572412672603,11.3491135371782)); #41630=CARTESIAN_POINT('Origin',(5.01656271914702,-19.528895641642,11.2750131556782)); #41631=CARTESIAN_POINT('Origin',(5.62,-9.56699999999999,16.5705300760115)); #41632=CARTESIAN_POINT('',(5.62,-9.56699999999999,20.1425300760115)); #41633=CARTESIAN_POINT('',(5.62,-9.56699999999999,12.9985300760115)); #41634=CARTESIAN_POINT('Origin',(5.62,-9.56699999999999,16.5705300760115)); #41635=CARTESIAN_POINT('',(5.85551934618136,-11.3491135371781,19.6572412672603)); #41636=CARTESIAN_POINT('Origin',(5.01656271914704,-11.2750131556781,19.5288956416421)); #41637=CARTESIAN_POINT('Origin',(5.62,1.08403647386748E-14,19.134)); #41638=CARTESIAN_POINT('',(5.85551934618113,1.08403647386748E-14,22.6982270743563)); #41639=CARTESIAN_POINT('',(4.17760609211292,1.06637224304344E-14,22.4018255483563)); #41640=CARTESIAN_POINT('Origin',(5.01656271914703,1.27757139166832E-14, 22.5500263113563)); #41641=CARTESIAN_POINT('Origin',(5.01656271914703,1.27757139166832E-14, 22.5500263113563)); #41642=CARTESIAN_POINT('',(5.62,1.08403647386748E-14,15.562)); #41643=CARTESIAN_POINT('Origin',(5.62,1.08403647386748E-14,19.134)); #41644=CARTESIAN_POINT('Origin',(5.62,9.56700000000001,16.5705300760115)); #41645=CARTESIAN_POINT('',(5.62,9.56700000000001,20.1425300760115)); #41646=CARTESIAN_POINT('',(5.62,9.56700000000001,12.9985300760115)); #41647=CARTESIAN_POINT('Origin',(5.62,9.56700000000001,16.5705300760115)); #41648=CARTESIAN_POINT('',(5.85551934618138,11.3491135371781,19.6572412672603)); #41649=CARTESIAN_POINT('Origin',(5.01656271914704,11.2750131556781,19.5288956416421)); #41650=CARTESIAN_POINT('Origin',(5.62,16.5705300760115,9.567)); #41651=CARTESIAN_POINT('',(5.62,16.5705300760115,13.139)); #41652=CARTESIAN_POINT('',(5.62,16.5705300760115,5.995)); #41653=CARTESIAN_POINT('Origin',(5.62,16.5705300760115,9.567)); #41654=CARTESIAN_POINT('',(5.85551934618135,19.6572412672603,11.3491135371781)); #41655=CARTESIAN_POINT('Origin',(5.01656271914704,19.5288956416421,11.2750131556781)); #41656=CARTESIAN_POINT('Origin',(5.62,19.134,-8.2746651327778E-16)); #41657=CARTESIAN_POINT('',(5.62,19.134,3.572)); #41658=CARTESIAN_POINT('',(5.62,19.134,-3.572)); #41659=CARTESIAN_POINT('Origin',(5.62,19.134,-8.2746651327778E-16)); #41660=CARTESIAN_POINT('',(5.85551934618113,22.6982270743563,-8.2746651327778E-16)); #41661=CARTESIAN_POINT('Origin',(5.01656271914703,22.5500263113563,-9.75195549606993E-16)); #41662=CARTESIAN_POINT('Origin',(5.62,16.5705300760115,-9.567)); #41663=CARTESIAN_POINT('',(5.62,16.5705300760115,-5.995)); #41664=CARTESIAN_POINT('',(5.62,16.5705300760115,-13.139)); #41665=CARTESIAN_POINT('Origin',(5.62,16.5705300760115,-9.567)); #41666=CARTESIAN_POINT('',(5.85551934618135,19.6572412672603,-11.3491135371781)); #41667=CARTESIAN_POINT('Origin',(5.01656271914704,19.5288956416421,-11.2750131556781)); #41668=CARTESIAN_POINT('Origin',(5.62,9.567,-16.5705300760115)); #41669=CARTESIAN_POINT('',(5.62,9.567,-12.9985300760115)); #41670=CARTESIAN_POINT('',(5.62,9.567,-20.1425300760115)); #41671=CARTESIAN_POINT('Origin',(5.62,9.567,-16.5705300760115)); #41672=CARTESIAN_POINT('',(5.85551934618135,11.3491135371781,-19.6572412672603)); #41673=CARTESIAN_POINT('Origin',(5.01656271914704,11.2750131556781,-19.5288956416421)); #41674=CARTESIAN_POINT('Origin',(5.62,0.,-19.134)); #41675=CARTESIAN_POINT('',(5.85551934618113,0.,-22.6982270743563)); #41676=CARTESIAN_POINT('',(4.17760609211292,-1.76642308240356E-16,-22.4018255483563)); #41677=CARTESIAN_POINT('Origin',(5.01656271914703,0.,-22.5500263113563)); #41678=CARTESIAN_POINT('Origin',(5.01656271914703,0.,-22.5500263113563)); #41679=CARTESIAN_POINT('',(5.62,-2.67857097183408E-32,-15.562)); #41680=CARTESIAN_POINT('Origin',(5.62,0.,-19.134)); #41681=CARTESIAN_POINT('Origin',(8.99999999984088,0.,0.)); #41682=CARTESIAN_POINT('Origin',(8.99999999984088,0.,0.)); #41683=CARTESIAN_POINT('Origin',(8.99999999984088,0.,0.)); #41684=CARTESIAN_POINT('',(8.99999999984088,-1.71834984608781E-31,22.9150010015335)); #41685=CARTESIAN_POINT('Origin',(8.99999999984088,0.,0.)); #41686=CARTESIAN_POINT('',(8.99999999984088,-1.71834984608781E-31,-22.9150010015335)); #41687=CARTESIAN_POINT('Origin',(8.99999999984088,0.,0.)); #41688=CARTESIAN_POINT('Origin',(12.38,0.,0.)); #41689=CARTESIAN_POINT('',(14.8335638952344,-3.02171636174767E-15,-16.450001)); #41690=CARTESIAN_POINT('',(14.8335638952344,-2.01454410706208E-15,16.450001)); #41691=CARTESIAN_POINT('Origin',(14.8335638952344,0.,0.)); #41692=CARTESIAN_POINT('Origin',(14.8335638952344,0.,0.)); #41693=CARTESIAN_POINT('',(9.92643610476561,1.00723878724922E-15,16.450001)); #41694=CARTESIAN_POINT('Origin',(12.38,-2.33246223242371E-15,19.0459995)); #41695=CARTESIAN_POINT('',(9.92643610476561,-2.01454410706208E-15,-16.450001)); #41696=CARTESIAN_POINT('Origin',(9.92643610476561,0.,0.)); #41697=CARTESIAN_POINT('Origin',(9.92643610476561,0.,0.)); #41698=CARTESIAN_POINT('Origin',(5.62,0.,0.)); #41699=CARTESIAN_POINT('',(8.07356389523439,-3.02171636174767E-15,-16.450001)); #41700=CARTESIAN_POINT('',(8.07356389523439,-2.01454410706208E-15,16.450001)); #41701=CARTESIAN_POINT('Origin',(8.07356389523439,0.,0.)); #41702=CARTESIAN_POINT('Origin',(8.07356389523439,0.,0.)); #41703=CARTESIAN_POINT('',(3.16643610476561,1.00723878724922E-15,16.450001)); #41704=CARTESIAN_POINT('Origin',(5.62,-2.33246223242371E-15,19.0459995)); #41705=CARTESIAN_POINT('',(3.16643610476561,-2.01454410706208E-15,-16.450001)); #41706=CARTESIAN_POINT('Origin',(3.16643610476561,0.,0.)); #41707=CARTESIAN_POINT('Origin',(3.16643610476561,0.,0.)); #41708=CARTESIAN_POINT('Origin',(18.1583218052383,0.,0.)); #41709=CARTESIAN_POINT('',(18.1583218052383,-2.01454410706208E-15,-16.450001)); #41710=CARTESIAN_POINT('Origin',(10.0190797152422,0.,0.)); #41711=CARTESIAN_POINT('',(10.0190797152422,-2.01454410706208E-15,-16.450001)); #41712=CARTESIAN_POINT('Origin',(3.32475791000389,0.,0.)); #41713=CARTESIAN_POINT('',(3.32475791000389,-2.01454410706208E-15,-16.450001)); #41714=CARTESIAN_POINT('',(0.,0.,0.)); #41715=CARTESIAN_POINT('Origin',(2.,-16.0423852971968,0.)); #41716=CARTESIAN_POINT('',(5.,-16.0423852971968,1.5)); #41717=CARTESIAN_POINT('',(2.52094453300079,-18.9968085562334,1.5)); #41718=CARTESIAN_POINT('Origin',(2.,-16.0423852971968,1.5)); #41719=CARTESIAN_POINT('',(2.52094453300079,-18.9968085562334,0.)); #41720=CARTESIAN_POINT('',(2.52094453300079,-18.9968085562334,0.)); #41721=CARTESIAN_POINT('',(5.,-16.0423852971968,0.)); #41722=CARTESIAN_POINT('Origin',(2.,-16.0423852971968,0.)); #41723=CARTESIAN_POINT('',(5.,-16.0423852971968,0.)); #41724=CARTESIAN_POINT('Origin',(5.,-15.2093044496551,0.)); #41725=CARTESIAN_POINT('',(5.,-15.2093044496551,0.)); #41726=CARTESIAN_POINT('',(5.,-18.5596841907286,0.)); #41727=CARTESIAN_POINT('',(5.,-15.2093044496551,1.5)); #41728=CARTESIAN_POINT('',(5.,-15.2093044496551,0.)); #41729=CARTESIAN_POINT('',(5.,-18.5596841907286,1.5)); #41730=CARTESIAN_POINT('Origin',(3.7531470861619E-16,-2.04472033387507, 0.)); #41731=CARTESIAN_POINT('',(1.,-19.2649921135625,0.)); #41732=CARTESIAN_POINT('',(1.,-19.2649921135625,0.)); #41733=CARTESIAN_POINT('',(1.,-14.2649921135625,0.)); #41734=CARTESIAN_POINT('',(1.,-14.2649921135625,0.)); #41735=CARTESIAN_POINT('',(-0.999999999999998,-14.2649921135625,0.)); #41736=CARTESIAN_POINT('Origin',(0.,0.,0.)); #41737=CARTESIAN_POINT('',(-0.999999999999998,-19.2649921135625,0.)); #41738=CARTESIAN_POINT('',(-0.999999999999998,-19.2649921135625,0.)); #41739=CARTESIAN_POINT('',(-2.52094453300079,-18.9968085562334,0.)); #41740=CARTESIAN_POINT('',(-5.,-18.5596841907286,0.)); #41741=CARTESIAN_POINT('',(-5.,-16.0423852971968,0.)); #41742=CARTESIAN_POINT('Origin',(-2.,-16.0423852971968,0.)); #41743=CARTESIAN_POINT('',(-5.,-15.2093044496551,0.)); #41744=CARTESIAN_POINT('',(-5.,-15.2093044496551,0.)); #41745=CARTESIAN_POINT('Origin',(-1.12259289921841E-16,0.916666666666668, 0.)); #41746=CARTESIAN_POINT('',(1.6,-16.698159067686,0.)); #41747=CARTESIAN_POINT('Origin',(2.6,-16.698159067686,0.)); #41748=CARTESIAN_POINT('',(-3.6,-16.698159067686,0.)); #41749=CARTESIAN_POINT('Origin',(-2.6,-16.698159067686,0.)); #41750=CARTESIAN_POINT('Origin',(-2.,-16.0423852971968,0.)); #41751=CARTESIAN_POINT('',(-2.52094453300079,-18.9968085562334,1.5)); #41752=CARTESIAN_POINT('',(-5.,-16.0423852971968,1.5)); #41753=CARTESIAN_POINT('Origin',(-2.,-16.0423852971968,1.5)); #41754=CARTESIAN_POINT('',(-5.,-16.0423852971968,0.)); #41755=CARTESIAN_POINT('',(-2.52094453300079,-18.9968085562334,0.)); #41756=CARTESIAN_POINT('Origin',(-5.,-18.5596841907286,0.)); #41757=CARTESIAN_POINT('',(-5.,-15.2093044496551,1.5)); #41758=CARTESIAN_POINT('',(-5.,-15.2093044496551,1.5)); #41759=CARTESIAN_POINT('',(-5.,-15.2093044496551,0.)); #41760=CARTESIAN_POINT('Origin',(2.6,-16.698159067686,-48.6622509279261)); #41761=CARTESIAN_POINT('',(1.6,-16.698159067686,1.5)); #41762=CARTESIAN_POINT('Origin',(2.6,-16.698159067686,1.5)); #41763=CARTESIAN_POINT('',(1.6,-16.698159067686,-48.6622509279261)); #41764=CARTESIAN_POINT('Origin',(-2.6,-16.698159067686,-48.6622509279261)); #41765=CARTESIAN_POINT('',(-3.6,-16.698159067686,1.5)); #41766=CARTESIAN_POINT('Origin',(-2.6,-16.698159067686,1.5)); #41767=CARTESIAN_POINT('',(-3.6,-16.698159067686,-48.6622509279261)); #41768=CARTESIAN_POINT('Origin',(5.,-18.5596841907286,0.)); #41769=CARTESIAN_POINT('',(1.,-19.2649921135625,1.5)); #41770=CARTESIAN_POINT('',(1.,-19.2649921135625,1.5)); #41771=CARTESIAN_POINT('',(1.,-19.2649921135625,0.)); #41772=CARTESIAN_POINT('Origin',(1.,-19.2649921135625,0.)); #41773=CARTESIAN_POINT('',(1.,-14.2649921135625,1.5)); #41774=CARTESIAN_POINT('',(1.,-14.2649921135625,1.5)); #41775=CARTESIAN_POINT('',(1.,-14.2649921135625,0.)); #41776=CARTESIAN_POINT('Origin',(0.,0.,0.)); #41777=CARTESIAN_POINT('',(-0.999999999999998,-14.2649921135625,1.5)); #41778=CARTESIAN_POINT('Origin',(0.,0.,1.5)); #41779=CARTESIAN_POINT('',(-0.999999999999998,-14.2649921135625,0.)); #41780=CARTESIAN_POINT('Origin',(-0.999999999999998,-14.2649921135625,0.)); #41781=CARTESIAN_POINT('',(-0.999999999999998,-19.2649921135625,1.5)); #41782=CARTESIAN_POINT('',(-0.999999999999998,-19.2649921135625,1.5)); #41783=CARTESIAN_POINT('',(-0.999999999999998,-19.2649921135625,0.)); #41784=CARTESIAN_POINT('Origin',(-0.999999999999998,-19.2649921135625,0.)); #41785=CARTESIAN_POINT('',(-5.,-18.5596841907286,1.5)); #41786=CARTESIAN_POINT('Origin',(-1.12259289921841E-16,0.916666666666668, 0.)); #41787=CARTESIAN_POINT('Origin',(-1.12259289921841E-16,0.916666666666668, 1.5)); #41788=CARTESIAN_POINT('Origin',(3.72965547335014E-16,-2.04472033387507, 1.5)); #41789=CARTESIAN_POINT('',(0.,0.,0.)); #41790=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41791=CARTESIAN_POINT('',(42.70483711566,57.34585326704,-11.)); #41792=CARTESIAN_POINT('',(44.84782677903,59.4888429304,-11.)); #41793=CARTESIAN_POINT('',(42.70483711566,57.34585326704,-11.)); #41794=CARTESIAN_POINT('',(59.48884293041,44.84782677902,-11.)); #41795=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41796=CARTESIAN_POINT('',(57.34585326704,42.70483711566,-11.)); #41797=CARTESIAN_POINT('',(59.48884293041,44.84782677902,-11.)); #41798=CARTESIAN_POINT('',(65.65640044016,28.31054717312,-11.)); #41799=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41800=CARTESIAN_POINT('',(68.58377876036,29.09493582996,-11.)); #41801=CARTESIAN_POINT('',(65.65640044016,28.31054717312,-11.)); #41802=CARTESIAN_POINT('',(73.94276260899,9.094935829956,-11.)); #41803=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41804=CARTESIAN_POINT('',(71.01538428878,8.310547173117,-11.)); #41805=CARTESIAN_POINT('',(73.94276260899,9.094935829956,-11.)); #41806=CARTESIAN_POINT('',(71.01538428878,-8.310547173121,-11.)); #41807=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41808=CARTESIAN_POINT('',(73.94276260899,-9.09493582996,-11.)); #41809=CARTESIAN_POINT('',(71.01538428878,-8.310547173121,-11.)); #41810=CARTESIAN_POINT('',(68.58377876036,-29.09493582996,-11.)); #41811=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41812=CARTESIAN_POINT('',(65.65640044016,-28.31054717312,-11.)); #41813=CARTESIAN_POINT('',(68.58377876036,-29.09493582996,-11.)); #41814=CARTESIAN_POINT('',(57.34585326704,-42.70483711566,-11.)); #41815=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41816=CARTESIAN_POINT('',(59.4888429304,-44.84782677903,-11.)); #41817=CARTESIAN_POINT('',(57.34585326704,-42.70483711566,-11.)); #41818=CARTESIAN_POINT('',(44.84782677902,-59.48884293041,-11.)); #41819=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41820=CARTESIAN_POINT('',(42.70483711566,-57.34585326704,-11.)); #41821=CARTESIAN_POINT('',(44.84782677902,-59.48884293041,-11.)); #41822=CARTESIAN_POINT('',(28.31054717312,-65.65640044016,-11.)); #41823=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41824=CARTESIAN_POINT('',(29.09493582996,-68.58377876036,-11.)); #41825=CARTESIAN_POINT('',(28.31054717312,-65.65640044016,-11.)); #41826=CARTESIAN_POINT('',(9.094935829956,-73.94276260899,-11.)); #41827=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41828=CARTESIAN_POINT('',(8.310547173117,-71.01538428878,-11.)); #41829=CARTESIAN_POINT('',(9.094935829956,-73.94276260899,-11.)); #41830=CARTESIAN_POINT('',(-8.310547173121,-71.01538428878,-11.)); #41831=CARTESIAN_POINT('Origin',(0.,1.42108547152E-14,-11.)); #41832=CARTESIAN_POINT('',(-9.09493582996,-73.94276260899,-11.)); #41833=CARTESIAN_POINT('',(-8.310547173121,-71.01538428878,-11.)); #41834=CARTESIAN_POINT('',(-29.09493582996,-68.58377876036,-11.)); #41835=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41836=CARTESIAN_POINT('',(-28.31054717312,-65.65640044016,-11.)); #41837=CARTESIAN_POINT('',(-29.09493582996,-68.58377876036,-11.)); #41838=CARTESIAN_POINT('',(-42.70483711566,-57.34585326704,-11.)); #41839=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41840=CARTESIAN_POINT('',(-44.84782677903,-59.4888429304,-11.)); #41841=CARTESIAN_POINT('',(-42.70483711566,-57.34585326704,-11.)); #41842=CARTESIAN_POINT('',(-59.48884293041,-44.84782677902,-11.)); #41843=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41844=CARTESIAN_POINT('',(-57.34585326704,-42.70483711566,-11.)); #41845=CARTESIAN_POINT('',(-59.48884293041,-44.84782677902,-11.)); #41846=CARTESIAN_POINT('',(-65.65640044016,-28.31054717312,-11.)); #41847=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41848=CARTESIAN_POINT('',(-68.58377876036,-29.09493582996,-11.)); #41849=CARTESIAN_POINT('',(-65.65640044016,-28.31054717312,-11.)); #41850=CARTESIAN_POINT('',(-73.94276260899,-9.094935829956,-11.)); #41851=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41852=CARTESIAN_POINT('',(-71.01538428878,-8.310547173117,-11.)); #41853=CARTESIAN_POINT('',(-73.94276260899,-9.094935829956,-11.)); #41854=CARTESIAN_POINT('',(-71.01538428878,8.310547173121,-11.)); #41855=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41856=CARTESIAN_POINT('',(-73.94276260899,9.09493582996,-11.)); #41857=CARTESIAN_POINT('',(-71.01538428878,8.310547173121,-11.)); #41858=CARTESIAN_POINT('',(-68.58377876036,29.09493582996,-11.)); #41859=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41860=CARTESIAN_POINT('',(-65.65640044016,28.31054717312,-11.)); #41861=CARTESIAN_POINT('',(-68.58377876036,29.09493582996,-11.)); #41862=CARTESIAN_POINT('',(-57.34585326704,42.70483711566,-11.)); #41863=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41864=CARTESIAN_POINT('',(-59.4888429304,44.84782677903,-11.)); #41865=CARTESIAN_POINT('',(-57.34585326704,42.70483711566,-11.)); #41866=CARTESIAN_POINT('',(-44.84782677902,59.48884293041,-11.)); #41867=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41868=CARTESIAN_POINT('',(-42.70483711566,57.34585326704,-11.)); #41869=CARTESIAN_POINT('',(-44.84782677902,59.48884293041,-11.)); #41870=CARTESIAN_POINT('',(-28.31054717312,65.65640044016,-11.)); #41871=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41872=CARTESIAN_POINT('',(-29.09493582996,68.58377876036,-11.)); #41873=CARTESIAN_POINT('',(-28.31054717312,65.65640044016,-11.)); #41874=CARTESIAN_POINT('',(-9.094935829956,73.94276260899,-11.)); #41875=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41876=CARTESIAN_POINT('',(-8.310547173117,71.01538428878,-11.)); #41877=CARTESIAN_POINT('',(-9.094935829956,73.94276260899,-11.)); #41878=CARTESIAN_POINT('',(8.310547173121,71.01538428878,-11.)); #41879=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41880=CARTESIAN_POINT('',(9.09493582996,73.94276260899,-11.)); #41881=CARTESIAN_POINT('',(8.310547173121,71.01538428878,-11.)); #41882=CARTESIAN_POINT('',(29.09493582996,68.58377876036,-11.)); #41883=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41884=CARTESIAN_POINT('',(28.31054717312,65.65640044016,-11.)); #41885=CARTESIAN_POINT('',(29.09493582996,68.58377876036,-11.)); #41886=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41887=CARTESIAN_POINT('',(-48.9968987408086,-0.551283759010113,-11.)); #41888=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41889=CARTESIAN_POINT('Origin',(42.70483711566,57.34585326704,-72.4)); #41890=CARTESIAN_POINT('',(42.70483711566,57.34585326704,11.)); #41891=CARTESIAN_POINT('',(42.70483711566,57.34585326704,-11.)); #41892=CARTESIAN_POINT('',(44.84782677903,59.4888429304,11.)); #41893=CARTESIAN_POINT('',(42.70483711566,57.34585326704,11.)); #41894=CARTESIAN_POINT('',(44.84782677903,59.4888429304,-11.)); #41895=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41896=CARTESIAN_POINT('',(-9.094935829956,73.94276260899,11.)); #41897=CARTESIAN_POINT('',(-29.09493582996,68.58377876036,11.)); #41898=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41899=CARTESIAN_POINT('',(-9.094935829956,73.94276260899,-11.)); #41900=CARTESIAN_POINT('',(-29.09493582996,68.58377876036,-11.)); #41901=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41902=CARTESIAN_POINT('',(-44.84782677902,59.48884293041,11.)); #41903=CARTESIAN_POINT('',(-59.4888429304,44.84782677903,11.)); #41904=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41905=CARTESIAN_POINT('',(-44.84782677902,59.48884293041,-11.)); #41906=CARTESIAN_POINT('',(-59.4888429304,44.84782677903,-11.)); #41907=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41908=CARTESIAN_POINT('',(-68.58377876036,29.09493582996,11.)); #41909=CARTESIAN_POINT('',(-73.94276260899,9.09493582996,11.)); #41910=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41911=CARTESIAN_POINT('',(-68.58377876036,29.09493582996,-11.)); #41912=CARTESIAN_POINT('',(-73.94276260899,9.09493582996,-11.)); #41913=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41914=CARTESIAN_POINT('',(-73.94276260899,-9.094935829956,11.)); #41915=CARTESIAN_POINT('',(-68.58377876036,-29.09493582996,11.)); #41916=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41917=CARTESIAN_POINT('',(-73.94276260899,-9.094935829956,-11.)); #41918=CARTESIAN_POINT('',(-68.58377876036,-29.09493582996,-11.)); #41919=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41920=CARTESIAN_POINT('',(-59.48884293041,-44.84782677902,11.)); #41921=CARTESIAN_POINT('',(-44.84782677903,-59.4888429304,11.)); #41922=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41923=CARTESIAN_POINT('',(-59.48884293041,-44.84782677902,-11.)); #41924=CARTESIAN_POINT('',(-44.84782677903,-59.4888429304,-11.)); #41925=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41926=CARTESIAN_POINT('',(-29.09493582996,-68.58377876036,11.)); #41927=CARTESIAN_POINT('',(-9.09493582996,-73.94276260899,11.)); #41928=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41929=CARTESIAN_POINT('',(-29.09493582996,-68.58377876036,-11.)); #41930=CARTESIAN_POINT('',(-9.09493582996,-73.94276260899,-11.)); #41931=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41932=CARTESIAN_POINT('',(9.094935829956,-73.94276260899,11.)); #41933=CARTESIAN_POINT('',(29.09493582996,-68.58377876036,11.)); #41934=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41935=CARTESIAN_POINT('',(9.094935829956,-73.94276260899,-11.)); #41936=CARTESIAN_POINT('',(29.09493582996,-68.58377876036,-11.)); #41937=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41938=CARTESIAN_POINT('',(44.84782677902,-59.48884293041,11.)); #41939=CARTESIAN_POINT('',(59.4888429304,-44.84782677903,11.)); #41940=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41941=CARTESIAN_POINT('',(44.84782677902,-59.48884293041,-11.)); #41942=CARTESIAN_POINT('',(59.4888429304,-44.84782677903,-11.)); #41943=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41944=CARTESIAN_POINT('',(73.94276260899,-9.09493582996,11.)); #41945=CARTESIAN_POINT('',(73.94276260899,-9.09493582996,-11.)); #41946=CARTESIAN_POINT('',(68.58377876036,-29.09493582996,11.)); #41947=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41948=CARTESIAN_POINT('',(68.58377876036,-29.09493582996,-11.)); #41949=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41950=CARTESIAN_POINT('',(68.58377876036,29.09493582996,11.)); #41951=CARTESIAN_POINT('',(68.58377876036,29.09493582996,-11.)); #41952=CARTESIAN_POINT('',(73.94276260899,9.094935829956,11.)); #41953=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41954=CARTESIAN_POINT('',(73.94276260899,9.094935829956,-11.)); #41955=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41956=CARTESIAN_POINT('',(59.48884293041,44.84782677902,11.)); #41957=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41958=CARTESIAN_POINT('',(59.48884293041,44.84782677902,-11.)); #41959=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #41960=CARTESIAN_POINT('',(29.09493582996,68.58377876036,11.)); #41961=CARTESIAN_POINT('',(29.09493582996,68.58377876036,-11.)); #41962=CARTESIAN_POINT('',(9.09493582996,73.94276260899,11.)); #41963=CARTESIAN_POINT('',(9.09493582996,73.94276260899,-11.)); #41964=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41965=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41966=CARTESIAN_POINT('',(28.31054717312,65.65640044016,11.)); #41967=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41968=CARTESIAN_POINT('',(29.09493582996,68.58377876036,11.)); #41969=CARTESIAN_POINT('',(8.310547173121,71.01538428878,11.)); #41970=CARTESIAN_POINT('',(8.310547173121,71.01538428878,11.)); #41971=CARTESIAN_POINT('',(-8.310547173117,71.01538428878,11.)); #41972=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41973=CARTESIAN_POINT('',(-9.094935829956,73.94276260899,11.)); #41974=CARTESIAN_POINT('',(-28.31054717312,65.65640044016,11.)); #41975=CARTESIAN_POINT('',(-28.31054717312,65.65640044016,11.)); #41976=CARTESIAN_POINT('',(-42.70483711566,57.34585326704,11.)); #41977=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41978=CARTESIAN_POINT('',(-44.84782677902,59.48884293041,11.)); #41979=CARTESIAN_POINT('',(-57.34585326704,42.70483711566,11.)); #41980=CARTESIAN_POINT('',(-57.34585326704,42.70483711566,11.)); #41981=CARTESIAN_POINT('',(-65.65640044016,28.31054717312,11.)); #41982=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41983=CARTESIAN_POINT('',(-68.58377876036,29.09493582996,11.)); #41984=CARTESIAN_POINT('',(-71.01538428878,8.310547173121,11.)); #41985=CARTESIAN_POINT('',(-71.01538428878,8.310547173121,11.)); #41986=CARTESIAN_POINT('',(-71.01538428878,-8.310547173117,11.)); #41987=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41988=CARTESIAN_POINT('',(-73.94276260899,-9.094935829956,11.)); #41989=CARTESIAN_POINT('',(-65.65640044016,-28.31054717312,11.)); #41990=CARTESIAN_POINT('',(-65.65640044016,-28.31054717312,11.)); #41991=CARTESIAN_POINT('',(-57.34585326704,-42.70483711566,11.)); #41992=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41993=CARTESIAN_POINT('',(-59.48884293041,-44.84782677902,11.)); #41994=CARTESIAN_POINT('',(-42.70483711566,-57.34585326704,11.)); #41995=CARTESIAN_POINT('',(-42.70483711566,-57.34585326704,11.)); #41996=CARTESIAN_POINT('',(-28.31054717312,-65.65640044016,11.)); #41997=CARTESIAN_POINT('Origin',(0.,0.,11.)); #41998=CARTESIAN_POINT('',(-29.09493582996,-68.58377876036,11.)); #41999=CARTESIAN_POINT('',(-8.310547173121,-71.01538428878,11.)); #42000=CARTESIAN_POINT('',(-8.310547173121,-71.01538428878,11.)); #42001=CARTESIAN_POINT('',(8.310547173117,-71.01538428878,11.)); #42002=CARTESIAN_POINT('Origin',(0.,1.42108547152E-14,11.)); #42003=CARTESIAN_POINT('',(9.094935829956,-73.94276260899,11.)); #42004=CARTESIAN_POINT('',(28.31054717312,-65.65640044016,11.)); #42005=CARTESIAN_POINT('',(28.31054717312,-65.65640044016,11.)); #42006=CARTESIAN_POINT('',(42.70483711566,-57.34585326704,11.)); #42007=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42008=CARTESIAN_POINT('',(44.84782677902,-59.48884293041,11.)); #42009=CARTESIAN_POINT('',(57.34585326704,-42.70483711566,11.)); #42010=CARTESIAN_POINT('',(57.34585326704,-42.70483711566,11.)); #42011=CARTESIAN_POINT('',(65.65640044016,-28.31054717312,11.)); #42012=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42013=CARTESIAN_POINT('',(68.58377876036,-29.09493582996,11.)); #42014=CARTESIAN_POINT('',(71.01538428878,-8.310547173121,11.)); #42015=CARTESIAN_POINT('',(71.01538428878,-8.310547173121,11.)); #42016=CARTESIAN_POINT('',(71.01538428878,8.310547173117,11.)); #42017=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42018=CARTESIAN_POINT('',(73.94276260899,9.094935829956,11.)); #42019=CARTESIAN_POINT('',(65.65640044016,28.31054717312,11.)); #42020=CARTESIAN_POINT('',(65.65640044016,28.31054717312,11.)); #42021=CARTESIAN_POINT('',(57.34585326704,42.70483711566,11.)); #42022=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42023=CARTESIAN_POINT('',(59.48884293041,44.84782677902,11.)); #42024=CARTESIAN_POINT('',(-5.685,66.,11.)); #42025=CARTESIAN_POINT('',(-6.315,65.37,11.)); #42026=CARTESIAN_POINT('Origin',(-5.685,65.37,11.)); #42027=CARTESIAN_POINT('',(5.685,66.,11.)); #42028=CARTESIAN_POINT('',(5.685,66.,11.)); #42029=CARTESIAN_POINT('',(6.315,65.37,11.)); #42030=CARTESIAN_POINT('Origin',(5.685,65.37,11.)); #42031=CARTESIAN_POINT('',(6.315,60.63,11.)); #42032=CARTESIAN_POINT('',(6.315,60.63,11.)); #42033=CARTESIAN_POINT('',(5.685,60.,11.)); #42034=CARTESIAN_POINT('Origin',(5.685,60.63,11.)); #42035=CARTESIAN_POINT('',(-5.685,60.,11.)); #42036=CARTESIAN_POINT('',(-5.685,60.,11.)); #42037=CARTESIAN_POINT('',(-6.315,60.63,11.)); #42038=CARTESIAN_POINT('Origin',(-5.685,60.63,11.)); #42039=CARTESIAN_POINT('',(-6.315,65.37,11.)); #42040=CARTESIAN_POINT('',(48.9984631769114,-0.388080276310895,11.)); #42041=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42042=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42043=CARTESIAN_POINT('',(-4.250833371282,64.93297877669,11.)); #42044=CARTESIAN_POINT('',(-4.290625,65.01425536275,11.)); #42045=CARTESIAN_POINT('',(-4.250833371282,64.93297877669,11.)); #42046=CARTESIAN_POINT('',(-4.012083371282,64.93297877669,11.)); #42047=CARTESIAN_POINT('',(-4.012083371282,64.93297877669,11.)); #42048=CARTESIAN_POINT('',(-4.051875,65.05489365578,11.)); #42049=CARTESIAN_POINT('',(-4.051875,65.05489365578,11.)); #42050=CARTESIAN_POINT('',(-4.17125,65.21744682789,11.)); #42051=CARTESIAN_POINT('',(-4.17125,65.21744682789,11.)); #42052=CARTESIAN_POINT('',(-4.330416628718,65.33936170697,11.)); #42053=CARTESIAN_POINT('',(-4.330416628718,65.33936170697,11.)); #42054=CARTESIAN_POINT('',(-4.529375,65.38,11.)); #42055=CARTESIAN_POINT('',(-4.529375,65.38,11.)); #42056=CARTESIAN_POINT('',(-4.728333314359,65.38,11.)); #42057=CARTESIAN_POINT('',(-4.728333314359,65.38,11.)); #42058=CARTESIAN_POINT('',(-4.8875,65.33936170697,11.)); #42059=CARTESIAN_POINT('',(-4.8875,65.33936170697,11.)); #42060=CARTESIAN_POINT('',(-5.04666665718,65.25808512092,11.)); #42061=CARTESIAN_POINT('',(-5.04666665718,65.25808512092,11.)); #42062=CARTESIAN_POINT('',(-5.20583332859,65.0955319488,11.)); #42063=CARTESIAN_POINT('',(-5.20583332859,65.0955319488,11.)); #42064=CARTESIAN_POINT('',(-5.245625,64.93297877669,11.)); #42065=CARTESIAN_POINT('',(-5.245625,64.93297877669,11.)); #42066=CARTESIAN_POINT('',(-5.245625,64.77042549074,11.)); #42067=CARTESIAN_POINT('',(-5.245625,64.77042549074,11.)); #42068=CARTESIAN_POINT('',(-5.20583332859,64.60787231863,11.)); #42069=CARTESIAN_POINT('',(-5.20583332859,64.60787231863,11.)); #42070=CARTESIAN_POINT('',(-5.04666665718,64.44531914651,11.)); #42071=CARTESIAN_POINT('',(-5.04666665718,64.44531914651,11.)); #42072=CARTESIAN_POINT('',(-4.8875,64.36404256046,11.)); #42073=CARTESIAN_POINT('',(-4.8875,64.36404256046,11.)); #42074=CARTESIAN_POINT('',(-4.728333314359,64.32340426743,11.)); #42075=CARTESIAN_POINT('',(-4.728333314359,64.32340426743,11.)); #42076=CARTESIAN_POINT('',(-4.489583314359,64.32340426743,11.)); #42077=CARTESIAN_POINT('',(-4.489583314359,64.32340426743,11.)); #42078=CARTESIAN_POINT('',(-4.330416628718,64.2827659744,11.)); #42079=CARTESIAN_POINT('',(-4.330416628718,64.2827659744,11.)); #42080=CARTESIAN_POINT('',(-4.211041628718,64.20148938835,11.)); #42081=CARTESIAN_POINT('',(-4.211041628718,64.20148938835,11.)); #42082=CARTESIAN_POINT('',(-4.131458371282,64.07957445234,11.)); #42083=CARTESIAN_POINT('',(-4.131458371282,64.07957445234,11.)); #42084=CARTESIAN_POINT('',(-4.131458371282,63.95765957326,11.)); #42085=CARTESIAN_POINT('',(-4.131458371282,63.95765957326,11.)); #42086=CARTESIAN_POINT('',(-4.211041628718,63.83574469417,11.)); #42087=CARTESIAN_POINT('',(-4.211041628718,63.83574469417,11.)); #42088=CARTESIAN_POINT('',(-4.330416628718,63.75446807966,11.)); #42089=CARTESIAN_POINT('',(-4.330416628718,63.75446807966,11.)); #42090=CARTESIAN_POINT('',(-4.489583314359,63.71382978663,11.)); #42091=CARTESIAN_POINT('',(-4.489583314359,63.71382978663,11.)); #42092=CARTESIAN_POINT('',(-4.807916685641,63.71382978663,11.)); #42093=CARTESIAN_POINT('',(-4.807916685641,63.71382978663,11.)); #42094=CARTESIAN_POINT('',(-4.96708334282,63.75446807966,11.)); #42095=CARTESIAN_POINT('',(-4.96708334282,63.75446807966,11.)); #42096=CARTESIAN_POINT('',(-5.08645834282,63.8763829872,11.)); #42097=CARTESIAN_POINT('',(-5.08645834282,63.8763829872,11.)); #42098=CARTESIAN_POINT('',(-5.12625,63.99829786628,11.)); #42099=CARTESIAN_POINT('',(-5.12625,63.99829786628,11.)); #42100=CARTESIAN_POINT('',(-5.365,63.99829786628,11.)); #42101=CARTESIAN_POINT('',(-5.365,63.99829786628,11.)); #42102=CARTESIAN_POINT('',(-5.325208332147,63.83574469417,11.)); #42103=CARTESIAN_POINT('',(-5.325208332147,63.83574469417,11.)); #42104=CARTESIAN_POINT('',(-5.20583332859,63.63255318634,11.)); #42105=CARTESIAN_POINT('',(-5.20583332859,63.63255318634,11.)); #42106=CARTESIAN_POINT('',(-5.006875,63.51063829659,11.)); #42107=CARTESIAN_POINT('',(-5.006875,63.51063829659,11.)); #42108=CARTESIAN_POINT('',(-4.807916685641,63.47,11.)); #42109=CARTESIAN_POINT('',(-4.807916685641,63.47,11.)); #42110=CARTESIAN_POINT('',(-4.489583314359,63.47,11.)); #42111=CARTESIAN_POINT('',(-4.489583314359,63.47,11.)); #42112=CARTESIAN_POINT('',(-4.290625,63.51063829659,11.)); #42113=CARTESIAN_POINT('',(-4.290625,63.51063829659,11.)); #42114=CARTESIAN_POINT('',(-4.131458371282,63.59191489331,11.)); #42115=CARTESIAN_POINT('',(-4.131458371282,63.59191489331,11.)); #42116=CARTESIAN_POINT('',(-3.972291628718,63.75446807966,11.)); #42117=CARTESIAN_POINT('',(-3.972291628718,63.75446807966,11.)); #42118=CARTESIAN_POINT('',(-3.892708371282,63.91702128023,11.)); #42119=CARTESIAN_POINT('',(-3.892708371282,63.91702128023,11.)); #42120=CARTESIAN_POINT('',(-3.892708371282,64.12021274537,11.)); #42121=CARTESIAN_POINT('',(-3.892708371282,64.12021274537,11.)); #42122=CARTESIAN_POINT('',(-3.972291628718,64.2827659744,11.)); #42123=CARTESIAN_POINT('',(-3.972291628718,64.2827659744,11.)); #42124=CARTESIAN_POINT('',(-4.131458371282,64.44531914651,11.)); #42125=CARTESIAN_POINT('',(-4.131458371282,64.44531914651,11.)); #42126=CARTESIAN_POINT('',(-4.290625,64.52659573257,11.)); #42127=CARTESIAN_POINT('',(-4.290625,64.52659573257,11.)); #42128=CARTESIAN_POINT('',(-4.489583314359,64.5672340256,11.)); #42129=CARTESIAN_POINT('',(-4.489583314359,64.5672340256,11.)); #42130=CARTESIAN_POINT('',(-4.728333314359,64.5672340256,11.)); #42131=CARTESIAN_POINT('',(-4.728333314359,64.5672340256,11.)); #42132=CARTESIAN_POINT('',(-4.847708314359,64.60787231863,11.)); #42133=CARTESIAN_POINT('',(-4.847708314359,64.60787231863,11.)); #42134=CARTESIAN_POINT('',(-4.96708334282,64.68914890468,11.)); #42135=CARTESIAN_POINT('',(-4.96708334282,64.68914890468,11.)); #42136=CARTESIAN_POINT('',(-5.006875,64.81106378376,11.)); #42137=CARTESIAN_POINT('',(-5.006875,64.81106378376,11.)); #42138=CARTESIAN_POINT('',(-5.006875,64.89234036982,11.)); #42139=CARTESIAN_POINT('',(-5.006875,64.89234036982,11.)); #42140=CARTESIAN_POINT('',(-4.96708334282,65.01425536275,11.)); #42141=CARTESIAN_POINT('',(-4.96708334282,65.01425536275,11.)); #42142=CARTESIAN_POINT('',(-4.847708314359,65.0955319488,11.)); #42143=CARTESIAN_POINT('',(-4.847708314359,65.0955319488,11.)); #42144=CARTESIAN_POINT('',(-4.728333314359,65.13617024183,11.)); #42145=CARTESIAN_POINT('',(-4.728333314359,65.13617024183,11.)); #42146=CARTESIAN_POINT('',(-4.489583314359,65.13617024183,11.)); #42147=CARTESIAN_POINT('',(-4.489583314359,65.13617024183,11.)); #42148=CARTESIAN_POINT('',(-4.370208371282,65.0955319488,11.)); #42149=CARTESIAN_POINT('',(-4.370208371282,65.0955319488,11.)); #42150=CARTESIAN_POINT('',(-4.290625,65.01425536275,11.)); #42151=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42152=CARTESIAN_POINT('',(-2.062291742563,65.38,11.)); #42153=CARTESIAN_POINT('',(-2.698958257437,64.44531914651,11.)); #42154=CARTESIAN_POINT('',(-2.062291742563,65.38,11.)); #42155=CARTESIAN_POINT('',(-2.301041742563,65.38,11.)); #42156=CARTESIAN_POINT('',(-2.301041742563,65.38,11.)); #42157=CARTESIAN_POINT('',(-2.818333257437,64.60787231863,11.)); #42158=CARTESIAN_POINT('',(-2.818333257437,64.60787231863,11.)); #42159=CARTESIAN_POINT('',(-3.335625,65.38,11.)); #42160=CARTESIAN_POINT('',(-3.335625,65.38,11.)); #42161=CARTESIAN_POINT('',(-3.574375,65.38,11.)); #42162=CARTESIAN_POINT('',(-3.574375,65.38,11.)); #42163=CARTESIAN_POINT('',(-2.937708257437,64.44531914651,11.)); #42164=CARTESIAN_POINT('',(-2.937708257437,64.44531914651,11.)); #42165=CARTESIAN_POINT('',(-2.937708257437,63.47,11.)); #42166=CARTESIAN_POINT('',(-2.937708257437,63.47,11.)); #42167=CARTESIAN_POINT('',(-2.698958257437,63.47,11.)); #42168=CARTESIAN_POINT('',(-2.698958257437,63.47,11.)); #42169=CARTESIAN_POINT('',(-2.698958257437,64.44531914651,11.)); #42170=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42171=CARTESIAN_POINT('',(-0.6297915148735,64.93297877669,11.)); #42172=CARTESIAN_POINT('',(-0.6695834851265,65.01425536275,11.)); #42173=CARTESIAN_POINT('',(-0.6297915148735,64.93297877669,11.)); #42174=CARTESIAN_POINT('',(-0.3910415148735,64.93297877669,11.)); #42175=CARTESIAN_POINT('',(-0.3910415148735,64.93297877669,11.)); #42176=CARTESIAN_POINT('',(-0.4308334851265,65.05489365578,11.)); #42177=CARTESIAN_POINT('',(-0.4308334851265,65.05489365578,11.)); #42178=CARTESIAN_POINT('',(-0.5502084851265,65.21744682789,11.)); #42179=CARTESIAN_POINT('',(-0.5502084851265,65.21744682789,11.)); #42180=CARTESIAN_POINT('',(-0.709375,65.33936170697,11.)); #42181=CARTESIAN_POINT('',(-0.709375,65.33936170697,11.)); #42182=CARTESIAN_POINT('',(-0.9083334851265,65.38,11.)); #42183=CARTESIAN_POINT('',(-0.9083334851265,65.38,11.)); #42184=CARTESIAN_POINT('',(-1.107291514873,65.38,11.)); #42185=CARTESIAN_POINT('',(-1.107291514873,65.38,11.)); #42186=CARTESIAN_POINT('',(-1.266458485126,65.33936170697,11.)); #42187=CARTESIAN_POINT('',(-1.266458485126,65.33936170697,11.)); #42188=CARTESIAN_POINT('',(-1.425625,65.25808512092,11.)); #42189=CARTESIAN_POINT('',(-1.425625,65.25808512092,11.)); #42190=CARTESIAN_POINT('',(-1.584791742563,65.0955319488,11.)); #42191=CARTESIAN_POINT('',(-1.584791742563,65.0955319488,11.)); #42192=CARTESIAN_POINT('',(-1.624583257437,64.93297877669,11.)); #42193=CARTESIAN_POINT('',(-1.624583257437,64.93297877669,11.)); #42194=CARTESIAN_POINT('',(-1.624583257437,64.77042549074,11.)); #42195=CARTESIAN_POINT('',(-1.624583257437,64.77042549074,11.)); #42196=CARTESIAN_POINT('',(-1.584791742563,64.60787231863,11.)); #42197=CARTESIAN_POINT('',(-1.584791742563,64.60787231863,11.)); #42198=CARTESIAN_POINT('',(-1.425625,64.44531914651,11.)); #42199=CARTESIAN_POINT('',(-1.425625,64.44531914651,11.)); #42200=CARTESIAN_POINT('',(-1.266458485126,64.36404256046,11.)); #42201=CARTESIAN_POINT('',(-1.266458485126,64.36404256046,11.)); #42202=CARTESIAN_POINT('',(-1.107291514873,64.32340426743,11.)); #42203=CARTESIAN_POINT('',(-1.107291514873,64.32340426743,11.)); #42204=CARTESIAN_POINT('',(-0.8685415148735,64.32340426743,11.)); #42205=CARTESIAN_POINT('',(-0.8685415148735,64.32340426743,11.)); #42206=CARTESIAN_POINT('',(-0.709375,64.2827659744,11.)); #42207=CARTESIAN_POINT('',(-0.709375,64.2827659744,11.)); #42208=CARTESIAN_POINT('',(-0.59,64.20148938835,11.)); #42209=CARTESIAN_POINT('',(-0.59,64.20148938835,11.)); #42210=CARTESIAN_POINT('',(-0.5104165148735,64.07957445234,11.)); #42211=CARTESIAN_POINT('',(-0.5104165148735,64.07957445234,11.)); #42212=CARTESIAN_POINT('',(-0.5104165148735,63.95765957326,11.)); #42213=CARTESIAN_POINT('',(-0.5104165148735,63.95765957326,11.)); #42214=CARTESIAN_POINT('',(-0.59,63.83574469417,11.)); #42215=CARTESIAN_POINT('',(-0.59,63.83574469417,11.)); #42216=CARTESIAN_POINT('',(-0.709375,63.75446807966,11.)); #42217=CARTESIAN_POINT('',(-0.709375,63.75446807966,11.)); #42218=CARTESIAN_POINT('',(-0.8685415148735,63.71382978663,11.)); #42219=CARTESIAN_POINT('',(-0.8685415148735,63.71382978663,11.)); #42220=CARTESIAN_POINT('',(-1.186875,63.71382978663,11.)); #42221=CARTESIAN_POINT('',(-1.186875,63.71382978663,11.)); #42222=CARTESIAN_POINT('',(-1.346041514873,63.75446807966,11.)); #42223=CARTESIAN_POINT('',(-1.346041514873,63.75446807966,11.)); #42224=CARTESIAN_POINT('',(-1.465416514873,63.8763829872,11.)); #42225=CARTESIAN_POINT('',(-1.465416514873,63.8763829872,11.)); #42226=CARTESIAN_POINT('',(-1.505208485126,63.99829786628,11.)); #42227=CARTESIAN_POINT('',(-1.505208485126,63.99829786628,11.)); #42228=CARTESIAN_POINT('',(-1.743958257437,63.99829786628,11.)); #42229=CARTESIAN_POINT('',(-1.743958257437,63.99829786628,11.)); #42230=CARTESIAN_POINT('',(-1.704166742563,63.83574469417,11.)); #42231=CARTESIAN_POINT('',(-1.704166742563,63.83574469417,11.)); #42232=CARTESIAN_POINT('',(-1.584791742563,63.63255318634,11.)); #42233=CARTESIAN_POINT('',(-1.584791742563,63.63255318634,11.)); #42234=CARTESIAN_POINT('',(-1.385833485126,63.51063829659,11.)); #42235=CARTESIAN_POINT('',(-1.385833485126,63.51063829659,11.)); #42236=CARTESIAN_POINT('',(-1.186875,63.47,11.)); #42237=CARTESIAN_POINT('',(-1.186875,63.47,11.)); #42238=CARTESIAN_POINT('',(-0.8685415148735,63.47,11.)); #42239=CARTESIAN_POINT('',(-0.8685415148735,63.47,11.)); #42240=CARTESIAN_POINT('',(-0.6695834851265,63.51063829659,11.)); #42241=CARTESIAN_POINT('',(-0.6695834851265,63.51063829659,11.)); #42242=CARTESIAN_POINT('',(-0.5104165148735,63.59191489331,11.)); #42243=CARTESIAN_POINT('',(-0.5104165148735,63.59191489331,11.)); #42244=CARTESIAN_POINT('',(-0.35125,63.75446807966,11.)); #42245=CARTESIAN_POINT('',(-0.35125,63.75446807966,11.)); #42246=CARTESIAN_POINT('',(-0.2716665148735,63.91702128023,11.)); #42247=CARTESIAN_POINT('',(-0.2716665148735,63.91702128023,11.)); #42248=CARTESIAN_POINT('',(-0.2716665148735,64.12021274537,11.)); #42249=CARTESIAN_POINT('',(-0.2716665148735,64.12021274537,11.)); #42250=CARTESIAN_POINT('',(-0.35125,64.2827659744,11.)); #42251=CARTESIAN_POINT('',(-0.35125,64.2827659744,11.)); #42252=CARTESIAN_POINT('',(-0.5104165148735,64.44531914651,11.)); #42253=CARTESIAN_POINT('',(-0.5104165148735,64.44531914651,11.)); #42254=CARTESIAN_POINT('',(-0.6695834851265,64.52659573257,11.)); #42255=CARTESIAN_POINT('',(-0.6695834851265,64.52659573257,11.)); #42256=CARTESIAN_POINT('',(-0.8685415148735,64.5672340256,11.)); #42257=CARTESIAN_POINT('',(-0.8685415148735,64.5672340256,11.)); #42258=CARTESIAN_POINT('',(-1.107291514873,64.5672340256,11.)); #42259=CARTESIAN_POINT('',(-1.107291514873,64.5672340256,11.)); #42260=CARTESIAN_POINT('',(-1.226666514873,64.60787231863,11.)); #42261=CARTESIAN_POINT('',(-1.226666514873,64.60787231863,11.)); #42262=CARTESIAN_POINT('',(-1.346041514873,64.68914890468,11.)); #42263=CARTESIAN_POINT('',(-1.346041514873,64.68914890468,11.)); #42264=CARTESIAN_POINT('',(-1.385833485126,64.81106378376,11.)); #42265=CARTESIAN_POINT('',(-1.385833485126,64.81106378376,11.)); #42266=CARTESIAN_POINT('',(-1.385833485126,64.89234036982,11.)); #42267=CARTESIAN_POINT('',(-1.385833485126,64.89234036982,11.)); #42268=CARTESIAN_POINT('',(-1.346041514873,65.01425536275,11.)); #42269=CARTESIAN_POINT('',(-1.346041514873,65.01425536275,11.)); #42270=CARTESIAN_POINT('',(-1.226666514873,65.0955319488,11.)); #42271=CARTESIAN_POINT('',(-1.226666514873,65.0955319488,11.)); #42272=CARTESIAN_POINT('',(-1.107291514873,65.13617024183,11.)); #42273=CARTESIAN_POINT('',(-1.107291514873,65.13617024183,11.)); #42274=CARTESIAN_POINT('',(-0.8685415148735,65.13617024183,11.)); #42275=CARTESIAN_POINT('',(-0.8685415148735,65.13617024183,11.)); #42276=CARTESIAN_POINT('',(-0.7491665148735,65.0955319488,11.)); #42277=CARTESIAN_POINT('',(-0.7491665148735,65.0955319488,11.)); #42278=CARTESIAN_POINT('',(-0.6695834851265,65.01425536275,11.)); #42279=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42280=CARTESIAN_POINT('',(1.55875,65.38,11.)); #42281=CARTESIAN_POINT('',(1.55875,65.17680853486,11.)); #42282=CARTESIAN_POINT('',(1.55875,65.38,11.)); #42283=CARTESIAN_POINT('',(0.04666651487353,65.38,11.)); #42284=CARTESIAN_POINT('',(0.04666651487353,65.38,11.)); #42285=CARTESIAN_POINT('',(0.04666651487353,65.17680853486,11.)); #42286=CARTESIAN_POINT('',(0.04666651487353,65.17680853486,11.)); #42287=CARTESIAN_POINT('',(0.6833334851265,65.17680853486,11.)); #42288=CARTESIAN_POINT('',(0.6833334851265,65.17680853486,11.)); #42289=CARTESIAN_POINT('',(0.6833334851265,63.47,11.)); #42290=CARTESIAN_POINT('',(0.6833334851265,63.47,11.)); #42291=CARTESIAN_POINT('',(0.9220834851265,63.47,11.)); #42292=CARTESIAN_POINT('',(0.9220834851265,63.47,11.)); #42293=CARTESIAN_POINT('',(0.9220834851265,65.17680853486,11.)); #42294=CARTESIAN_POINT('',(0.9220834851265,65.17680853486,11.)); #42295=CARTESIAN_POINT('',(1.55875,65.17680853486,11.)); #42296=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42297=CARTESIAN_POINT('',(3.309583029747,63.71382978663,11.)); #42298=CARTESIAN_POINT('',(3.309583029747,63.47,11.)); #42299=CARTESIAN_POINT('',(3.309583029747,63.71382978663,11.)); #42300=CARTESIAN_POINT('',(2.115833485127,63.71382978663,11.)); #42301=CARTESIAN_POINT('',(2.115833485127,63.71382978663,11.)); #42302=CARTESIAN_POINT('',(2.115833485127,64.36404256046,11.)); #42303=CARTESIAN_POINT('',(2.115833485127,64.36404256046,11.)); #42304=CARTESIAN_POINT('',(3.150416970253,64.36404256046,11.)); #42305=CARTESIAN_POINT('',(3.150416970253,64.36404256046,11.)); #42306=CARTESIAN_POINT('',(3.150416970253,64.60787231863,11.)); #42307=CARTESIAN_POINT('',(3.150416970253,64.60787231863,11.)); #42308=CARTESIAN_POINT('',(2.115833485127,64.60787231863,11.)); #42309=CARTESIAN_POINT('',(2.115833485127,64.60787231863,11.)); #42310=CARTESIAN_POINT('',(2.115833485127,65.13617024183,11.)); #42311=CARTESIAN_POINT('',(2.115833485127,65.13617024183,11.)); #42312=CARTESIAN_POINT('',(3.309583029747,65.13617024183,11.)); #42313=CARTESIAN_POINT('',(3.309583029747,65.13617024183,11.)); #42314=CARTESIAN_POINT('',(3.309583029747,65.38,11.)); #42315=CARTESIAN_POINT('',(3.309583029747,65.38,11.)); #42316=CARTESIAN_POINT('',(1.877083485127,65.38,11.)); #42317=CARTESIAN_POINT('',(1.877083485127,65.38,11.)); #42318=CARTESIAN_POINT('',(1.877083485127,63.47,11.)); #42319=CARTESIAN_POINT('',(1.877083485127,63.47,11.)); #42320=CARTESIAN_POINT('',(3.309583029747,63.47,11.)); #42321=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42322=CARTESIAN_POINT('',(5.299166970253,64.97361706972,11.)); #42323=CARTESIAN_POINT('',(4.742083029747,63.47,11.)); #42324=CARTESIAN_POINT('',(5.299166970253,64.97361706972,11.)); #42325=CARTESIAN_POINT('',(5.299166970253,63.47,11.)); #42326=CARTESIAN_POINT('',(5.299166970253,63.47,11.)); #42327=CARTESIAN_POINT('',(5.537916970253,63.47,11.)); #42328=CARTESIAN_POINT('',(5.537916970253,63.47,11.)); #42329=CARTESIAN_POINT('',(5.537916970253,65.38,11.)); #42330=CARTESIAN_POINT('',(5.537916970253,65.38,11.)); #42331=CARTESIAN_POINT('',(5.179791970253,65.38,11.)); #42332=CARTESIAN_POINT('',(5.179791970253,65.38,11.)); #42333=CARTESIAN_POINT('',(4.582916970253,63.6731914936,11.)); #42334=CARTESIAN_POINT('',(4.582916970253,63.6731914936,11.)); #42335=CARTESIAN_POINT('',(3.986041970253,65.38,11.)); #42336=CARTESIAN_POINT('',(3.986041970253,65.38,11.)); #42337=CARTESIAN_POINT('',(3.627916970253,65.38,11.)); #42338=CARTESIAN_POINT('',(3.627916970253,65.38,11.)); #42339=CARTESIAN_POINT('',(3.627916970253,63.47,11.)); #42340=CARTESIAN_POINT('',(3.627916970253,63.47,11.)); #42341=CARTESIAN_POINT('',(3.866666970253,63.47,11.)); #42342=CARTESIAN_POINT('',(3.866666970253,63.47,11.)); #42343=CARTESIAN_POINT('',(3.866666970253,64.97361706972,11.)); #42344=CARTESIAN_POINT('',(3.866666970253,64.97361706972,11.)); #42345=CARTESIAN_POINT('',(4.42375,63.47,11.)); #42346=CARTESIAN_POINT('',(4.42375,63.47,11.)); #42347=CARTESIAN_POINT('',(4.742083029747,63.47,11.)); #42348=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42349=CARTESIAN_POINT('',(-2.882708371282,62.09297877669,11.)); #42350=CARTESIAN_POINT('',(-2.882708371282,61.93042549074,11.)); #42351=CARTESIAN_POINT('',(-2.882708371282,62.09297877669,11.)); #42352=CARTESIAN_POINT('',(-2.9225,62.21489365578,11.)); #42353=CARTESIAN_POINT('',(-2.9225,62.21489365578,11.)); #42354=CARTESIAN_POINT('',(-3.041875,62.37744682789,11.)); #42355=CARTESIAN_POINT('',(-3.041875,62.37744682789,11.)); #42356=CARTESIAN_POINT('',(-3.201041628718,62.49936170697,11.)); #42357=CARTESIAN_POINT('',(-3.201041628718,62.49936170697,11.)); #42358=CARTESIAN_POINT('',(-3.4,62.54,11.)); #42359=CARTESIAN_POINT('',(-3.4,62.54,11.)); #42360=CARTESIAN_POINT('',(-4.355,62.54,11.)); #42361=CARTESIAN_POINT('',(-4.355,62.54,11.)); #42362=CARTESIAN_POINT('',(-4.355,60.63,11.)); #42363=CARTESIAN_POINT('',(-4.355,60.63,11.)); #42364=CARTESIAN_POINT('',(-4.11625,60.63,11.)); #42365=CARTESIAN_POINT('',(-4.11625,60.63,11.)); #42366=CARTESIAN_POINT('',(-4.11625,61.48340426743,11.)); #42367=CARTESIAN_POINT('',(-4.11625,61.48340426743,11.)); #42368=CARTESIAN_POINT('',(-3.4,61.48340426743,11.)); #42369=CARTESIAN_POINT('',(-3.4,61.48340426743,11.)); #42370=CARTESIAN_POINT('',(-3.201041628718,61.52404256046,11.)); #42371=CARTESIAN_POINT('',(-3.201041628718,61.52404256046,11.)); #42372=CARTESIAN_POINT('',(-3.041875,61.64595743954,11.)); #42373=CARTESIAN_POINT('',(-3.041875,61.64595743954,11.)); #42374=CARTESIAN_POINT('',(-2.9225,61.80851061165,11.)); #42375=CARTESIAN_POINT('',(-2.9225,61.80851061165,11.)); #42376=CARTESIAN_POINT('',(-2.882708371282,61.93042549074,11.)); #42377=CARTESIAN_POINT('',(-3.360208371282,61.7272340256,11.)); #42378=CARTESIAN_POINT('',(-3.240833371282,61.76787231863,11.)); #42379=CARTESIAN_POINT('',(-3.360208371282,61.7272340256,11.)); #42380=CARTESIAN_POINT('',(-4.11625,61.7272340256,11.)); #42381=CARTESIAN_POINT('',(-4.11625,61.7272340256,11.)); #42382=CARTESIAN_POINT('',(-4.11625,62.33680853486,11.)); #42383=CARTESIAN_POINT('',(-4.11625,62.33680853486,11.)); #42384=CARTESIAN_POINT('',(-3.360208371282,62.33680853486,11.)); #42385=CARTESIAN_POINT('',(-3.360208371282,62.33680853486,11.)); #42386=CARTESIAN_POINT('',(-3.240833371282,62.29617024183,11.)); #42387=CARTESIAN_POINT('',(-3.240833371282,62.29617024183,11.)); #42388=CARTESIAN_POINT('',(-3.16125,62.21489365578,11.)); #42389=CARTESIAN_POINT('',(-3.16125,62.21489365578,11.)); #42390=CARTESIAN_POINT('',(-3.121458371282,62.09297877669,11.)); #42391=CARTESIAN_POINT('',(-3.121458371282,62.09297877669,11.)); #42392=CARTESIAN_POINT('',(-3.121458371282,61.97106378376,11.)); #42393=CARTESIAN_POINT('',(-3.121458371282,61.97106378376,11.)); #42394=CARTESIAN_POINT('',(-3.16125,61.84914890468,11.)); #42395=CARTESIAN_POINT('',(-3.16125,61.84914890468,11.)); #42396=CARTESIAN_POINT('',(-3.240833371282,61.76787231863,11.)); #42397=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42398=CARTESIAN_POINT('',(-2.564375,62.54,11.)); #42399=CARTESIAN_POINT('',(-2.325625,62.54,11.)); #42400=CARTESIAN_POINT('',(-2.564375,62.54,11.)); #42401=CARTESIAN_POINT('',(-2.564375,60.63,11.)); #42402=CARTESIAN_POINT('',(-2.564375,60.63,11.)); #42403=CARTESIAN_POINT('',(-1.131875,60.63,11.)); #42404=CARTESIAN_POINT('',(-1.131875,60.63,11.)); #42405=CARTESIAN_POINT('',(-1.131875,60.87382978663,11.)); #42406=CARTESIAN_POINT('',(-1.131875,60.87382978663,11.)); #42407=CARTESIAN_POINT('',(-2.325625,60.87382978663,11.)); #42408=CARTESIAN_POINT('',(-2.325625,60.87382978663,11.)); #42409=CARTESIAN_POINT('',(-2.325625,62.54,11.)); #42410=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42411=CARTESIAN_POINT('',(0.1414584851265,62.54,11.)); #42412=CARTESIAN_POINT('',(0.778125,60.63,11.)); #42413=CARTESIAN_POINT('',(0.1414584851265,62.54,11.)); #42414=CARTESIAN_POINT('',(-0.176875,62.54,11.)); #42415=CARTESIAN_POINT('',(-0.176875,62.54,11.)); #42416=CARTESIAN_POINT('',(-0.8135417425632,60.63,11.)); #42417=CARTESIAN_POINT('',(-0.8135417425632,60.63,11.)); #42418=CARTESIAN_POINT('',(-0.5747917425632,60.63,11.)); #42419=CARTESIAN_POINT('',(-0.5747917425632,60.63,11.)); #42420=CARTESIAN_POINT('',(-0.415625,61.11765957326,11.)); #42421=CARTESIAN_POINT('',(-0.415625,61.11765957326,11.)); #42422=CARTESIAN_POINT('',(0.3802084851265,61.11765957326,11.)); #42423=CARTESIAN_POINT('',(0.3802084851265,61.11765957326,11.)); #42424=CARTESIAN_POINT('',(0.539375,60.63,11.)); #42425=CARTESIAN_POINT('',(0.539375,60.63,11.)); #42426=CARTESIAN_POINT('',(0.778125,60.63,11.)); #42427=CARTESIAN_POINT('',(-0.01770848512647,62.37744682789,11.)); #42428=CARTESIAN_POINT('',(-0.3758334851265,61.28021274537,11.)); #42429=CARTESIAN_POINT('',(-0.01770848512647,62.37744682789,11.)); #42430=CARTESIAN_POINT('',(0.3404165148735,61.28021274537,11.)); #42431=CARTESIAN_POINT('',(0.3404165148735,61.28021274537,11.)); #42432=CARTESIAN_POINT('',(-0.3758334851265,61.28021274537,11.)); #42433=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42434=CARTESIAN_POINT('',(2.210625,62.09297877669,11.)); #42435=CARTESIAN_POINT('',(2.170833485127,62.17425536275,11.)); #42436=CARTESIAN_POINT('',(2.210625,62.09297877669,11.)); #42437=CARTESIAN_POINT('',(2.449375,62.09297877669,11.)); #42438=CARTESIAN_POINT('',(2.449375,62.09297877669,11.)); #42439=CARTESIAN_POINT('',(2.409583485127,62.21489365578,11.)); #42440=CARTESIAN_POINT('',(2.409583485127,62.21489365578,11.)); #42441=CARTESIAN_POINT('',(2.290208485127,62.37744682789,11.)); #42442=CARTESIAN_POINT('',(2.290208485127,62.37744682789,11.)); #42443=CARTESIAN_POINT('',(2.131041514874,62.49936170697,11.)); #42444=CARTESIAN_POINT('',(2.131041514874,62.49936170697,11.)); #42445=CARTESIAN_POINT('',(1.932083485127,62.54,11.)); #42446=CARTESIAN_POINT('',(1.932083485127,62.54,11.)); #42447=CARTESIAN_POINT('',(1.733125,62.54,11.)); #42448=CARTESIAN_POINT('',(1.733125,62.54,11.)); #42449=CARTESIAN_POINT('',(1.573958485127,62.49936170697,11.)); #42450=CARTESIAN_POINT('',(1.573958485127,62.49936170697,11.)); #42451=CARTESIAN_POINT('',(1.414791514874,62.41808512092,11.)); #42452=CARTESIAN_POINT('',(1.414791514874,62.41808512092,11.)); #42453=CARTESIAN_POINT('',(1.255625,62.2555319488,11.)); #42454=CARTESIAN_POINT('',(1.255625,62.2555319488,11.)); #42455=CARTESIAN_POINT('',(1.215833485127,62.09297877669,11.)); #42456=CARTESIAN_POINT('',(1.215833485127,62.09297877669,11.)); #42457=CARTESIAN_POINT('',(1.215833485127,61.93042549074,11.)); #42458=CARTESIAN_POINT('',(1.215833485127,61.93042549074,11.)); #42459=CARTESIAN_POINT('',(1.255625,61.76787231863,11.)); #42460=CARTESIAN_POINT('',(1.255625,61.76787231863,11.)); #42461=CARTESIAN_POINT('',(1.414791514874,61.60531914651,11.)); #42462=CARTESIAN_POINT('',(1.414791514874,61.60531914651,11.)); #42463=CARTESIAN_POINT('',(1.573958485127,61.52404256046,11.)); #42464=CARTESIAN_POINT('',(1.573958485127,61.52404256046,11.)); #42465=CARTESIAN_POINT('',(1.733125,61.48340426743,11.)); #42466=CARTESIAN_POINT('',(1.733125,61.48340426743,11.)); #42467=CARTESIAN_POINT('',(1.971875,61.48340426743,11.)); #42468=CARTESIAN_POINT('',(1.971875,61.48340426743,11.)); #42469=CARTESIAN_POINT('',(2.131041514874,61.4427659744,11.)); #42470=CARTESIAN_POINT('',(2.131041514874,61.4427659744,11.)); #42471=CARTESIAN_POINT('',(2.250416514874,61.36148938835,11.)); #42472=CARTESIAN_POINT('',(2.250416514874,61.36148938835,11.)); #42473=CARTESIAN_POINT('',(2.33,61.23957445234,11.)); #42474=CARTESIAN_POINT('',(2.33,61.23957445234,11.)); #42475=CARTESIAN_POINT('',(2.33,61.11765957326,11.)); #42476=CARTESIAN_POINT('',(2.33,61.11765957326,11.)); #42477=CARTESIAN_POINT('',(2.250416514874,60.99574469417,11.)); #42478=CARTESIAN_POINT('',(2.250416514874,60.99574469417,11.)); #42479=CARTESIAN_POINT('',(2.131041514874,60.91446807966,11.)); #42480=CARTESIAN_POINT('',(2.131041514874,60.91446807966,11.)); #42481=CARTESIAN_POINT('',(1.971875,60.87382978663,11.)); #42482=CARTESIAN_POINT('',(1.971875,60.87382978663,11.)); #42483=CARTESIAN_POINT('',(1.653541514874,60.87382978663,11.)); #42484=CARTESIAN_POINT('',(1.653541514874,60.87382978663,11.)); #42485=CARTESIAN_POINT('',(1.494375,60.91446807966,11.)); #42486=CARTESIAN_POINT('',(1.494375,60.91446807966,11.)); #42487=CARTESIAN_POINT('',(1.375,61.0363829872,11.)); #42488=CARTESIAN_POINT('',(1.375,61.0363829872,11.)); #42489=CARTESIAN_POINT('',(1.335208485127,61.15829786628,11.)); #42490=CARTESIAN_POINT('',(1.335208485127,61.15829786628,11.)); #42491=CARTESIAN_POINT('',(1.096458485127,61.15829786628,11.)); #42492=CARTESIAN_POINT('',(1.096458485127,61.15829786628,11.)); #42493=CARTESIAN_POINT('',(1.13625,60.99574469417,11.)); #42494=CARTESIAN_POINT('',(1.13625,60.99574469417,11.)); #42495=CARTESIAN_POINT('',(1.255625,60.79255318634,11.)); #42496=CARTESIAN_POINT('',(1.255625,60.79255318634,11.)); #42497=CARTESIAN_POINT('',(1.454583485127,60.67063829659,11.)); #42498=CARTESIAN_POINT('',(1.454583485127,60.67063829659,11.)); #42499=CARTESIAN_POINT('',(1.653541514874,60.63,11.)); #42500=CARTESIAN_POINT('',(1.653541514874,60.63,11.)); #42501=CARTESIAN_POINT('',(1.971875,60.63,11.)); #42502=CARTESIAN_POINT('',(1.971875,60.63,11.)); #42503=CARTESIAN_POINT('',(2.170833485127,60.67063829659,11.)); #42504=CARTESIAN_POINT('',(2.170833485127,60.67063829659,11.)); #42505=CARTESIAN_POINT('',(2.33,60.75191489331,11.)); #42506=CARTESIAN_POINT('',(2.33,60.75191489331,11.)); #42507=CARTESIAN_POINT('',(2.489166514874,60.91446807966,11.)); #42508=CARTESIAN_POINT('',(2.489166514874,60.91446807966,11.)); #42509=CARTESIAN_POINT('',(2.56875,61.07702128023,11.)); #42510=CARTESIAN_POINT('',(2.56875,61.07702128023,11.)); #42511=CARTESIAN_POINT('',(2.56875,61.28021274537,11.)); #42512=CARTESIAN_POINT('',(2.56875,61.28021274537,11.)); #42513=CARTESIAN_POINT('',(2.489166514874,61.4427659744,11.)); #42514=CARTESIAN_POINT('',(2.489166514874,61.4427659744,11.)); #42515=CARTESIAN_POINT('',(2.33,61.60531914651,11.)); #42516=CARTESIAN_POINT('',(2.33,61.60531914651,11.)); #42517=CARTESIAN_POINT('',(2.170833485127,61.68659573257,11.)); #42518=CARTESIAN_POINT('',(2.170833485127,61.68659573257,11.)); #42519=CARTESIAN_POINT('',(1.971875,61.7272340256,11.)); #42520=CARTESIAN_POINT('',(1.971875,61.7272340256,11.)); #42521=CARTESIAN_POINT('',(1.733125,61.7272340256,11.)); #42522=CARTESIAN_POINT('',(1.733125,61.7272340256,11.)); #42523=CARTESIAN_POINT('',(1.61375,61.76787231863,11.)); #42524=CARTESIAN_POINT('',(1.61375,61.76787231863,11.)); #42525=CARTESIAN_POINT('',(1.494375,61.84914890468,11.)); #42526=CARTESIAN_POINT('',(1.494375,61.84914890468,11.)); #42527=CARTESIAN_POINT('',(1.454583485127,61.97106378376,11.)); #42528=CARTESIAN_POINT('',(1.454583485127,61.97106378376,11.)); #42529=CARTESIAN_POINT('',(1.454583485127,62.05234036982,11.)); #42530=CARTESIAN_POINT('',(1.454583485127,62.05234036982,11.)); #42531=CARTESIAN_POINT('',(1.494375,62.17425536275,11.)); #42532=CARTESIAN_POINT('',(1.494375,62.17425536275,11.)); #42533=CARTESIAN_POINT('',(1.61375,62.2555319488,11.)); #42534=CARTESIAN_POINT('',(1.61375,62.2555319488,11.)); #42535=CARTESIAN_POINT('',(1.733125,62.29617024183,11.)); #42536=CARTESIAN_POINT('',(1.733125,62.29617024183,11.)); #42537=CARTESIAN_POINT('',(1.971875,62.29617024183,11.)); #42538=CARTESIAN_POINT('',(1.971875,62.29617024183,11.)); #42539=CARTESIAN_POINT('',(2.09125,62.2555319488,11.)); #42540=CARTESIAN_POINT('',(2.09125,62.2555319488,11.)); #42541=CARTESIAN_POINT('',(2.170833485127,62.17425536275,11.)); #42542=CARTESIAN_POINT('Origin',(0.,0.,11.)); #42543=CARTESIAN_POINT('',(4.399166970253,62.54,11.)); #42544=CARTESIAN_POINT('',(4.399166970253,62.33680853486,11.)); #42545=CARTESIAN_POINT('',(4.399166970253,62.54,11.)); #42546=CARTESIAN_POINT('',(2.887083485127,62.54,11.)); #42547=CARTESIAN_POINT('',(2.887083485127,62.54,11.)); #42548=CARTESIAN_POINT('',(2.887083485127,62.33680853486,11.)); #42549=CARTESIAN_POINT('',(2.887083485127,62.33680853486,11.)); #42550=CARTESIAN_POINT('',(3.52375,62.33680853486,11.)); #42551=CARTESIAN_POINT('',(3.52375,62.33680853486,11.)); #42552=CARTESIAN_POINT('',(3.52375,60.63,11.)); #42553=CARTESIAN_POINT('',(3.52375,60.63,11.)); #42554=CARTESIAN_POINT('',(3.7625,60.63,11.)); #42555=CARTESIAN_POINT('',(3.7625,60.63,11.)); #42556=CARTESIAN_POINT('',(3.7625,62.33680853486,11.)); #42557=CARTESIAN_POINT('',(3.7625,62.33680853486,11.)); #42558=CARTESIAN_POINT('',(4.399166970253,62.33680853486,11.)); #42559=CARTESIAN_POINT('Origin',(59.48884293041,44.84782677902,-72.4)); #42560=CARTESIAN_POINT('',(57.34585326704,42.70483711566,-11.)); #42561=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42562=CARTESIAN_POINT('',(65.65640044016,28.31054717312,-11.)); #42563=CARTESIAN_POINT('Origin',(65.65640044016,28.31054717312,-72.4)); #42564=CARTESIAN_POINT('Origin',(73.94276260899,9.094935829956,-72.4)); #42565=CARTESIAN_POINT('',(71.01538428878,8.310547173117,-11.)); #42566=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42567=CARTESIAN_POINT('',(71.01538428878,-8.310547173121,-11.)); #42568=CARTESIAN_POINT('Origin',(71.01538428878,-8.310547173121,-72.4)); #42569=CARTESIAN_POINT('Origin',(68.58377876036,-29.09493582996,-72.4)); #42570=CARTESIAN_POINT('',(65.65640044016,-28.31054717312,-11.)); #42571=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42572=CARTESIAN_POINT('',(57.34585326704,-42.70483711566,-11.)); #42573=CARTESIAN_POINT('Origin',(57.34585326704,-42.70483711566,-72.4)); #42574=CARTESIAN_POINT('Origin',(44.84782677902,-59.48884293041,-72.4)); #42575=CARTESIAN_POINT('',(42.70483711566,-57.34585326704,-11.)); #42576=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42577=CARTESIAN_POINT('',(28.31054717312,-65.65640044016,-11.)); #42578=CARTESIAN_POINT('Origin',(28.31054717312,-65.65640044016,-72.4)); #42579=CARTESIAN_POINT('Origin',(9.094935829956,-73.94276260899,-72.4)); #42580=CARTESIAN_POINT('',(8.310547173117,-71.01538428878,-11.)); #42581=CARTESIAN_POINT('Origin',(0.,1.42108547152E-14,-72.4)); #42582=CARTESIAN_POINT('',(-8.310547173121,-71.01538428878,-11.)); #42583=CARTESIAN_POINT('Origin',(-8.310547173121,-71.01538428878,-72.4)); #42584=CARTESIAN_POINT('Origin',(-29.09493582996,-68.58377876036,-72.4)); #42585=CARTESIAN_POINT('',(-28.31054717312,-65.65640044016,-11.)); #42586=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42587=CARTESIAN_POINT('',(-42.70483711566,-57.34585326704,-11.)); #42588=CARTESIAN_POINT('Origin',(-42.70483711566,-57.34585326704,-72.4)); #42589=CARTESIAN_POINT('Origin',(-59.48884293041,-44.84782677902,-72.4)); #42590=CARTESIAN_POINT('',(-57.34585326704,-42.70483711566,-11.)); #42591=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42592=CARTESIAN_POINT('',(-65.65640044016,-28.31054717312,-11.)); #42593=CARTESIAN_POINT('Origin',(-65.65640044016,-28.31054717312,-72.4)); #42594=CARTESIAN_POINT('Origin',(-73.94276260899,-9.094935829956,-72.4)); #42595=CARTESIAN_POINT('',(-71.01538428878,-8.310547173117,-11.)); #42596=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42597=CARTESIAN_POINT('',(-71.01538428878,8.310547173121,-11.)); #42598=CARTESIAN_POINT('Origin',(-71.01538428878,8.310547173121,-72.4)); #42599=CARTESIAN_POINT('Origin',(-68.58377876036,29.09493582996,-72.4)); #42600=CARTESIAN_POINT('',(-65.65640044016,28.31054717312,-11.)); #42601=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42602=CARTESIAN_POINT('',(-57.34585326704,42.70483711566,-11.)); #42603=CARTESIAN_POINT('Origin',(-57.34585326704,42.70483711566,-72.4)); #42604=CARTESIAN_POINT('Origin',(-44.84782677902,59.48884293041,-72.4)); #42605=CARTESIAN_POINT('',(-42.70483711566,57.34585326704,-11.)); #42606=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42607=CARTESIAN_POINT('',(-28.31054717312,65.65640044016,-11.)); #42608=CARTESIAN_POINT('Origin',(-28.31054717312,65.65640044016,-72.4)); #42609=CARTESIAN_POINT('Origin',(-9.094935829956,73.94276260899,-72.4)); #42610=CARTESIAN_POINT('',(-8.310547173117,71.01538428878,-11.)); #42611=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42612=CARTESIAN_POINT('',(8.310547173121,71.01538428878,-11.)); #42613=CARTESIAN_POINT('Origin',(8.310547173121,71.01538428878,-72.4)); #42614=CARTESIAN_POINT('Origin',(29.09493582996,68.58377876036,-72.4)); #42615=CARTESIAN_POINT('',(28.31054717312,65.65640044016,-11.)); #42616=CARTESIAN_POINT('Origin',(0.,0.,-72.4)); #42617=CARTESIAN_POINT('Origin',(-5.685,65.37,11.)); #42618=CARTESIAN_POINT('',(-6.315,65.37,10.8)); #42619=CARTESIAN_POINT('',(-6.315,65.37,11.)); #42620=CARTESIAN_POINT('',(-5.685,66.,10.8)); #42621=CARTESIAN_POINT('Origin',(-5.685,65.37,10.8)); #42622=CARTESIAN_POINT('',(-5.685,66.,11.)); #42623=CARTESIAN_POINT('Origin',(5.685,66.,11.)); #42624=CARTESIAN_POINT('',(5.685,66.,10.8)); #42625=CARTESIAN_POINT('',(-5.685,66.,10.8)); #42626=CARTESIAN_POINT('',(5.685,66.,11.)); #42627=CARTESIAN_POINT('Origin',(5.685,65.37,11.)); #42628=CARTESIAN_POINT('',(6.315,65.37,10.8)); #42629=CARTESIAN_POINT('Origin',(5.685,65.37,10.8)); #42630=CARTESIAN_POINT('',(6.315,65.37,11.)); #42631=CARTESIAN_POINT('Origin',(6.315,60.63,11.)); #42632=CARTESIAN_POINT('',(6.315,60.63,10.8)); #42633=CARTESIAN_POINT('',(6.315,65.37,10.8)); #42634=CARTESIAN_POINT('',(6.315,60.63,11.)); #42635=CARTESIAN_POINT('Origin',(5.685,60.63,11.)); #42636=CARTESIAN_POINT('',(5.685,60.,10.8)); #42637=CARTESIAN_POINT('Origin',(5.685,60.63,10.8)); #42638=CARTESIAN_POINT('',(5.685,60.,11.)); #42639=CARTESIAN_POINT('Origin',(-5.685,60.,11.)); #42640=CARTESIAN_POINT('',(-5.685,60.,10.8)); #42641=CARTESIAN_POINT('',(5.685,60.,10.8)); #42642=CARTESIAN_POINT('',(-5.685,60.,11.)); #42643=CARTESIAN_POINT('Origin',(-5.685,60.63,11.)); #42644=CARTESIAN_POINT('',(-6.315,60.63,10.8)); #42645=CARTESIAN_POINT('Origin',(-5.685,60.63,10.8)); #42646=CARTESIAN_POINT('',(-6.315,60.63,11.)); #42647=CARTESIAN_POINT('Origin',(-6.315,65.37,11.)); #42648=CARTESIAN_POINT('',(-6.315,60.63,10.8)); #42649=CARTESIAN_POINT('Origin',(0.,0.,10.8)); #42650=CARTESIAN_POINT('',(-4.290625,65.01425536275,10.8)); #42651=CARTESIAN_POINT('',(-4.250833371282,64.93297877669,10.8)); #42652=CARTESIAN_POINT('',(-4.290625,65.01425536275,10.8)); #42653=CARTESIAN_POINT('',(-4.370208371282,65.0955319488,10.8)); #42654=CARTESIAN_POINT('',(-4.370208371282,65.0955319488,10.8)); #42655=CARTESIAN_POINT('',(-4.489583314359,65.13617024183,10.8)); #42656=CARTESIAN_POINT('',(-4.489583314359,65.13617024183,10.8)); #42657=CARTESIAN_POINT('',(-4.728333314359,65.13617024183,10.8)); #42658=CARTESIAN_POINT('',(-4.728333314359,65.13617024183,10.8)); #42659=CARTESIAN_POINT('',(-4.847708314359,65.0955319488,10.8)); #42660=CARTESIAN_POINT('',(-4.847708314359,65.0955319488,10.8)); #42661=CARTESIAN_POINT('',(-4.96708334282,65.01425536275,10.8)); #42662=CARTESIAN_POINT('',(-4.96708334282,65.01425536275,10.8)); #42663=CARTESIAN_POINT('',(-5.006875,64.89234036982,10.8)); #42664=CARTESIAN_POINT('',(-5.006875,64.89234036982,10.8)); #42665=CARTESIAN_POINT('',(-5.006875,64.81106378376,10.8)); #42666=CARTESIAN_POINT('',(-5.006875,64.81106378376,10.8)); #42667=CARTESIAN_POINT('',(-4.96708334282,64.68914890468,10.8)); #42668=CARTESIAN_POINT('',(-4.96708334282,64.68914890468,10.8)); #42669=CARTESIAN_POINT('',(-4.847708314359,64.60787231863,10.8)); #42670=CARTESIAN_POINT('',(-4.847708314359,64.60787231863,10.8)); #42671=CARTESIAN_POINT('',(-4.728333314359,64.5672340256,10.8)); #42672=CARTESIAN_POINT('',(-4.728333314359,64.5672340256,10.8)); #42673=CARTESIAN_POINT('',(-4.489583314359,64.5672340256,10.8)); #42674=CARTESIAN_POINT('',(-4.489583314359,64.5672340256,10.8)); #42675=CARTESIAN_POINT('',(-4.290625,64.52659573257,10.8)); #42676=CARTESIAN_POINT('',(-4.290625,64.52659573257,10.8)); #42677=CARTESIAN_POINT('',(-4.131458371282,64.44531914651,10.8)); #42678=CARTESIAN_POINT('',(-4.131458371282,64.44531914651,10.8)); #42679=CARTESIAN_POINT('',(-3.972291628718,64.2827659744,10.8)); #42680=CARTESIAN_POINT('',(-3.972291628718,64.2827659744,10.8)); #42681=CARTESIAN_POINT('',(-3.892708371282,64.12021274537,10.8)); #42682=CARTESIAN_POINT('',(-3.892708371282,64.12021274537,10.8)); #42683=CARTESIAN_POINT('',(-3.892708371282,63.91702128023,10.8)); #42684=CARTESIAN_POINT('',(-3.892708371282,63.91702128023,10.8)); #42685=CARTESIAN_POINT('',(-3.972291628718,63.75446807966,10.8)); #42686=CARTESIAN_POINT('',(-3.972291628718,63.75446807966,10.8)); #42687=CARTESIAN_POINT('',(-4.131458371282,63.59191489331,10.8)); #42688=CARTESIAN_POINT('',(-4.131458371282,63.59191489331,10.8)); #42689=CARTESIAN_POINT('',(-4.290625,63.51063829659,10.8)); #42690=CARTESIAN_POINT('',(-4.290625,63.51063829659,10.8)); #42691=CARTESIAN_POINT('',(-4.489583314359,63.47,10.8)); #42692=CARTESIAN_POINT('',(-4.489583314359,63.47,10.8)); #42693=CARTESIAN_POINT('',(-4.807916685641,63.47,10.8)); #42694=CARTESIAN_POINT('',(-4.807916685641,63.47,10.8)); #42695=CARTESIAN_POINT('',(-5.006875,63.51063829659,10.8)); #42696=CARTESIAN_POINT('',(-5.006875,63.51063829659,10.8)); #42697=CARTESIAN_POINT('',(-5.20583332859,63.63255318634,10.8)); #42698=CARTESIAN_POINT('',(-5.20583332859,63.63255318634,10.8)); #42699=CARTESIAN_POINT('',(-5.325208332147,63.83574469417,10.8)); #42700=CARTESIAN_POINT('',(-5.325208332147,63.83574469417,10.8)); #42701=CARTESIAN_POINT('',(-5.365,63.99829786628,10.8)); #42702=CARTESIAN_POINT('',(-5.365,63.99829786628,10.8)); #42703=CARTESIAN_POINT('',(-5.12625,63.99829786628,10.8)); #42704=CARTESIAN_POINT('',(-5.12625,63.99829786628,10.8)); #42705=CARTESIAN_POINT('',(-5.08645834282,63.8763829872,10.8)); #42706=CARTESIAN_POINT('',(-5.08645834282,63.8763829872,10.8)); #42707=CARTESIAN_POINT('',(-4.96708334282,63.75446807966,10.8)); #42708=CARTESIAN_POINT('',(-4.96708334282,63.75446807966,10.8)); #42709=CARTESIAN_POINT('',(-4.807916685641,63.71382978663,10.8)); #42710=CARTESIAN_POINT('',(-4.807916685641,63.71382978663,10.8)); #42711=CARTESIAN_POINT('',(-4.489583314359,63.71382978663,10.8)); #42712=CARTESIAN_POINT('',(-4.489583314359,63.71382978663,10.8)); #42713=CARTESIAN_POINT('',(-4.330416628718,63.75446807966,10.8)); #42714=CARTESIAN_POINT('',(-4.330416628718,63.75446807966,10.8)); #42715=CARTESIAN_POINT('',(-4.211041628718,63.83574469417,10.8)); #42716=CARTESIAN_POINT('',(-4.211041628718,63.83574469417,10.8)); #42717=CARTESIAN_POINT('',(-4.131458371282,63.95765957326,10.8)); #42718=CARTESIAN_POINT('',(-4.131458371282,63.95765957326,10.8)); #42719=CARTESIAN_POINT('',(-4.131458371282,64.07957445234,10.8)); #42720=CARTESIAN_POINT('',(-4.131458371282,64.07957445234,10.8)); #42721=CARTESIAN_POINT('',(-4.211041628718,64.20148938835,10.8)); #42722=CARTESIAN_POINT('',(-4.211041628718,64.20148938835,10.8)); #42723=CARTESIAN_POINT('',(-4.330416628718,64.2827659744,10.8)); #42724=CARTESIAN_POINT('',(-4.330416628718,64.2827659744,10.8)); #42725=CARTESIAN_POINT('',(-4.489583314359,64.32340426743,10.8)); #42726=CARTESIAN_POINT('',(-4.489583314359,64.32340426743,10.8)); #42727=CARTESIAN_POINT('',(-4.728333314359,64.32340426743,10.8)); #42728=CARTESIAN_POINT('',(-4.728333314359,64.32340426743,10.8)); #42729=CARTESIAN_POINT('',(-4.8875,64.36404256046,10.8)); #42730=CARTESIAN_POINT('',(-4.8875,64.36404256046,10.8)); #42731=CARTESIAN_POINT('',(-5.04666665718,64.44531914651,10.8)); #42732=CARTESIAN_POINT('',(-5.04666665718,64.44531914651,10.8)); #42733=CARTESIAN_POINT('',(-5.20583332859,64.60787231863,10.8)); #42734=CARTESIAN_POINT('',(-5.20583332859,64.60787231863,10.8)); #42735=CARTESIAN_POINT('',(-5.245625,64.77042549074,10.8)); #42736=CARTESIAN_POINT('',(-5.245625,64.77042549074,10.8)); #42737=CARTESIAN_POINT('',(-5.245625,64.93297877669,10.8)); #42738=CARTESIAN_POINT('',(-5.245625,64.93297877669,10.8)); #42739=CARTESIAN_POINT('',(-5.20583332859,65.0955319488,10.8)); #42740=CARTESIAN_POINT('',(-5.20583332859,65.0955319488,10.8)); #42741=CARTESIAN_POINT('',(-5.04666665718,65.25808512092,10.8)); #42742=CARTESIAN_POINT('',(-5.04666665718,65.25808512092,10.8)); #42743=CARTESIAN_POINT('',(-4.8875,65.33936170697,10.8)); #42744=CARTESIAN_POINT('',(-4.8875,65.33936170697,10.8)); #42745=CARTESIAN_POINT('',(-4.728333314359,65.38,10.8)); #42746=CARTESIAN_POINT('',(-4.728333314359,65.38,10.8)); #42747=CARTESIAN_POINT('',(-4.529375,65.38,10.8)); #42748=CARTESIAN_POINT('',(-4.529375,65.38,10.8)); #42749=CARTESIAN_POINT('',(-4.330416628718,65.33936170697,10.8)); #42750=CARTESIAN_POINT('',(-4.330416628718,65.33936170697,10.8)); #42751=CARTESIAN_POINT('',(-4.17125,65.21744682789,10.8)); #42752=CARTESIAN_POINT('',(-4.17125,65.21744682789,10.8)); #42753=CARTESIAN_POINT('',(-4.051875,65.05489365578,10.8)); #42754=CARTESIAN_POINT('',(-4.051875,65.05489365578,10.8)); #42755=CARTESIAN_POINT('',(-4.012083371282,64.93297877669,10.8)); #42756=CARTESIAN_POINT('',(-4.012083371282,64.93297877669,10.8)); #42757=CARTESIAN_POINT('',(-4.250833371282,64.93297877669,10.8)); #42758=CARTESIAN_POINT('',(-2.698958257437,64.44531914651,10.8)); #42759=CARTESIAN_POINT('',(-2.062291742563,65.38,10.8)); #42760=CARTESIAN_POINT('',(-2.698958257437,64.44531914651,10.8)); #42761=CARTESIAN_POINT('',(-2.698958257437,63.47,10.8)); #42762=CARTESIAN_POINT('',(-2.698958257437,63.47,10.8)); #42763=CARTESIAN_POINT('',(-2.937708257437,63.47,10.8)); #42764=CARTESIAN_POINT('',(-2.937708257437,63.47,10.8)); #42765=CARTESIAN_POINT('',(-2.937708257437,64.44531914651,10.8)); #42766=CARTESIAN_POINT('',(-2.937708257437,64.44531914651,10.8)); #42767=CARTESIAN_POINT('',(-3.574375,65.38,10.8)); #42768=CARTESIAN_POINT('',(-3.574375,65.38,10.8)); #42769=CARTESIAN_POINT('',(-3.335625,65.38,10.8)); #42770=CARTESIAN_POINT('',(-3.335625,65.38,10.8)); #42771=CARTESIAN_POINT('',(-2.818333257437,64.60787231863,10.8)); #42772=CARTESIAN_POINT('',(-2.818333257437,64.60787231863,10.8)); #42773=CARTESIAN_POINT('',(-2.301041742563,65.38,10.8)); #42774=CARTESIAN_POINT('',(-2.301041742563,65.38,10.8)); #42775=CARTESIAN_POINT('',(-2.062291742563,65.38,10.8)); #42776=CARTESIAN_POINT('',(-0.6695834851265,65.01425536275,10.8)); #42777=CARTESIAN_POINT('',(-0.6297915148735,64.93297877669,10.8)); #42778=CARTESIAN_POINT('',(-0.6695834851265,65.01425536275,10.8)); #42779=CARTESIAN_POINT('',(-0.7491665148735,65.0955319488,10.8)); #42780=CARTESIAN_POINT('',(-0.7491665148735,65.0955319488,10.8)); #42781=CARTESIAN_POINT('',(-0.8685415148735,65.13617024183,10.8)); #42782=CARTESIAN_POINT('',(-0.8685415148735,65.13617024183,10.8)); #42783=CARTESIAN_POINT('',(-1.107291514873,65.13617024183,10.8)); #42784=CARTESIAN_POINT('',(-1.107291514873,65.13617024183,10.8)); #42785=CARTESIAN_POINT('',(-1.226666514873,65.0955319488,10.8)); #42786=CARTESIAN_POINT('',(-1.226666514873,65.0955319488,10.8)); #42787=CARTESIAN_POINT('',(-1.346041514873,65.01425536275,10.8)); #42788=CARTESIAN_POINT('',(-1.346041514873,65.01425536275,10.8)); #42789=CARTESIAN_POINT('',(-1.385833485126,64.89234036982,10.8)); #42790=CARTESIAN_POINT('',(-1.385833485126,64.89234036982,10.8)); #42791=CARTESIAN_POINT('',(-1.385833485126,64.81106378376,10.8)); #42792=CARTESIAN_POINT('',(-1.385833485126,64.81106378376,10.8)); #42793=CARTESIAN_POINT('',(-1.346041514873,64.68914890468,10.8)); #42794=CARTESIAN_POINT('',(-1.346041514873,64.68914890468,10.8)); #42795=CARTESIAN_POINT('',(-1.226666514873,64.60787231863,10.8)); #42796=CARTESIAN_POINT('',(-1.226666514873,64.60787231863,10.8)); #42797=CARTESIAN_POINT('',(-1.107291514873,64.5672340256,10.8)); #42798=CARTESIAN_POINT('',(-1.107291514873,64.5672340256,10.8)); #42799=CARTESIAN_POINT('',(-0.8685415148735,64.5672340256,10.8)); #42800=CARTESIAN_POINT('',(-0.8685415148735,64.5672340256,10.8)); #42801=CARTESIAN_POINT('',(-0.6695834851265,64.52659573257,10.8)); #42802=CARTESIAN_POINT('',(-0.6695834851265,64.52659573257,10.8)); #42803=CARTESIAN_POINT('',(-0.5104165148735,64.44531914651,10.8)); #42804=CARTESIAN_POINT('',(-0.5104165148735,64.44531914651,10.8)); #42805=CARTESIAN_POINT('',(-0.35125,64.2827659744,10.8)); #42806=CARTESIAN_POINT('',(-0.35125,64.2827659744,10.8)); #42807=CARTESIAN_POINT('',(-0.2716665148735,64.12021274537,10.8)); #42808=CARTESIAN_POINT('',(-0.2716665148735,64.12021274537,10.8)); #42809=CARTESIAN_POINT('',(-0.2716665148735,63.91702128023,10.8)); #42810=CARTESIAN_POINT('',(-0.2716665148735,63.91702128023,10.8)); #42811=CARTESIAN_POINT('',(-0.35125,63.75446807966,10.8)); #42812=CARTESIAN_POINT('',(-0.35125,63.75446807966,10.8)); #42813=CARTESIAN_POINT('',(-0.5104165148735,63.59191489331,10.8)); #42814=CARTESIAN_POINT('',(-0.5104165148735,63.59191489331,10.8)); #42815=CARTESIAN_POINT('',(-0.6695834851265,63.51063829659,10.8)); #42816=CARTESIAN_POINT('',(-0.6695834851265,63.51063829659,10.8)); #42817=CARTESIAN_POINT('',(-0.8685415148735,63.47,10.8)); #42818=CARTESIAN_POINT('',(-0.8685415148735,63.47,10.8)); #42819=CARTESIAN_POINT('',(-1.186875,63.47,10.8)); #42820=CARTESIAN_POINT('',(-1.186875,63.47,10.8)); #42821=CARTESIAN_POINT('',(-1.385833485126,63.51063829659,10.8)); #42822=CARTESIAN_POINT('',(-1.385833485126,63.51063829659,10.8)); #42823=CARTESIAN_POINT('',(-1.584791742563,63.63255318634,10.8)); #42824=CARTESIAN_POINT('',(-1.584791742563,63.63255318634,10.8)); #42825=CARTESIAN_POINT('',(-1.704166742563,63.83574469417,10.8)); #42826=CARTESIAN_POINT('',(-1.704166742563,63.83574469417,10.8)); #42827=CARTESIAN_POINT('',(-1.743958257437,63.99829786628,10.8)); #42828=CARTESIAN_POINT('',(-1.743958257437,63.99829786628,10.8)); #42829=CARTESIAN_POINT('',(-1.505208485126,63.99829786628,10.8)); #42830=CARTESIAN_POINT('',(-1.505208485126,63.99829786628,10.8)); #42831=CARTESIAN_POINT('',(-1.465416514873,63.8763829872,10.8)); #42832=CARTESIAN_POINT('',(-1.465416514873,63.8763829872,10.8)); #42833=CARTESIAN_POINT('',(-1.346041514873,63.75446807966,10.8)); #42834=CARTESIAN_POINT('',(-1.346041514873,63.75446807966,10.8)); #42835=CARTESIAN_POINT('',(-1.186875,63.71382978663,10.8)); #42836=CARTESIAN_POINT('',(-1.186875,63.71382978663,10.8)); #42837=CARTESIAN_POINT('',(-0.8685415148735,63.71382978663,10.8)); #42838=CARTESIAN_POINT('',(-0.8685415148735,63.71382978663,10.8)); #42839=CARTESIAN_POINT('',(-0.709375,63.75446807966,10.8)); #42840=CARTESIAN_POINT('',(-0.709375,63.75446807966,10.8)); #42841=CARTESIAN_POINT('',(-0.59,63.83574469417,10.8)); #42842=CARTESIAN_POINT('',(-0.59,63.83574469417,10.8)); #42843=CARTESIAN_POINT('',(-0.5104165148735,63.95765957326,10.8)); #42844=CARTESIAN_POINT('',(-0.5104165148735,63.95765957326,10.8)); #42845=CARTESIAN_POINT('',(-0.5104165148735,64.07957445234,10.8)); #42846=CARTESIAN_POINT('',(-0.5104165148735,64.07957445234,10.8)); #42847=CARTESIAN_POINT('',(-0.59,64.20148938835,10.8)); #42848=CARTESIAN_POINT('',(-0.59,64.20148938835,10.8)); #42849=CARTESIAN_POINT('',(-0.709375,64.2827659744,10.8)); #42850=CARTESIAN_POINT('',(-0.709375,64.2827659744,10.8)); #42851=CARTESIAN_POINT('',(-0.8685415148735,64.32340426743,10.8)); #42852=CARTESIAN_POINT('',(-0.8685415148735,64.32340426743,10.8)); #42853=CARTESIAN_POINT('',(-1.107291514873,64.32340426743,10.8)); #42854=CARTESIAN_POINT('',(-1.107291514873,64.32340426743,10.8)); #42855=CARTESIAN_POINT('',(-1.266458485126,64.36404256046,10.8)); #42856=CARTESIAN_POINT('',(-1.266458485126,64.36404256046,10.8)); #42857=CARTESIAN_POINT('',(-1.425625,64.44531914651,10.8)); #42858=CARTESIAN_POINT('',(-1.425625,64.44531914651,10.8)); #42859=CARTESIAN_POINT('',(-1.584791742563,64.60787231863,10.8)); #42860=CARTESIAN_POINT('',(-1.584791742563,64.60787231863,10.8)); #42861=CARTESIAN_POINT('',(-1.624583257437,64.77042549074,10.8)); #42862=CARTESIAN_POINT('',(-1.624583257437,64.77042549074,10.8)); #42863=CARTESIAN_POINT('',(-1.624583257437,64.93297877669,10.8)); #42864=CARTESIAN_POINT('',(-1.624583257437,64.93297877669,10.8)); #42865=CARTESIAN_POINT('',(-1.584791742563,65.0955319488,10.8)); #42866=CARTESIAN_POINT('',(-1.584791742563,65.0955319488,10.8)); #42867=CARTESIAN_POINT('',(-1.425625,65.25808512092,10.8)); #42868=CARTESIAN_POINT('',(-1.425625,65.25808512092,10.8)); #42869=CARTESIAN_POINT('',(-1.266458485126,65.33936170697,10.8)); #42870=CARTESIAN_POINT('',(-1.266458485126,65.33936170697,10.8)); #42871=CARTESIAN_POINT('',(-1.107291514873,65.38,10.8)); #42872=CARTESIAN_POINT('',(-1.107291514873,65.38,10.8)); #42873=CARTESIAN_POINT('',(-0.9083334851265,65.38,10.8)); #42874=CARTESIAN_POINT('',(-0.9083334851265,65.38,10.8)); #42875=CARTESIAN_POINT('',(-0.709375,65.33936170697,10.8)); #42876=CARTESIAN_POINT('',(-0.709375,65.33936170697,10.8)); #42877=CARTESIAN_POINT('',(-0.5502084851265,65.21744682789,10.8)); #42878=CARTESIAN_POINT('',(-0.5502084851265,65.21744682789,10.8)); #42879=CARTESIAN_POINT('',(-0.4308334851265,65.05489365578,10.8)); #42880=CARTESIAN_POINT('',(-0.4308334851265,65.05489365578,10.8)); #42881=CARTESIAN_POINT('',(-0.3910415148735,64.93297877669,10.8)); #42882=CARTESIAN_POINT('',(-0.3910415148735,64.93297877669,10.8)); #42883=CARTESIAN_POINT('',(-0.6297915148735,64.93297877669,10.8)); #42884=CARTESIAN_POINT('',(1.55875,65.17680853486,10.8)); #42885=CARTESIAN_POINT('',(1.55875,65.38,10.8)); #42886=CARTESIAN_POINT('',(1.55875,65.17680853486,10.8)); #42887=CARTESIAN_POINT('',(0.9220834851265,65.17680853486,10.8)); #42888=CARTESIAN_POINT('',(0.9220834851265,65.17680853486,10.8)); #42889=CARTESIAN_POINT('',(0.9220834851265,63.47,10.8)); #42890=CARTESIAN_POINT('',(0.9220834851265,63.47,10.8)); #42891=CARTESIAN_POINT('',(0.6833334851265,63.47,10.8)); #42892=CARTESIAN_POINT('',(0.6833334851265,63.47,10.8)); #42893=CARTESIAN_POINT('',(0.6833334851265,65.17680853486,10.8)); #42894=CARTESIAN_POINT('',(0.6833334851265,65.17680853486,10.8)); #42895=CARTESIAN_POINT('',(0.04666651487353,65.17680853486,10.8)); #42896=CARTESIAN_POINT('',(0.04666651487353,65.17680853486,10.8)); #42897=CARTESIAN_POINT('',(0.04666651487353,65.38,10.8)); #42898=CARTESIAN_POINT('',(0.04666651487353,65.38,10.8)); #42899=CARTESIAN_POINT('',(1.55875,65.38,10.8)); #42900=CARTESIAN_POINT('',(3.309583029747,63.47,10.8)); #42901=CARTESIAN_POINT('',(3.309583029747,63.71382978663,10.8)); #42902=CARTESIAN_POINT('',(3.309583029747,63.47,10.8)); #42903=CARTESIAN_POINT('',(1.877083485127,63.47,10.8)); #42904=CARTESIAN_POINT('',(1.877083485127,63.47,10.8)); #42905=CARTESIAN_POINT('',(1.877083485127,65.38,10.8)); #42906=CARTESIAN_POINT('',(1.877083485127,65.38,10.8)); #42907=CARTESIAN_POINT('',(3.309583029747,65.38,10.8)); #42908=CARTESIAN_POINT('',(3.309583029747,65.38,10.8)); #42909=CARTESIAN_POINT('',(3.309583029747,65.13617024183,10.8)); #42910=CARTESIAN_POINT('',(3.309583029747,65.13617024183,10.8)); #42911=CARTESIAN_POINT('',(2.115833485127,65.13617024183,10.8)); #42912=CARTESIAN_POINT('',(2.115833485127,65.13617024183,10.8)); #42913=CARTESIAN_POINT('',(2.115833485127,64.60787231863,10.8)); #42914=CARTESIAN_POINT('',(2.115833485127,64.60787231863,10.8)); #42915=CARTESIAN_POINT('',(3.150416970253,64.60787231863,10.8)); #42916=CARTESIAN_POINT('',(3.150416970253,64.60787231863,10.8)); #42917=CARTESIAN_POINT('',(3.150416970253,64.36404256046,10.8)); #42918=CARTESIAN_POINT('',(3.150416970253,64.36404256046,10.8)); #42919=CARTESIAN_POINT('',(2.115833485127,64.36404256046,10.8)); #42920=CARTESIAN_POINT('',(2.115833485127,64.36404256046,10.8)); #42921=CARTESIAN_POINT('',(2.115833485127,63.71382978663,10.8)); #42922=CARTESIAN_POINT('',(2.115833485127,63.71382978663,10.8)); #42923=CARTESIAN_POINT('',(3.309583029747,63.71382978663,10.8)); #42924=CARTESIAN_POINT('',(4.742083029747,63.47,10.8)); #42925=CARTESIAN_POINT('',(5.299166970253,64.97361706972,10.8)); #42926=CARTESIAN_POINT('',(4.742083029747,63.47,10.8)); #42927=CARTESIAN_POINT('',(4.42375,63.47,10.8)); #42928=CARTESIAN_POINT('',(4.42375,63.47,10.8)); #42929=CARTESIAN_POINT('',(3.866666970253,64.97361706972,10.8)); #42930=CARTESIAN_POINT('',(3.866666970253,64.97361706972,10.8)); #42931=CARTESIAN_POINT('',(3.866666970253,63.47,10.8)); #42932=CARTESIAN_POINT('',(3.866666970253,63.47,10.8)); #42933=CARTESIAN_POINT('',(3.627916970253,63.47,10.8)); #42934=CARTESIAN_POINT('',(3.627916970253,63.47,10.8)); #42935=CARTESIAN_POINT('',(3.627916970253,65.38,10.8)); #42936=CARTESIAN_POINT('',(3.627916970253,65.38,10.8)); #42937=CARTESIAN_POINT('',(3.986041970253,65.38,10.8)); #42938=CARTESIAN_POINT('',(3.986041970253,65.38,10.8)); #42939=CARTESIAN_POINT('',(4.582916970253,63.6731914936,10.8)); #42940=CARTESIAN_POINT('',(4.582916970253,63.6731914936,10.8)); #42941=CARTESIAN_POINT('',(5.179791970253,65.38,10.8)); #42942=CARTESIAN_POINT('',(5.179791970253,65.38,10.8)); #42943=CARTESIAN_POINT('',(5.537916970253,65.38,10.8)); #42944=CARTESIAN_POINT('',(5.537916970253,65.38,10.8)); #42945=CARTESIAN_POINT('',(5.537916970253,63.47,10.8)); #42946=CARTESIAN_POINT('',(5.537916970253,63.47,10.8)); #42947=CARTESIAN_POINT('',(5.299166970253,63.47,10.8)); #42948=CARTESIAN_POINT('',(5.299166970253,63.47,10.8)); #42949=CARTESIAN_POINT('',(5.299166970253,64.97361706972,10.8)); #42950=CARTESIAN_POINT('',(-2.882708371282,61.93042549074,10.8)); #42951=CARTESIAN_POINT('',(-2.882708371282,62.09297877669,10.8)); #42952=CARTESIAN_POINT('',(-2.882708371282,61.93042549074,10.8)); #42953=CARTESIAN_POINT('',(-2.9225,61.80851061165,10.8)); #42954=CARTESIAN_POINT('',(-2.9225,61.80851061165,10.8)); #42955=CARTESIAN_POINT('',(-3.041875,61.64595743954,10.8)); #42956=CARTESIAN_POINT('',(-3.041875,61.64595743954,10.8)); #42957=CARTESIAN_POINT('',(-3.201041628718,61.52404256046,10.8)); #42958=CARTESIAN_POINT('',(-3.201041628718,61.52404256046,10.8)); #42959=CARTESIAN_POINT('',(-3.4,61.48340426743,10.8)); #42960=CARTESIAN_POINT('',(-3.4,61.48340426743,10.8)); #42961=CARTESIAN_POINT('',(-4.11625,61.48340426743,10.8)); #42962=CARTESIAN_POINT('',(-4.11625,61.48340426743,10.8)); #42963=CARTESIAN_POINT('',(-4.11625,60.63,10.8)); #42964=CARTESIAN_POINT('',(-4.11625,60.63,10.8)); #42965=CARTESIAN_POINT('',(-4.355,60.63,10.8)); #42966=CARTESIAN_POINT('',(-4.355,60.63,10.8)); #42967=CARTESIAN_POINT('',(-4.355,62.54,10.8)); #42968=CARTESIAN_POINT('',(-4.355,62.54,10.8)); #42969=CARTESIAN_POINT('',(-3.4,62.54,10.8)); #42970=CARTESIAN_POINT('',(-3.4,62.54,10.8)); #42971=CARTESIAN_POINT('',(-3.201041628718,62.49936170697,10.8)); #42972=CARTESIAN_POINT('',(-3.201041628718,62.49936170697,10.8)); #42973=CARTESIAN_POINT('',(-3.041875,62.37744682789,10.8)); #42974=CARTESIAN_POINT('',(-3.041875,62.37744682789,10.8)); #42975=CARTESIAN_POINT('',(-2.9225,62.21489365578,10.8)); #42976=CARTESIAN_POINT('',(-2.9225,62.21489365578,10.8)); #42977=CARTESIAN_POINT('',(-2.882708371282,62.09297877669,10.8)); #42978=CARTESIAN_POINT('',(-2.325625,62.54,10.8)); #42979=CARTESIAN_POINT('',(-2.564375,62.54,10.8)); #42980=CARTESIAN_POINT('',(-2.325625,62.54,10.8)); #42981=CARTESIAN_POINT('',(-2.325625,60.87382978663,10.8)); #42982=CARTESIAN_POINT('',(-2.325625,60.87382978663,10.8)); #42983=CARTESIAN_POINT('',(-1.131875,60.87382978663,10.8)); #42984=CARTESIAN_POINT('',(-1.131875,60.87382978663,10.8)); #42985=CARTESIAN_POINT('',(-1.131875,60.63,10.8)); #42986=CARTESIAN_POINT('',(-1.131875,60.63,10.8)); #42987=CARTESIAN_POINT('',(-2.564375,60.63,10.8)); #42988=CARTESIAN_POINT('',(-2.564375,60.63,10.8)); #42989=CARTESIAN_POINT('',(-2.564375,62.54,10.8)); #42990=CARTESIAN_POINT('',(0.778125,60.63,10.8)); #42991=CARTESIAN_POINT('',(0.1414584851265,62.54,10.8)); #42992=CARTESIAN_POINT('',(0.778125,60.63,10.8)); #42993=CARTESIAN_POINT('',(0.539375,60.63,10.8)); #42994=CARTESIAN_POINT('',(0.539375,60.63,10.8)); #42995=CARTESIAN_POINT('',(0.3802084851265,61.11765957326,10.8)); #42996=CARTESIAN_POINT('',(0.3802084851265,61.11765957326,10.8)); #42997=CARTESIAN_POINT('',(-0.415625,61.11765957326,10.8)); #42998=CARTESIAN_POINT('',(-0.415625,61.11765957326,10.8)); #42999=CARTESIAN_POINT('',(-0.5747917425632,60.63,10.8)); #43000=CARTESIAN_POINT('',(-0.5747917425632,60.63,10.8)); #43001=CARTESIAN_POINT('',(-0.8135417425632,60.63,10.8)); #43002=CARTESIAN_POINT('',(-0.8135417425632,60.63,10.8)); #43003=CARTESIAN_POINT('',(-0.176875,62.54,10.8)); #43004=CARTESIAN_POINT('',(-0.176875,62.54,10.8)); #43005=CARTESIAN_POINT('',(0.1414584851265,62.54,10.8)); #43006=CARTESIAN_POINT('',(2.170833485127,62.17425536275,10.8)); #43007=CARTESIAN_POINT('',(2.210625,62.09297877669,10.8)); #43008=CARTESIAN_POINT('',(2.170833485127,62.17425536275,10.8)); #43009=CARTESIAN_POINT('',(2.09125,62.2555319488,10.8)); #43010=CARTESIAN_POINT('',(2.09125,62.2555319488,10.8)); #43011=CARTESIAN_POINT('',(1.971875,62.29617024183,10.8)); #43012=CARTESIAN_POINT('',(1.971875,62.29617024183,10.8)); #43013=CARTESIAN_POINT('',(1.733125,62.29617024183,10.8)); #43014=CARTESIAN_POINT('',(1.733125,62.29617024183,10.8)); #43015=CARTESIAN_POINT('',(1.61375,62.2555319488,10.8)); #43016=CARTESIAN_POINT('',(1.61375,62.2555319488,10.8)); #43017=CARTESIAN_POINT('',(1.494375,62.17425536275,10.8)); #43018=CARTESIAN_POINT('',(1.494375,62.17425536275,10.8)); #43019=CARTESIAN_POINT('',(1.454583485127,62.05234036982,10.8)); #43020=CARTESIAN_POINT('',(1.454583485127,62.05234036982,10.8)); #43021=CARTESIAN_POINT('',(1.454583485127,61.97106378376,10.8)); #43022=CARTESIAN_POINT('',(1.454583485127,61.97106378376,10.8)); #43023=CARTESIAN_POINT('',(1.494375,61.84914890468,10.8)); #43024=CARTESIAN_POINT('',(1.494375,61.84914890468,10.8)); #43025=CARTESIAN_POINT('',(1.61375,61.76787231863,10.8)); #43026=CARTESIAN_POINT('',(1.61375,61.76787231863,10.8)); #43027=CARTESIAN_POINT('',(1.733125,61.7272340256,10.8)); #43028=CARTESIAN_POINT('',(1.733125,61.7272340256,10.8)); #43029=CARTESIAN_POINT('',(1.971875,61.7272340256,10.8)); #43030=CARTESIAN_POINT('',(1.971875,61.7272340256,10.8)); #43031=CARTESIAN_POINT('',(2.170833485127,61.68659573257,10.8)); #43032=CARTESIAN_POINT('',(2.170833485127,61.68659573257,10.8)); #43033=CARTESIAN_POINT('',(2.33,61.60531914651,10.8)); #43034=CARTESIAN_POINT('',(2.33,61.60531914651,10.8)); #43035=CARTESIAN_POINT('',(2.489166514874,61.4427659744,10.8)); #43036=CARTESIAN_POINT('',(2.489166514874,61.4427659744,10.8)); #43037=CARTESIAN_POINT('',(2.56875,61.28021274537,10.8)); #43038=CARTESIAN_POINT('',(2.56875,61.28021274537,10.8)); #43039=CARTESIAN_POINT('',(2.56875,61.07702128023,10.8)); #43040=CARTESIAN_POINT('',(2.56875,61.07702128023,10.8)); #43041=CARTESIAN_POINT('',(2.489166514874,60.91446807966,10.8)); #43042=CARTESIAN_POINT('',(2.489166514874,60.91446807966,10.8)); #43043=CARTESIAN_POINT('',(2.33,60.75191489331,10.8)); #43044=CARTESIAN_POINT('',(2.33,60.75191489331,10.8)); #43045=CARTESIAN_POINT('',(2.170833485127,60.67063829659,10.8)); #43046=CARTESIAN_POINT('',(2.170833485127,60.67063829659,10.8)); #43047=CARTESIAN_POINT('',(1.971875,60.63,10.8)); #43048=CARTESIAN_POINT('',(1.971875,60.63,10.8)); #43049=CARTESIAN_POINT('',(1.653541514874,60.63,10.8)); #43050=CARTESIAN_POINT('',(1.653541514874,60.63,10.8)); #43051=CARTESIAN_POINT('',(1.454583485127,60.67063829659,10.8)); #43052=CARTESIAN_POINT('',(1.454583485127,60.67063829659,10.8)); #43053=CARTESIAN_POINT('',(1.255625,60.79255318634,10.8)); #43054=CARTESIAN_POINT('',(1.255625,60.79255318634,10.8)); #43055=CARTESIAN_POINT('',(1.13625,60.99574469417,10.8)); #43056=CARTESIAN_POINT('',(1.13625,60.99574469417,10.8)); #43057=CARTESIAN_POINT('',(1.096458485127,61.15829786628,10.8)); #43058=CARTESIAN_POINT('',(1.096458485127,61.15829786628,10.8)); #43059=CARTESIAN_POINT('',(1.335208485127,61.15829786628,10.8)); #43060=CARTESIAN_POINT('',(1.335208485127,61.15829786628,10.8)); #43061=CARTESIAN_POINT('',(1.375,61.0363829872,10.8)); #43062=CARTESIAN_POINT('',(1.375,61.0363829872,10.8)); #43063=CARTESIAN_POINT('',(1.494375,60.91446807966,10.8)); #43064=CARTESIAN_POINT('',(1.494375,60.91446807966,10.8)); #43065=CARTESIAN_POINT('',(1.653541514874,60.87382978663,10.8)); #43066=CARTESIAN_POINT('',(1.653541514874,60.87382978663,10.8)); #43067=CARTESIAN_POINT('',(1.971875,60.87382978663,10.8)); #43068=CARTESIAN_POINT('',(1.971875,60.87382978663,10.8)); #43069=CARTESIAN_POINT('',(2.131041514874,60.91446807966,10.8)); #43070=CARTESIAN_POINT('',(2.131041514874,60.91446807966,10.8)); #43071=CARTESIAN_POINT('',(2.250416514874,60.99574469417,10.8)); #43072=CARTESIAN_POINT('',(2.250416514874,60.99574469417,10.8)); #43073=CARTESIAN_POINT('',(2.33,61.11765957326,10.8)); #43074=CARTESIAN_POINT('',(2.33,61.11765957326,10.8)); #43075=CARTESIAN_POINT('',(2.33,61.23957445234,10.8)); #43076=CARTESIAN_POINT('',(2.33,61.23957445234,10.8)); #43077=CARTESIAN_POINT('',(2.250416514874,61.36148938835,10.8)); #43078=CARTESIAN_POINT('',(2.250416514874,61.36148938835,10.8)); #43079=CARTESIAN_POINT('',(2.131041514874,61.4427659744,10.8)); #43080=CARTESIAN_POINT('',(2.131041514874,61.4427659744,10.8)); #43081=CARTESIAN_POINT('',(1.971875,61.48340426743,10.8)); #43082=CARTESIAN_POINT('',(1.971875,61.48340426743,10.8)); #43083=CARTESIAN_POINT('',(1.733125,61.48340426743,10.8)); #43084=CARTESIAN_POINT('',(1.733125,61.48340426743,10.8)); #43085=CARTESIAN_POINT('',(1.573958485127,61.52404256046,10.8)); #43086=CARTESIAN_POINT('',(1.573958485127,61.52404256046,10.8)); #43087=CARTESIAN_POINT('',(1.414791514874,61.60531914651,10.8)); #43088=CARTESIAN_POINT('',(1.414791514874,61.60531914651,10.8)); #43089=CARTESIAN_POINT('',(1.255625,61.76787231863,10.8)); #43090=CARTESIAN_POINT('',(1.255625,61.76787231863,10.8)); #43091=CARTESIAN_POINT('',(1.215833485127,61.93042549074,10.8)); #43092=CARTESIAN_POINT('',(1.215833485127,61.93042549074,10.8)); #43093=CARTESIAN_POINT('',(1.215833485127,62.09297877669,10.8)); #43094=CARTESIAN_POINT('',(1.215833485127,62.09297877669,10.8)); #43095=CARTESIAN_POINT('',(1.255625,62.2555319488,10.8)); #43096=CARTESIAN_POINT('',(1.255625,62.2555319488,10.8)); #43097=CARTESIAN_POINT('',(1.414791514874,62.41808512092,10.8)); #43098=CARTESIAN_POINT('',(1.414791514874,62.41808512092,10.8)); #43099=CARTESIAN_POINT('',(1.573958485127,62.49936170697,10.8)); #43100=CARTESIAN_POINT('',(1.573958485127,62.49936170697,10.8)); #43101=CARTESIAN_POINT('',(1.733125,62.54,10.8)); #43102=CARTESIAN_POINT('',(1.733125,62.54,10.8)); #43103=CARTESIAN_POINT('',(1.932083485127,62.54,10.8)); #43104=CARTESIAN_POINT('',(1.932083485127,62.54,10.8)); #43105=CARTESIAN_POINT('',(2.131041514874,62.49936170697,10.8)); #43106=CARTESIAN_POINT('',(2.131041514874,62.49936170697,10.8)); #43107=CARTESIAN_POINT('',(2.290208485127,62.37744682789,10.8)); #43108=CARTESIAN_POINT('',(2.290208485127,62.37744682789,10.8)); #43109=CARTESIAN_POINT('',(2.409583485127,62.21489365578,10.8)); #43110=CARTESIAN_POINT('',(2.409583485127,62.21489365578,10.8)); #43111=CARTESIAN_POINT('',(2.449375,62.09297877669,10.8)); #43112=CARTESIAN_POINT('',(2.449375,62.09297877669,10.8)); #43113=CARTESIAN_POINT('',(2.210625,62.09297877669,10.8)); #43114=CARTESIAN_POINT('',(4.399166970253,62.33680853486,10.8)); #43115=CARTESIAN_POINT('',(4.399166970253,62.54,10.8)); #43116=CARTESIAN_POINT('',(4.399166970253,62.33680853486,10.8)); #43117=CARTESIAN_POINT('',(3.7625,62.33680853486,10.8)); #43118=CARTESIAN_POINT('',(3.7625,62.33680853486,10.8)); #43119=CARTESIAN_POINT('',(3.7625,60.63,10.8)); #43120=CARTESIAN_POINT('',(3.7625,60.63,10.8)); #43121=CARTESIAN_POINT('',(3.52375,60.63,10.8)); #43122=CARTESIAN_POINT('',(3.52375,60.63,10.8)); #43123=CARTESIAN_POINT('',(3.52375,62.33680853486,10.8)); #43124=CARTESIAN_POINT('',(3.52375,62.33680853486,10.8)); #43125=CARTESIAN_POINT('',(2.887083485127,62.33680853486,10.8)); #43126=CARTESIAN_POINT('',(2.887083485127,62.33680853486,10.8)); #43127=CARTESIAN_POINT('',(2.887083485127,62.54,10.8)); #43128=CARTESIAN_POINT('',(2.887083485127,62.54,10.8)); #43129=CARTESIAN_POINT('',(4.399166970253,62.54,10.8)); #43130=CARTESIAN_POINT('Origin',(0.,0.,10.8)); #43131=CARTESIAN_POINT('',(-3.240833371282,61.76787231863,10.8)); #43132=CARTESIAN_POINT('',(-3.360208371282,61.7272340256,10.8)); #43133=CARTESIAN_POINT('',(-3.240833371282,61.76787231863,10.8)); #43134=CARTESIAN_POINT('',(-3.16125,61.84914890468,10.8)); #43135=CARTESIAN_POINT('',(-3.16125,61.84914890468,10.8)); #43136=CARTESIAN_POINT('',(-3.121458371282,61.97106378376,10.8)); #43137=CARTESIAN_POINT('',(-3.121458371282,61.97106378376,10.8)); #43138=CARTESIAN_POINT('',(-3.121458371282,62.09297877669,10.8)); #43139=CARTESIAN_POINT('',(-3.121458371282,62.09297877669,10.8)); #43140=CARTESIAN_POINT('',(-3.16125,62.21489365578,10.8)); #43141=CARTESIAN_POINT('',(-3.16125,62.21489365578,10.8)); #43142=CARTESIAN_POINT('',(-3.240833371282,62.29617024183,10.8)); #43143=CARTESIAN_POINT('',(-3.240833371282,62.29617024183,10.8)); #43144=CARTESIAN_POINT('',(-3.360208371282,62.33680853486,10.8)); #43145=CARTESIAN_POINT('',(-3.360208371282,62.33680853486,10.8)); #43146=CARTESIAN_POINT('',(-4.11625,62.33680853486,10.8)); #43147=CARTESIAN_POINT('',(-4.11625,62.33680853486,10.8)); #43148=CARTESIAN_POINT('',(-4.11625,61.7272340256,10.8)); #43149=CARTESIAN_POINT('',(-4.11625,61.7272340256,10.8)); #43150=CARTESIAN_POINT('',(-3.360208371282,61.7272340256,10.8)); #43151=CARTESIAN_POINT('Origin',(0.,0.,10.8)); #43152=CARTESIAN_POINT('',(-0.3758334851265,61.28021274537,10.8)); #43153=CARTESIAN_POINT('',(-0.01770848512647,62.37744682789,10.8)); #43154=CARTESIAN_POINT('',(-0.3758334851265,61.28021274537,10.8)); #43155=CARTESIAN_POINT('',(0.3404165148735,61.28021274537,10.8)); #43156=CARTESIAN_POINT('',(0.3404165148735,61.28021274537,10.8)); #43157=CARTESIAN_POINT('',(-0.01770848512647,62.37744682789,10.8)); #43158=CARTESIAN_POINT('Origin',(-4.250833371282,64.93297877669,11.)); #43159=CARTESIAN_POINT('',(-4.290625,65.01425536275,11.)); #43160=CARTESIAN_POINT('',(-4.250833371282,64.93297877669,11.)); #43161=CARTESIAN_POINT('Origin',(-4.012083371282,64.93297877669,11.)); #43162=CARTESIAN_POINT('',(-4.012083371282,64.93297877669,11.)); #43163=CARTESIAN_POINT('Origin',(-4.051875,65.05489365578,11.)); #43164=CARTESIAN_POINT('',(-4.051875,65.05489365578,11.)); #43165=CARTESIAN_POINT('Origin',(-4.17125,65.21744682789,11.)); #43166=CARTESIAN_POINT('',(-4.17125,65.21744682789,11.)); #43167=CARTESIAN_POINT('Origin',(-4.330416628718,65.33936170697,11.)); #43168=CARTESIAN_POINT('',(-4.330416628718,65.33936170697,11.)); #43169=CARTESIAN_POINT('Origin',(-4.529375,65.38,11.)); #43170=CARTESIAN_POINT('',(-4.529375,65.38,11.)); #43171=CARTESIAN_POINT('Origin',(-4.728333314359,65.38,11.)); #43172=CARTESIAN_POINT('',(-4.728333314359,65.38,11.)); #43173=CARTESIAN_POINT('Origin',(-4.8875,65.33936170697,11.)); #43174=CARTESIAN_POINT('',(-4.8875,65.33936170697,11.)); #43175=CARTESIAN_POINT('Origin',(-5.04666665718,65.25808512092,11.)); #43176=CARTESIAN_POINT('',(-5.04666665718,65.25808512092,11.)); #43177=CARTESIAN_POINT('Origin',(-5.20583332859,65.0955319488,11.)); #43178=CARTESIAN_POINT('',(-5.20583332859,65.0955319488,11.)); #43179=CARTESIAN_POINT('Origin',(-5.245625,64.93297877669,11.)); #43180=CARTESIAN_POINT('',(-5.245625,64.93297877669,11.)); #43181=CARTESIAN_POINT('Origin',(-5.245625,64.77042549074,11.)); #43182=CARTESIAN_POINT('',(-5.245625,64.77042549074,11.)); #43183=CARTESIAN_POINT('Origin',(-5.20583332859,64.60787231863,11.)); #43184=CARTESIAN_POINT('',(-5.20583332859,64.60787231863,11.)); #43185=CARTESIAN_POINT('Origin',(-5.04666665718,64.44531914651,11.)); #43186=CARTESIAN_POINT('',(-5.04666665718,64.44531914651,11.)); #43187=CARTESIAN_POINT('Origin',(-4.8875,64.36404256046,11.)); #43188=CARTESIAN_POINT('',(-4.8875,64.36404256046,11.)); #43189=CARTESIAN_POINT('Origin',(-4.728333314359,64.32340426743,11.)); #43190=CARTESIAN_POINT('',(-4.728333314359,64.32340426743,11.)); #43191=CARTESIAN_POINT('Origin',(-4.489583314359,64.32340426743,11.)); #43192=CARTESIAN_POINT('',(-4.489583314359,64.32340426743,11.)); #43193=CARTESIAN_POINT('Origin',(-4.330416628718,64.2827659744,11.)); #43194=CARTESIAN_POINT('',(-4.330416628718,64.2827659744,11.)); #43195=CARTESIAN_POINT('Origin',(-4.211041628718,64.20148938835,11.)); #43196=CARTESIAN_POINT('',(-4.211041628718,64.20148938835,11.)); #43197=CARTESIAN_POINT('Origin',(-4.131458371282,64.07957445234,11.)); #43198=CARTESIAN_POINT('',(-4.131458371282,64.07957445234,11.)); #43199=CARTESIAN_POINT('Origin',(-4.131458371282,63.95765957326,11.)); #43200=CARTESIAN_POINT('',(-4.131458371282,63.95765957326,11.)); #43201=CARTESIAN_POINT('Origin',(-4.211041628718,63.83574469417,11.)); #43202=CARTESIAN_POINT('',(-4.211041628718,63.83574469417,11.)); #43203=CARTESIAN_POINT('Origin',(-4.330416628718,63.75446807966,11.)); #43204=CARTESIAN_POINT('',(-4.330416628718,63.75446807966,11.)); #43205=CARTESIAN_POINT('Origin',(-4.489583314359,63.71382978663,11.)); #43206=CARTESIAN_POINT('',(-4.489583314359,63.71382978663,11.)); #43207=CARTESIAN_POINT('Origin',(-4.807916685641,63.71382978663,11.)); #43208=CARTESIAN_POINT('',(-4.807916685641,63.71382978663,11.)); #43209=CARTESIAN_POINT('Origin',(-4.96708334282,63.75446807966,11.)); #43210=CARTESIAN_POINT('',(-4.96708334282,63.75446807966,11.)); #43211=CARTESIAN_POINT('Origin',(-5.08645834282,63.8763829872,11.)); #43212=CARTESIAN_POINT('',(-5.08645834282,63.8763829872,11.)); #43213=CARTESIAN_POINT('Origin',(-5.12625,63.99829786628,11.)); #43214=CARTESIAN_POINT('',(-5.12625,63.99829786628,11.)); #43215=CARTESIAN_POINT('Origin',(-5.365,63.99829786628,11.)); #43216=CARTESIAN_POINT('',(-5.365,63.99829786628,11.)); #43217=CARTESIAN_POINT('Origin',(-5.325208332147,63.83574469417,11.)); #43218=CARTESIAN_POINT('',(-5.325208332147,63.83574469417,11.)); #43219=CARTESIAN_POINT('Origin',(-5.20583332859,63.63255318634,11.)); #43220=CARTESIAN_POINT('',(-5.20583332859,63.63255318634,11.)); #43221=CARTESIAN_POINT('Origin',(-5.006875,63.51063829659,11.)); #43222=CARTESIAN_POINT('',(-5.006875,63.51063829659,11.)); #43223=CARTESIAN_POINT('Origin',(-4.807916685641,63.47,11.)); #43224=CARTESIAN_POINT('',(-4.807916685641,63.47,11.)); #43225=CARTESIAN_POINT('Origin',(-4.489583314359,63.47,11.)); #43226=CARTESIAN_POINT('',(-4.489583314359,63.47,11.)); #43227=CARTESIAN_POINT('Origin',(-4.290625,63.51063829659,11.)); #43228=CARTESIAN_POINT('',(-4.290625,63.51063829659,11.)); #43229=CARTESIAN_POINT('Origin',(-4.131458371282,63.59191489331,11.)); #43230=CARTESIAN_POINT('',(-4.131458371282,63.59191489331,11.)); #43231=CARTESIAN_POINT('Origin',(-3.972291628718,63.75446807966,11.)); #43232=CARTESIAN_POINT('',(-3.972291628718,63.75446807966,11.)); #43233=CARTESIAN_POINT('Origin',(-3.892708371282,63.91702128023,11.)); #43234=CARTESIAN_POINT('',(-3.892708371282,63.91702128023,11.)); #43235=CARTESIAN_POINT('Origin',(-3.892708371282,64.12021274537,11.)); #43236=CARTESIAN_POINT('',(-3.892708371282,64.12021274537,11.)); #43237=CARTESIAN_POINT('Origin',(-3.972291628718,64.2827659744,11.)); #43238=CARTESIAN_POINT('',(-3.972291628718,64.2827659744,11.)); #43239=CARTESIAN_POINT('Origin',(-4.131458371282,64.44531914651,11.)); #43240=CARTESIAN_POINT('',(-4.131458371282,64.44531914651,11.)); #43241=CARTESIAN_POINT('Origin',(-4.290625,64.52659573257,11.)); #43242=CARTESIAN_POINT('',(-4.290625,64.52659573257,11.)); #43243=CARTESIAN_POINT('Origin',(-4.489583314359,64.5672340256,11.)); #43244=CARTESIAN_POINT('',(-4.489583314359,64.5672340256,11.)); #43245=CARTESIAN_POINT('Origin',(-4.728333314359,64.5672340256,11.)); #43246=CARTESIAN_POINT('',(-4.728333314359,64.5672340256,11.)); #43247=CARTESIAN_POINT('Origin',(-4.847708314359,64.60787231863,11.)); #43248=CARTESIAN_POINT('',(-4.847708314359,64.60787231863,11.)); #43249=CARTESIAN_POINT('Origin',(-4.96708334282,64.68914890468,11.)); #43250=CARTESIAN_POINT('',(-4.96708334282,64.68914890468,11.)); #43251=CARTESIAN_POINT('Origin',(-5.006875,64.81106378376,11.)); #43252=CARTESIAN_POINT('',(-5.006875,64.81106378376,11.)); #43253=CARTESIAN_POINT('Origin',(-5.006875,64.89234036982,11.)); #43254=CARTESIAN_POINT('',(-5.006875,64.89234036982,11.)); #43255=CARTESIAN_POINT('Origin',(-4.96708334282,65.01425536275,11.)); #43256=CARTESIAN_POINT('',(-4.96708334282,65.01425536275,11.)); #43257=CARTESIAN_POINT('Origin',(-4.847708314359,65.0955319488,11.)); #43258=CARTESIAN_POINT('',(-4.847708314359,65.0955319488,11.)); #43259=CARTESIAN_POINT('Origin',(-4.728333314359,65.13617024183,11.)); #43260=CARTESIAN_POINT('',(-4.728333314359,65.13617024183,11.)); #43261=CARTESIAN_POINT('Origin',(-4.489583314359,65.13617024183,11.)); #43262=CARTESIAN_POINT('',(-4.489583314359,65.13617024183,11.)); #43263=CARTESIAN_POINT('Origin',(-4.370208371282,65.0955319488,11.)); #43264=CARTESIAN_POINT('',(-4.370208371282,65.0955319488,11.)); #43265=CARTESIAN_POINT('Origin',(-4.290625,65.01425536275,11.)); #43266=CARTESIAN_POINT('Origin',(-2.062291742563,65.38,11.)); #43267=CARTESIAN_POINT('',(-2.698958257437,64.44531914651,11.)); #43268=CARTESIAN_POINT('',(-2.062291742563,65.38,11.)); #43269=CARTESIAN_POINT('Origin',(-2.301041742563,65.38,11.)); #43270=CARTESIAN_POINT('',(-2.301041742563,65.38,11.)); #43271=CARTESIAN_POINT('Origin',(-2.818333257437,64.60787231863,11.)); #43272=CARTESIAN_POINT('',(-2.818333257437,64.60787231863,11.)); #43273=CARTESIAN_POINT('Origin',(-3.335625,65.38,11.)); #43274=CARTESIAN_POINT('',(-3.335625,65.38,11.)); #43275=CARTESIAN_POINT('Origin',(-3.574375,65.38,11.)); #43276=CARTESIAN_POINT('',(-3.574375,65.38,11.)); #43277=CARTESIAN_POINT('Origin',(-2.937708257437,64.44531914651,11.)); #43278=CARTESIAN_POINT('',(-2.937708257437,64.44531914651,11.)); #43279=CARTESIAN_POINT('Origin',(-2.937708257437,63.47,11.)); #43280=CARTESIAN_POINT('',(-2.937708257437,63.47,11.)); #43281=CARTESIAN_POINT('Origin',(-2.698958257437,63.47,11.)); #43282=CARTESIAN_POINT('',(-2.698958257437,63.47,11.)); #43283=CARTESIAN_POINT('Origin',(-2.698958257437,64.44531914651,11.)); #43284=CARTESIAN_POINT('Origin',(-0.6297915148735,64.93297877669,11.)); #43285=CARTESIAN_POINT('',(-0.6695834851265,65.01425536275,11.)); #43286=CARTESIAN_POINT('',(-0.6297915148735,64.93297877669,11.)); #43287=CARTESIAN_POINT('Origin',(-0.3910415148735,64.93297877669,11.)); #43288=CARTESIAN_POINT('',(-0.3910415148735,64.93297877669,11.)); #43289=CARTESIAN_POINT('Origin',(-0.4308334851265,65.05489365578,11.)); #43290=CARTESIAN_POINT('',(-0.4308334851265,65.05489365578,11.)); #43291=CARTESIAN_POINT('Origin',(-0.5502084851265,65.21744682789,11.)); #43292=CARTESIAN_POINT('',(-0.5502084851265,65.21744682789,11.)); #43293=CARTESIAN_POINT('Origin',(-0.709375,65.33936170697,11.)); #43294=CARTESIAN_POINT('',(-0.709375,65.33936170697,11.)); #43295=CARTESIAN_POINT('Origin',(-0.9083334851265,65.38,11.)); #43296=CARTESIAN_POINT('',(-0.9083334851265,65.38,11.)); #43297=CARTESIAN_POINT('Origin',(-1.107291514873,65.38,11.)); #43298=CARTESIAN_POINT('',(-1.107291514873,65.38,11.)); #43299=CARTESIAN_POINT('Origin',(-1.266458485126,65.33936170697,11.)); #43300=CARTESIAN_POINT('',(-1.266458485126,65.33936170697,11.)); #43301=CARTESIAN_POINT('Origin',(-1.425625,65.25808512092,11.)); #43302=CARTESIAN_POINT('',(-1.425625,65.25808512092,11.)); #43303=CARTESIAN_POINT('Origin',(-1.584791742563,65.0955319488,11.)); #43304=CARTESIAN_POINT('',(-1.584791742563,65.0955319488,11.)); #43305=CARTESIAN_POINT('Origin',(-1.624583257437,64.93297877669,11.)); #43306=CARTESIAN_POINT('',(-1.624583257437,64.93297877669,11.)); #43307=CARTESIAN_POINT('Origin',(-1.624583257437,64.77042549074,11.)); #43308=CARTESIAN_POINT('',(-1.624583257437,64.77042549074,11.)); #43309=CARTESIAN_POINT('Origin',(-1.584791742563,64.60787231863,11.)); #43310=CARTESIAN_POINT('',(-1.584791742563,64.60787231863,11.)); #43311=CARTESIAN_POINT('Origin',(-1.425625,64.44531914651,11.)); #43312=CARTESIAN_POINT('',(-1.425625,64.44531914651,11.)); #43313=CARTESIAN_POINT('Origin',(-1.266458485126,64.36404256046,11.)); #43314=CARTESIAN_POINT('',(-1.266458485126,64.36404256046,11.)); #43315=CARTESIAN_POINT('Origin',(-1.107291514873,64.32340426743,11.)); #43316=CARTESIAN_POINT('',(-1.107291514873,64.32340426743,11.)); #43317=CARTESIAN_POINT('Origin',(-0.8685415148735,64.32340426743,11.)); #43318=CARTESIAN_POINT('',(-0.8685415148735,64.32340426743,11.)); #43319=CARTESIAN_POINT('Origin',(-0.709375,64.2827659744,11.)); #43320=CARTESIAN_POINT('',(-0.709375,64.2827659744,11.)); #43321=CARTESIAN_POINT('Origin',(-0.59,64.20148938835,11.)); #43322=CARTESIAN_POINT('',(-0.59,64.20148938835,11.)); #43323=CARTESIAN_POINT('Origin',(-0.5104165148735,64.07957445234,11.)); #43324=CARTESIAN_POINT('',(-0.5104165148735,64.07957445234,11.)); #43325=CARTESIAN_POINT('Origin',(-0.5104165148735,63.95765957326,11.)); #43326=CARTESIAN_POINT('',(-0.5104165148735,63.95765957326,11.)); #43327=CARTESIAN_POINT('Origin',(-0.59,63.83574469417,11.)); #43328=CARTESIAN_POINT('',(-0.59,63.83574469417,11.)); #43329=CARTESIAN_POINT('Origin',(-0.709375,63.75446807966,11.)); #43330=CARTESIAN_POINT('',(-0.709375,63.75446807966,11.)); #43331=CARTESIAN_POINT('Origin',(-0.8685415148735,63.71382978663,11.)); #43332=CARTESIAN_POINT('',(-0.8685415148735,63.71382978663,11.)); #43333=CARTESIAN_POINT('Origin',(-1.186875,63.71382978663,11.)); #43334=CARTESIAN_POINT('',(-1.186875,63.71382978663,11.)); #43335=CARTESIAN_POINT('Origin',(-1.346041514873,63.75446807966,11.)); #43336=CARTESIAN_POINT('',(-1.346041514873,63.75446807966,11.)); #43337=CARTESIAN_POINT('Origin',(-1.465416514873,63.8763829872,11.)); #43338=CARTESIAN_POINT('',(-1.465416514873,63.8763829872,11.)); #43339=CARTESIAN_POINT('Origin',(-1.505208485126,63.99829786628,11.)); #43340=CARTESIAN_POINT('',(-1.505208485126,63.99829786628,11.)); #43341=CARTESIAN_POINT('Origin',(-1.743958257437,63.99829786628,11.)); #43342=CARTESIAN_POINT('',(-1.743958257437,63.99829786628,11.)); #43343=CARTESIAN_POINT('Origin',(-1.704166742563,63.83574469417,11.)); #43344=CARTESIAN_POINT('',(-1.704166742563,63.83574469417,11.)); #43345=CARTESIAN_POINT('Origin',(-1.584791742563,63.63255318634,11.)); #43346=CARTESIAN_POINT('',(-1.584791742563,63.63255318634,11.)); #43347=CARTESIAN_POINT('Origin',(-1.385833485126,63.51063829659,11.)); #43348=CARTESIAN_POINT('',(-1.385833485126,63.51063829659,11.)); #43349=CARTESIAN_POINT('Origin',(-1.186875,63.47,11.)); #43350=CARTESIAN_POINT('',(-1.186875,63.47,11.)); #43351=CARTESIAN_POINT('Origin',(-0.8685415148735,63.47,11.)); #43352=CARTESIAN_POINT('',(-0.8685415148735,63.47,11.)); #43353=CARTESIAN_POINT('Origin',(-0.6695834851265,63.51063829659,11.)); #43354=CARTESIAN_POINT('',(-0.6695834851265,63.51063829659,11.)); #43355=CARTESIAN_POINT('Origin',(-0.5104165148735,63.59191489331,11.)); #43356=CARTESIAN_POINT('',(-0.5104165148735,63.59191489331,11.)); #43357=CARTESIAN_POINT('Origin',(-0.35125,63.75446807966,11.)); #43358=CARTESIAN_POINT('',(-0.35125,63.75446807966,11.)); #43359=CARTESIAN_POINT('Origin',(-0.2716665148735,63.91702128023,11.)); #43360=CARTESIAN_POINT('',(-0.2716665148735,63.91702128023,11.)); #43361=CARTESIAN_POINT('Origin',(-0.2716665148735,64.12021274537,11.)); #43362=CARTESIAN_POINT('',(-0.2716665148735,64.12021274537,11.)); #43363=CARTESIAN_POINT('Origin',(-0.35125,64.2827659744,11.)); #43364=CARTESIAN_POINT('',(-0.35125,64.2827659744,11.)); #43365=CARTESIAN_POINT('Origin',(-0.5104165148735,64.44531914651,11.)); #43366=CARTESIAN_POINT('',(-0.5104165148735,64.44531914651,11.)); #43367=CARTESIAN_POINT('Origin',(-0.6695834851265,64.52659573257,11.)); #43368=CARTESIAN_POINT('',(-0.6695834851265,64.52659573257,11.)); #43369=CARTESIAN_POINT('Origin',(-0.8685415148735,64.5672340256,11.)); #43370=CARTESIAN_POINT('',(-0.8685415148735,64.5672340256,11.)); #43371=CARTESIAN_POINT('Origin',(-1.107291514873,64.5672340256,11.)); #43372=CARTESIAN_POINT('',(-1.107291514873,64.5672340256,11.)); #43373=CARTESIAN_POINT('Origin',(-1.226666514873,64.60787231863,11.)); #43374=CARTESIAN_POINT('',(-1.226666514873,64.60787231863,11.)); #43375=CARTESIAN_POINT('Origin',(-1.346041514873,64.68914890468,11.)); #43376=CARTESIAN_POINT('',(-1.346041514873,64.68914890468,11.)); #43377=CARTESIAN_POINT('Origin',(-1.385833485126,64.81106378376,11.)); #43378=CARTESIAN_POINT('',(-1.385833485126,64.81106378376,11.)); #43379=CARTESIAN_POINT('Origin',(-1.385833485126,64.89234036982,11.)); #43380=CARTESIAN_POINT('',(-1.385833485126,64.89234036982,11.)); #43381=CARTESIAN_POINT('Origin',(-1.346041514873,65.01425536275,11.)); #43382=CARTESIAN_POINT('',(-1.346041514873,65.01425536275,11.)); #43383=CARTESIAN_POINT('Origin',(-1.226666514873,65.0955319488,11.)); #43384=CARTESIAN_POINT('',(-1.226666514873,65.0955319488,11.)); #43385=CARTESIAN_POINT('Origin',(-1.107291514873,65.13617024183,11.)); #43386=CARTESIAN_POINT('',(-1.107291514873,65.13617024183,11.)); #43387=CARTESIAN_POINT('Origin',(-0.8685415148735,65.13617024183,11.)); #43388=CARTESIAN_POINT('',(-0.8685415148735,65.13617024183,11.)); #43389=CARTESIAN_POINT('Origin',(-0.7491665148735,65.0955319488,11.)); #43390=CARTESIAN_POINT('',(-0.7491665148735,65.0955319488,11.)); #43391=CARTESIAN_POINT('Origin',(-0.6695834851265,65.01425536275,11.)); #43392=CARTESIAN_POINT('Origin',(1.55875,65.38,11.)); #43393=CARTESIAN_POINT('',(1.55875,65.17680853486,11.)); #43394=CARTESIAN_POINT('',(1.55875,65.38,11.)); #43395=CARTESIAN_POINT('Origin',(0.04666651487353,65.38,11.)); #43396=CARTESIAN_POINT('',(0.04666651487353,65.38,11.)); #43397=CARTESIAN_POINT('Origin',(0.04666651487353,65.17680853486,11.)); #43398=CARTESIAN_POINT('',(0.04666651487353,65.17680853486,11.)); #43399=CARTESIAN_POINT('Origin',(0.6833334851265,65.17680853486,11.)); #43400=CARTESIAN_POINT('',(0.6833334851265,65.17680853486,11.)); #43401=CARTESIAN_POINT('Origin',(0.6833334851265,63.47,11.)); #43402=CARTESIAN_POINT('',(0.6833334851265,63.47,11.)); #43403=CARTESIAN_POINT('Origin',(0.9220834851265,63.47,11.)); #43404=CARTESIAN_POINT('',(0.9220834851265,63.47,11.)); #43405=CARTESIAN_POINT('Origin',(0.9220834851265,65.17680853486,11.)); #43406=CARTESIAN_POINT('',(0.9220834851265,65.17680853486,11.)); #43407=CARTESIAN_POINT('Origin',(1.55875,65.17680853486,11.)); #43408=CARTESIAN_POINT('Origin',(3.309583029747,63.71382978663,11.)); #43409=CARTESIAN_POINT('',(3.309583029747,63.47,11.)); #43410=CARTESIAN_POINT('',(3.309583029747,63.71382978663,11.)); #43411=CARTESIAN_POINT('Origin',(2.115833485127,63.71382978663,11.)); #43412=CARTESIAN_POINT('',(2.115833485127,63.71382978663,11.)); #43413=CARTESIAN_POINT('Origin',(2.115833485127,64.36404256046,11.)); #43414=CARTESIAN_POINT('',(2.115833485127,64.36404256046,11.)); #43415=CARTESIAN_POINT('Origin',(3.150416970253,64.36404256046,11.)); #43416=CARTESIAN_POINT('',(3.150416970253,64.36404256046,11.)); #43417=CARTESIAN_POINT('Origin',(3.150416970253,64.60787231863,11.)); #43418=CARTESIAN_POINT('',(3.150416970253,64.60787231863,11.)); #43419=CARTESIAN_POINT('Origin',(2.115833485127,64.60787231863,11.)); #43420=CARTESIAN_POINT('',(2.115833485127,64.60787231863,11.)); #43421=CARTESIAN_POINT('Origin',(2.115833485127,65.13617024183,11.)); #43422=CARTESIAN_POINT('',(2.115833485127,65.13617024183,11.)); #43423=CARTESIAN_POINT('Origin',(3.309583029747,65.13617024183,11.)); #43424=CARTESIAN_POINT('',(3.309583029747,65.13617024183,11.)); #43425=CARTESIAN_POINT('Origin',(3.309583029747,65.38,11.)); #43426=CARTESIAN_POINT('',(3.309583029747,65.38,11.)); #43427=CARTESIAN_POINT('Origin',(1.877083485127,65.38,11.)); #43428=CARTESIAN_POINT('',(1.877083485127,65.38,11.)); #43429=CARTESIAN_POINT('Origin',(1.877083485127,63.47,11.)); #43430=CARTESIAN_POINT('',(1.877083485127,63.47,11.)); #43431=CARTESIAN_POINT('Origin',(3.309583029747,63.47,11.)); #43432=CARTESIAN_POINT('Origin',(5.299166970253,64.97361706972,11.)); #43433=CARTESIAN_POINT('',(4.742083029747,63.47,11.)); #43434=CARTESIAN_POINT('',(5.299166970253,64.97361706972,11.)); #43435=CARTESIAN_POINT('Origin',(5.299166970253,63.47,11.)); #43436=CARTESIAN_POINT('',(5.299166970253,63.47,11.)); #43437=CARTESIAN_POINT('Origin',(5.537916970253,63.47,11.)); #43438=CARTESIAN_POINT('',(5.537916970253,63.47,11.)); #43439=CARTESIAN_POINT('Origin',(5.537916970253,65.38,11.)); #43440=CARTESIAN_POINT('',(5.537916970253,65.38,11.)); #43441=CARTESIAN_POINT('Origin',(5.179791970253,65.38,11.)); #43442=CARTESIAN_POINT('',(5.179791970253,65.38,11.)); #43443=CARTESIAN_POINT('Origin',(4.582916970253,63.6731914936,11.)); #43444=CARTESIAN_POINT('',(4.582916970253,63.6731914936,11.)); #43445=CARTESIAN_POINT('Origin',(3.986041970253,65.38,11.)); #43446=CARTESIAN_POINT('',(3.986041970253,65.38,11.)); #43447=CARTESIAN_POINT('Origin',(3.627916970253,65.38,11.)); #43448=CARTESIAN_POINT('',(3.627916970253,65.38,11.)); #43449=CARTESIAN_POINT('Origin',(3.627916970253,63.47,11.)); #43450=CARTESIAN_POINT('',(3.627916970253,63.47,11.)); #43451=CARTESIAN_POINT('Origin',(3.866666970253,63.47,11.)); #43452=CARTESIAN_POINT('',(3.866666970253,63.47,11.)); #43453=CARTESIAN_POINT('Origin',(3.866666970253,64.97361706972,11.)); #43454=CARTESIAN_POINT('',(3.866666970253,64.97361706972,11.)); #43455=CARTESIAN_POINT('Origin',(4.42375,63.47,11.)); #43456=CARTESIAN_POINT('',(4.42375,63.47,11.)); #43457=CARTESIAN_POINT('Origin',(4.742083029747,63.47,11.)); #43458=CARTESIAN_POINT('Origin',(-2.882708371282,62.09297877669,11.)); #43459=CARTESIAN_POINT('',(-2.882708371282,61.93042549074,11.)); #43460=CARTESIAN_POINT('',(-2.882708371282,62.09297877669,11.)); #43461=CARTESIAN_POINT('Origin',(-2.9225,62.21489365578,11.)); #43462=CARTESIAN_POINT('',(-2.9225,62.21489365578,11.)); #43463=CARTESIAN_POINT('Origin',(-3.041875,62.37744682789,11.)); #43464=CARTESIAN_POINT('',(-3.041875,62.37744682789,11.)); #43465=CARTESIAN_POINT('Origin',(-3.201041628718,62.49936170697,11.)); #43466=CARTESIAN_POINT('',(-3.201041628718,62.49936170697,11.)); #43467=CARTESIAN_POINT('Origin',(-3.4,62.54,11.)); #43468=CARTESIAN_POINT('',(-3.4,62.54,11.)); #43469=CARTESIAN_POINT('Origin',(-4.355,62.54,11.)); #43470=CARTESIAN_POINT('',(-4.355,62.54,11.)); #43471=CARTESIAN_POINT('Origin',(-4.355,60.63,11.)); #43472=CARTESIAN_POINT('',(-4.355,60.63,11.)); #43473=CARTESIAN_POINT('Origin',(-4.11625,60.63,11.)); #43474=CARTESIAN_POINT('',(-4.11625,60.63,11.)); #43475=CARTESIAN_POINT('Origin',(-4.11625,61.48340426743,11.)); #43476=CARTESIAN_POINT('',(-4.11625,61.48340426743,11.)); #43477=CARTESIAN_POINT('Origin',(-3.4,61.48340426743,11.)); #43478=CARTESIAN_POINT('',(-3.4,61.48340426743,11.)); #43479=CARTESIAN_POINT('Origin',(-3.201041628718,61.52404256046,11.)); #43480=CARTESIAN_POINT('',(-3.201041628718,61.52404256046,11.)); #43481=CARTESIAN_POINT('Origin',(-3.041875,61.64595743954,11.)); #43482=CARTESIAN_POINT('',(-3.041875,61.64595743954,11.)); #43483=CARTESIAN_POINT('Origin',(-2.9225,61.80851061165,11.)); #43484=CARTESIAN_POINT('',(-2.9225,61.80851061165,11.)); #43485=CARTESIAN_POINT('Origin',(-2.882708371282,61.93042549074,11.)); #43486=CARTESIAN_POINT('Origin',(-3.360208371282,61.7272340256,11.)); #43487=CARTESIAN_POINT('',(-3.240833371282,61.76787231863,11.)); #43488=CARTESIAN_POINT('',(-3.360208371282,61.7272340256,11.)); #43489=CARTESIAN_POINT('Origin',(-4.11625,61.7272340256,11.)); #43490=CARTESIAN_POINT('',(-4.11625,61.7272340256,11.)); #43491=CARTESIAN_POINT('Origin',(-4.11625,62.33680853486,11.)); #43492=CARTESIAN_POINT('',(-4.11625,62.33680853486,11.)); #43493=CARTESIAN_POINT('Origin',(-3.360208371282,62.33680853486,11.)); #43494=CARTESIAN_POINT('',(-3.360208371282,62.33680853486,11.)); #43495=CARTESIAN_POINT('Origin',(-3.240833371282,62.29617024183,11.)); #43496=CARTESIAN_POINT('',(-3.240833371282,62.29617024183,11.)); #43497=CARTESIAN_POINT('Origin',(-3.16125,62.21489365578,11.)); #43498=CARTESIAN_POINT('',(-3.16125,62.21489365578,11.)); #43499=CARTESIAN_POINT('Origin',(-3.121458371282,62.09297877669,11.)); #43500=CARTESIAN_POINT('',(-3.121458371282,62.09297877669,11.)); #43501=CARTESIAN_POINT('Origin',(-3.121458371282,61.97106378376,11.)); #43502=CARTESIAN_POINT('',(-3.121458371282,61.97106378376,11.)); #43503=CARTESIAN_POINT('Origin',(-3.16125,61.84914890468,11.)); #43504=CARTESIAN_POINT('',(-3.16125,61.84914890468,11.)); #43505=CARTESIAN_POINT('Origin',(-3.240833371282,61.76787231863,11.)); #43506=CARTESIAN_POINT('Origin',(-2.564375,62.54,11.)); #43507=CARTESIAN_POINT('',(-2.325625,62.54,11.)); #43508=CARTESIAN_POINT('',(-2.564375,62.54,11.)); #43509=CARTESIAN_POINT('Origin',(-2.564375,60.63,11.)); #43510=CARTESIAN_POINT('',(-2.564375,60.63,11.)); #43511=CARTESIAN_POINT('Origin',(-1.131875,60.63,11.)); #43512=CARTESIAN_POINT('',(-1.131875,60.63,11.)); #43513=CARTESIAN_POINT('Origin',(-1.131875,60.87382978663,11.)); #43514=CARTESIAN_POINT('',(-1.131875,60.87382978663,11.)); #43515=CARTESIAN_POINT('Origin',(-2.325625,60.87382978663,11.)); #43516=CARTESIAN_POINT('',(-2.325625,60.87382978663,11.)); #43517=CARTESIAN_POINT('Origin',(-2.325625,62.54,11.)); #43518=CARTESIAN_POINT('Origin',(0.1414584851265,62.54,11.)); #43519=CARTESIAN_POINT('',(0.778125,60.63,11.)); #43520=CARTESIAN_POINT('',(0.1414584851265,62.54,11.)); #43521=CARTESIAN_POINT('Origin',(-0.176875,62.54,11.)); #43522=CARTESIAN_POINT('',(-0.176875,62.54,11.)); #43523=CARTESIAN_POINT('Origin',(-0.8135417425632,60.63,11.)); #43524=CARTESIAN_POINT('',(-0.8135417425632,60.63,11.)); #43525=CARTESIAN_POINT('Origin',(-0.5747917425632,60.63,11.)); #43526=CARTESIAN_POINT('',(-0.5747917425632,60.63,11.)); #43527=CARTESIAN_POINT('Origin',(-0.415625,61.11765957326,11.)); #43528=CARTESIAN_POINT('',(-0.415625,61.11765957326,11.)); #43529=CARTESIAN_POINT('Origin',(0.3802084851265,61.11765957326,11.)); #43530=CARTESIAN_POINT('',(0.3802084851265,61.11765957326,11.)); #43531=CARTESIAN_POINT('Origin',(0.539375,60.63,11.)); #43532=CARTESIAN_POINT('',(0.539375,60.63,11.)); #43533=CARTESIAN_POINT('Origin',(0.778125,60.63,11.)); #43534=CARTESIAN_POINT('Origin',(-0.01770848512647,62.37744682789,11.)); #43535=CARTESIAN_POINT('',(-0.3758334851265,61.28021274537,11.)); #43536=CARTESIAN_POINT('',(-0.01770848512647,62.37744682789,11.)); #43537=CARTESIAN_POINT('Origin',(0.3404165148735,61.28021274537,11.)); #43538=CARTESIAN_POINT('',(0.3404165148735,61.28021274537,11.)); #43539=CARTESIAN_POINT('Origin',(-0.3758334851265,61.28021274537,11.)); #43540=CARTESIAN_POINT('Origin',(2.210625,62.09297877669,11.)); #43541=CARTESIAN_POINT('',(2.170833485127,62.17425536275,11.)); #43542=CARTESIAN_POINT('',(2.210625,62.09297877669,11.)); #43543=CARTESIAN_POINT('Origin',(2.449375,62.09297877669,11.)); #43544=CARTESIAN_POINT('',(2.449375,62.09297877669,11.)); #43545=CARTESIAN_POINT('Origin',(2.409583485127,62.21489365578,11.)); #43546=CARTESIAN_POINT('',(2.409583485127,62.21489365578,11.)); #43547=CARTESIAN_POINT('Origin',(2.290208485127,62.37744682789,11.)); #43548=CARTESIAN_POINT('',(2.290208485127,62.37744682789,11.)); #43549=CARTESIAN_POINT('Origin',(2.131041514874,62.49936170697,11.)); #43550=CARTESIAN_POINT('',(2.131041514874,62.49936170697,11.)); #43551=CARTESIAN_POINT('Origin',(1.932083485127,62.54,11.)); #43552=CARTESIAN_POINT('',(1.932083485127,62.54,11.)); #43553=CARTESIAN_POINT('Origin',(1.733125,62.54,11.)); #43554=CARTESIAN_POINT('',(1.733125,62.54,11.)); #43555=CARTESIAN_POINT('Origin',(1.573958485127,62.49936170697,11.)); #43556=CARTESIAN_POINT('',(1.573958485127,62.49936170697,11.)); #43557=CARTESIAN_POINT('Origin',(1.414791514874,62.41808512092,11.)); #43558=CARTESIAN_POINT('',(1.414791514874,62.41808512092,11.)); #43559=CARTESIAN_POINT('Origin',(1.255625,62.2555319488,11.)); #43560=CARTESIAN_POINT('',(1.255625,62.2555319488,11.)); #43561=CARTESIAN_POINT('Origin',(1.215833485127,62.09297877669,11.)); #43562=CARTESIAN_POINT('',(1.215833485127,62.09297877669,11.)); #43563=CARTESIAN_POINT('Origin',(1.215833485127,61.93042549074,11.)); #43564=CARTESIAN_POINT('',(1.215833485127,61.93042549074,11.)); #43565=CARTESIAN_POINT('Origin',(1.255625,61.76787231863,11.)); #43566=CARTESIAN_POINT('',(1.255625,61.76787231863,11.)); #43567=CARTESIAN_POINT('Origin',(1.414791514874,61.60531914651,11.)); #43568=CARTESIAN_POINT('',(1.414791514874,61.60531914651,11.)); #43569=CARTESIAN_POINT('Origin',(1.573958485127,61.52404256046,11.)); #43570=CARTESIAN_POINT('',(1.573958485127,61.52404256046,11.)); #43571=CARTESIAN_POINT('Origin',(1.733125,61.48340426743,11.)); #43572=CARTESIAN_POINT('',(1.733125,61.48340426743,11.)); #43573=CARTESIAN_POINT('Origin',(1.971875,61.48340426743,11.)); #43574=CARTESIAN_POINT('',(1.971875,61.48340426743,11.)); #43575=CARTESIAN_POINT('Origin',(2.131041514874,61.4427659744,11.)); #43576=CARTESIAN_POINT('',(2.131041514874,61.4427659744,11.)); #43577=CARTESIAN_POINT('Origin',(2.250416514874,61.36148938835,11.)); #43578=CARTESIAN_POINT('',(2.250416514874,61.36148938835,11.)); #43579=CARTESIAN_POINT('Origin',(2.33,61.23957445234,11.)); #43580=CARTESIAN_POINT('',(2.33,61.23957445234,11.)); #43581=CARTESIAN_POINT('Origin',(2.33,61.11765957326,11.)); #43582=CARTESIAN_POINT('',(2.33,61.11765957326,11.)); #43583=CARTESIAN_POINT('Origin',(2.250416514874,60.99574469417,11.)); #43584=CARTESIAN_POINT('',(2.250416514874,60.99574469417,11.)); #43585=CARTESIAN_POINT('Origin',(2.131041514874,60.91446807966,11.)); #43586=CARTESIAN_POINT('',(2.131041514874,60.91446807966,11.)); #43587=CARTESIAN_POINT('Origin',(1.971875,60.87382978663,11.)); #43588=CARTESIAN_POINT('',(1.971875,60.87382978663,11.)); #43589=CARTESIAN_POINT('Origin',(1.653541514874,60.87382978663,11.)); #43590=CARTESIAN_POINT('',(1.653541514874,60.87382978663,11.)); #43591=CARTESIAN_POINT('Origin',(1.494375,60.91446807966,11.)); #43592=CARTESIAN_POINT('',(1.494375,60.91446807966,11.)); #43593=CARTESIAN_POINT('Origin',(1.375,61.0363829872,11.)); #43594=CARTESIAN_POINT('',(1.375,61.0363829872,11.)); #43595=CARTESIAN_POINT('Origin',(1.335208485127,61.15829786628,11.)); #43596=CARTESIAN_POINT('',(1.335208485127,61.15829786628,11.)); #43597=CARTESIAN_POINT('Origin',(1.096458485127,61.15829786628,11.)); #43598=CARTESIAN_POINT('',(1.096458485127,61.15829786628,11.)); #43599=CARTESIAN_POINT('Origin',(1.13625,60.99574469417,11.)); #43600=CARTESIAN_POINT('',(1.13625,60.99574469417,11.)); #43601=CARTESIAN_POINT('Origin',(1.255625,60.79255318634,11.)); #43602=CARTESIAN_POINT('',(1.255625,60.79255318634,11.)); #43603=CARTESIAN_POINT('Origin',(1.454583485127,60.67063829659,11.)); #43604=CARTESIAN_POINT('',(1.454583485127,60.67063829659,11.)); #43605=CARTESIAN_POINT('Origin',(1.653541514874,60.63,11.)); #43606=CARTESIAN_POINT('',(1.653541514874,60.63,11.)); #43607=CARTESIAN_POINT('Origin',(1.971875,60.63,11.)); #43608=CARTESIAN_POINT('',(1.971875,60.63,11.)); #43609=CARTESIAN_POINT('Origin',(2.170833485127,60.67063829659,11.)); #43610=CARTESIAN_POINT('',(2.170833485127,60.67063829659,11.)); #43611=CARTESIAN_POINT('Origin',(2.33,60.75191489331,11.)); #43612=CARTESIAN_POINT('',(2.33,60.75191489331,11.)); #43613=CARTESIAN_POINT('Origin',(2.489166514874,60.91446807966,11.)); #43614=CARTESIAN_POINT('',(2.489166514874,60.91446807966,11.)); #43615=CARTESIAN_POINT('Origin',(2.56875,61.07702128023,11.)); #43616=CARTESIAN_POINT('',(2.56875,61.07702128023,11.)); #43617=CARTESIAN_POINT('Origin',(2.56875,61.28021274537,11.)); #43618=CARTESIAN_POINT('',(2.56875,61.28021274537,11.)); #43619=CARTESIAN_POINT('Origin',(2.489166514874,61.4427659744,11.)); #43620=CARTESIAN_POINT('',(2.489166514874,61.4427659744,11.)); #43621=CARTESIAN_POINT('Origin',(2.33,61.60531914651,11.)); #43622=CARTESIAN_POINT('',(2.33,61.60531914651,11.)); #43623=CARTESIAN_POINT('Origin',(2.170833485127,61.68659573257,11.)); #43624=CARTESIAN_POINT('',(2.170833485127,61.68659573257,11.)); #43625=CARTESIAN_POINT('Origin',(1.971875,61.7272340256,11.)); #43626=CARTESIAN_POINT('',(1.971875,61.7272340256,11.)); #43627=CARTESIAN_POINT('Origin',(1.733125,61.7272340256,11.)); #43628=CARTESIAN_POINT('',(1.733125,61.7272340256,11.)); #43629=CARTESIAN_POINT('Origin',(1.61375,61.76787231863,11.)); #43630=CARTESIAN_POINT('',(1.61375,61.76787231863,11.)); #43631=CARTESIAN_POINT('Origin',(1.494375,61.84914890468,11.)); #43632=CARTESIAN_POINT('',(1.494375,61.84914890468,11.)); #43633=CARTESIAN_POINT('Origin',(1.454583485127,61.97106378376,11.)); #43634=CARTESIAN_POINT('',(1.454583485127,61.97106378376,11.)); #43635=CARTESIAN_POINT('Origin',(1.454583485127,62.05234036982,11.)); #43636=CARTESIAN_POINT('',(1.454583485127,62.05234036982,11.)); #43637=CARTESIAN_POINT('Origin',(1.494375,62.17425536275,11.)); #43638=CARTESIAN_POINT('',(1.494375,62.17425536275,11.)); #43639=CARTESIAN_POINT('Origin',(1.61375,62.2555319488,11.)); #43640=CARTESIAN_POINT('',(1.61375,62.2555319488,11.)); #43641=CARTESIAN_POINT('Origin',(1.733125,62.29617024183,11.)); #43642=CARTESIAN_POINT('',(1.733125,62.29617024183,11.)); #43643=CARTESIAN_POINT('Origin',(1.971875,62.29617024183,11.)); #43644=CARTESIAN_POINT('',(1.971875,62.29617024183,11.)); #43645=CARTESIAN_POINT('Origin',(2.09125,62.2555319488,11.)); #43646=CARTESIAN_POINT('',(2.09125,62.2555319488,11.)); #43647=CARTESIAN_POINT('Origin',(2.170833485127,62.17425536275,11.)); #43648=CARTESIAN_POINT('Origin',(4.399166970253,62.54,11.)); #43649=CARTESIAN_POINT('',(4.399166970253,62.33680853486,11.)); #43650=CARTESIAN_POINT('',(4.399166970253,62.54,11.)); #43651=CARTESIAN_POINT('Origin',(2.887083485127,62.54,11.)); #43652=CARTESIAN_POINT('',(2.887083485127,62.54,11.)); #43653=CARTESIAN_POINT('Origin',(2.887083485127,62.33680853486,11.)); #43654=CARTESIAN_POINT('',(2.887083485127,62.33680853486,11.)); #43655=CARTESIAN_POINT('Origin',(3.52375,62.33680853486,11.)); #43656=CARTESIAN_POINT('',(3.52375,62.33680853486,11.)); #43657=CARTESIAN_POINT('Origin',(3.52375,60.63,11.)); #43658=CARTESIAN_POINT('',(3.52375,60.63,11.)); #43659=CARTESIAN_POINT('Origin',(3.7625,60.63,11.)); #43660=CARTESIAN_POINT('',(3.7625,60.63,11.)); #43661=CARTESIAN_POINT('Origin',(3.7625,62.33680853486,11.)); #43662=CARTESIAN_POINT('',(3.7625,62.33680853486,11.)); #43663=CARTESIAN_POINT('Origin',(4.399166970253,62.33680853486,11.)); #43664=CARTESIAN_POINT('Origin',(0.,0.,15.)); #43665=CARTESIAN_POINT('',(-45.,0.,15.)); #43666=CARTESIAN_POINT('',(44.9985886318574,-0.356400253754903,15.)); #43667=CARTESIAN_POINT('Origin',(0.,0.,15.)); #43668=CARTESIAN_POINT('Origin',(0.,0.,15.)); #43669=CARTESIAN_POINT('Origin',(48.9984631769114,-0.388080276310895,15.)); #43670=CARTESIAN_POINT('Origin',(0.,0.,-21.25)); #43671=CARTESIAN_POINT('',(-45.,-5.51091059616309E-15,-15.)); #43672=CARTESIAN_POINT('',(-44.9971519048242,-0.506281003172552,-15.)); #43673=CARTESIAN_POINT('Origin',(0.,0.,-15.)); #43674=CARTESIAN_POINT('Origin',(0.,0.,-15.)); #43675=CARTESIAN_POINT('',(-45.,-5.51091059616309E-15,-16.25)); #43676=CARTESIAN_POINT('',(-45.,-5.51091059616309E-15,-21.25)); #43677=CARTESIAN_POINT('',(44.9971519048242,0.506281003172552,-16.25)); #43678=CARTESIAN_POINT('Origin',(0.,0.,-16.25)); #43679=CARTESIAN_POINT('Origin',(0.,0.,-16.25)); #43680=CARTESIAN_POINT('Origin',(0.,0.,-21.25)); #43681=CARTESIAN_POINT('',(-45.,0.,16.25)); #43682=CARTESIAN_POINT('',(44.9985886318574,-0.356400253754904,16.25)); #43683=CARTESIAN_POINT('Origin',(0.,0.,16.25)); #43684=CARTESIAN_POINT('',(-45.,-5.51091059616309E-15,-21.25)); #43685=CARTESIAN_POINT('Origin',(0.,0.,16.25)); #43686=CARTESIAN_POINT('Origin',(0.,0.,-16.25)); #43687=CARTESIAN_POINT('',(39.9974683598438,0.450027558375602,-21.25)); #43688=CARTESIAN_POINT('Origin',(39.9974683598438,0.450027558375602,-16.25)); #43689=CARTESIAN_POINT('Origin',(0.,0.,-21.25)); #43690=CARTESIAN_POINT('Origin',(0.,0.,-21.25)); #43691=CARTESIAN_POINT('',(-3.99999999940089,15.4915560287107,-21.2500000008433)); #43692=CARTESIAN_POINT('',(3.99999999945326,15.4915824211491,-21.2500000007678)); #43693=CARTESIAN_POINT('Origin',(5.11090425401E-5,-0.0003405655741542,-21.25)); #43694=CARTESIAN_POINT('',(4.,18.3,-21.25)); #43695=CARTESIAN_POINT('',(4.,7.2284161474,-21.25)); #43696=CARTESIAN_POINT('',(-4.,18.3,-21.25)); #43697=CARTESIAN_POINT('',(4.,18.3,-21.25)); #43698=CARTESIAN_POINT('',(-4.,9.15,-21.25)); #43699=CARTESIAN_POINT('Origin',(5.11090425401E-5,-0.0003405655741542,-20.74991874822)); #43700=CARTESIAN_POINT('',(-4.00000000012933,14.4568322944162,-20.2503412168142)); #43701=CARTESIAN_POINT('Ctrl Pts',(-4.,14.4568322942274,-20.2503412170828)); #43702=CARTESIAN_POINT('Ctrl Pts',(-4.,14.957511327,-20.7329017811344)); #43703=CARTESIAN_POINT('Ctrl Pts',(-4.,15.4915560281047,-21.2500000015799)); #43704=CARTESIAN_POINT('',(4.00000000011914,14.4568322944455,-20.2503139586778)); #43705=CARTESIAN_POINT('Ctrl Pts',(4.00000000020203,14.4568322947451,-20.2503139594354)); #43706=CARTESIAN_POINT('Ctrl Pts',(4.58977373724381,14.2936502850081,-20.2503082449579)); #43707=CARTESIAN_POINT('Ctrl Pts',(5.17245899239607,14.0933876143173,-20.2503017127344)); #43708=CARTESIAN_POINT('Ctrl Pts',(7.58827414149931,13.0926932644919,-20.2502707611462)); #43709=CARTESIAN_POINT('Ctrl Pts',(9.27500682962106,11.9382457827538,-20.2502388028035)); #43710=CARTESIAN_POINT('Ctrl Pts',(11.9385690803835,9.27458517191164,-20.2501692499033)); #43711=CARTESIAN_POINT('Ctrl Pts',(13.0929427226923,7.58783662837124,-20.2501270195278)); #43712=CARTESIAN_POINT('Ctrl Pts',(14.6236795617743,3.89209582527194,-20.2500378928216)); #43713=CARTESIAN_POINT('Ctrl Pts',(15.0000427585493,1.88310356571386,-20.2499909964838)); #43714=CARTESIAN_POINT('Ctrl Pts',(14.9999572344763,-1.88375131409729,-20.2499054704009)); #43715=CARTESIAN_POINT('Ctrl Pts',(14.6235160481692,-3.89269315591707,-20.2498611398814)); #43716=CARTESIAN_POINT('Ctrl Pts',(13.0926612673078,-7.58831355155572,-20.2497824463966)); #43717=CARTESIAN_POINT('Ctrl Pts',(11.9382476727498,-9.27499210537307,-20.2497480834448)); #43718=CARTESIAN_POINT('Ctrl Pts',(9.27468049028094,-11.9384865992265,-20.2496966838104)); #43719=CARTESIAN_POINT('Ctrl Pts',(7.58799021990551,-13.0928456256105,-20.2496762208968)); #43720=CARTESIAN_POINT('Ctrl Pts',(3.89237087244268,-14.6235991173449,-20.2496540570571)); #43721=CARTESIAN_POINT('Ctrl Pts',(1.88344179535448,-14.9999935826933,-20.2496523561381)); #43722=CARTESIAN_POINT('Ctrl Pts',(5.11090425401E-5,-14.9999999999129,-20.2496587735086)); #43723=CARTESIAN_POINT('Ctrl Pts',(-1.88334458711678,-15.0000064171496, -20.2496651908961)); #43724=CARTESIAN_POINT('Ctrl Pts',(-3.8922812303856,-14.6236236555551,-20.2496805821327)); #43725=CARTESIAN_POINT('Ctrl Pts',(-7.58791864724737,-13.0928878632056, -20.2497279306038)); #43726=CARTESIAN_POINT('Ctrl Pts',(-9.27461942084182,-11.9385348324545, -20.2497598878249)); #43727=CARTESIAN_POINT('Ctrl Pts',(-11.9382115324006,-9.27504107897308, -20.2498294389365)); #43728=CARTESIAN_POINT('Ctrl Pts',(-13.0926384015931,-7.58835615969295, -20.2498716689383)); #43729=CARTESIAN_POINT('Ctrl Pts',(-14.6235112524927,-3.89271732462556, -20.2499607947804)); #43730=CARTESIAN_POINT('Ctrl Pts',(-14.9999572342017,-1.88376340883911, -20.2500076906136)); #43731=CARTESIAN_POINT('Ctrl Pts',(-15.0000427588239,1.88311566031473,-20.2500932172457)); #43732=CARTESIAN_POINT('Ctrl Pts',(-14.6236747671628,3.89211999398932,-20.250137548729)); #43733=CARTESIAN_POINT('Ctrl Pts',(-13.0929198586661,7.58787923717241,-20.2502162438436)); #43734=CARTESIAN_POINT('Ctrl Pts',(-11.9385329418268,9.27463414667941,-20.2502506074882)); #43735=CARTESIAN_POINT('Ctrl Pts',(-9.27494576134968,11.9382940177744,-20.2503020089113)); #43736=CARTESIAN_POINT('Ctrl Pts',(-7.58820256950511,13.0927355037755,-20.2503224726273)); #43737=CARTESIAN_POINT('Ctrl Pts',(-5.1724040123205,14.0934065181073,-20.2503369609748)); #43738=CARTESIAN_POINT('Ctrl Pts',(-4.58974600368606,14.2936579584886,-20.2503395222871)); #43739=CARTESIAN_POINT('Ctrl Pts',(-4.00000000021902,14.4568322947404,-20.2503412176355)); #43740=CARTESIAN_POINT('Ctrl Pts',(4.,15.491582420592,-21.2500000014356)); #43741=CARTESIAN_POINT('Ctrl Pts',(4.,14.9575236281621,-20.7328872558426)); #43742=CARTESIAN_POINT('Ctrl Pts',(4.,14.4568322942689,-20.2503139589226)); #43743=CARTESIAN_POINT('Origin',(4.,14.4568322948,-61.5)); #43744=CARTESIAN_POINT('',(4.00000000012841,14.4568322944188,20.2503387388445)); #43745=CARTESIAN_POINT('',(3.99999999940481,15.4915584232266,21.250000000838)); #43746=CARTESIAN_POINT('Ctrl Pts',(4.,14.4568322942312,20.250338739111)); #43747=CARTESIAN_POINT('Ctrl Pts',(4.,14.9575124415591,20.7329004593797)); #43748=CARTESIAN_POINT('Ctrl Pts',(4.,15.4915584226236,21.2500000015701)); #43749=CARTESIAN_POINT('',(4.,18.3,21.25)); #43750=CARTESIAN_POINT('',(4.,7.2284161474,21.25)); #43751=CARTESIAN_POINT('',(4.,18.3,-21.25)); #43752=CARTESIAN_POINT('',(4.,14.456832294801,-61.5)); #43753=CARTESIAN_POINT('Origin',(-4.122089768738E-5,-0.0003393727413865, 20.74992121527)); #43754=CARTESIAN_POINT('',(-3.99999999944695,15.4915797094817,21.2500000007773)); #43755=CARTESIAN_POINT('Origin',(-4.122089768738E-5,-0.0003393727413865, 21.25)); #43756=CARTESIAN_POINT('',(-4.00000000011968,14.456832294444,20.2503167543832)); #43757=CARTESIAN_POINT('Ctrl Pts',(-4.00000000020292,14.4568322947448,20.2503167551441)); #43758=CARTESIAN_POINT('Ctrl Pts',(-4.58977091979507,14.2936510645561,20.2503114424547)); #43759=CARTESIAN_POINT('Ctrl Pts',(-5.17245340783368,14.0933895344836,20.2503053103037)); #43760=CARTESIAN_POINT('Ctrl Pts',(-7.58826672072179,13.092697617386,20.2502760308933)); #43761=CARTESIAN_POINT('Ctrl Pts',(-9.27500035064818,11.9382508705568,20.2502452762962)); #43762=CARTESIAN_POINT('Ctrl Pts',(-11.9385650216462,9.27459062218271,20.2501776911107)); #43763=CARTESIAN_POINT('Ctrl Pts',(-13.092940018044,7.58784158539169,20.2501363558618)); #43764=CARTESIAN_POINT('Ctrl Pts',(-14.6236788116328,3.89209920933409,20.2500485321588)); #43765=CARTESIAN_POINT('Ctrl Pts',(-15.0000426088259,1.88310587006838,20.2500020436969)); #43766=CARTESIAN_POINT('Ctrl Pts',(-14.9999573842485,-1.88375134972318, 20.2499168171166)); #43767=CARTESIAN_POINT('Ctrl Pts',(-14.6235158706324,-3.89269444782454, 20.2498723981995)); #43768=CARTESIAN_POINT('Ctrl Pts',(-13.0926595482957,-7.58831683808613, 20.249792989429)); #43769=CARTESIAN_POINT('Ctrl Pts',(-11.9382447395717,-9.27499613024492, 20.2497579995883)); #43770=CARTESIAN_POINT('Ctrl Pts',(-9.27467515420079,-11.9384908433957, 20.249705055876)); #43771=CARTESIAN_POINT('Ctrl Pts',(-7.5879837935009,-13.0928494446962,20.2496835728534)); #43772=CARTESIAN_POINT('Ctrl Pts',(-3.89236248289249,-14.6236014351351, 20.249659094496)); #43773=CARTESIAN_POINT('Ctrl Pts',(-1.8834325329831,-14.9999948242713,20.2496560991689)); #43774=CARTESIAN_POINT('Ctrl Pts',(-4.122089768738E-5,-14.9999999999434, 20.2496612749626)); #43775=CARTESIAN_POINT('Ctrl Pts',(1.88335413177206,-15.0000051756265,20.2496664507674)); #43776=CARTESIAN_POINT('Ctrl Pts',(3.89229018402172,-14.6236212259016,20.2496804877259)); #43777=CARTESIAN_POINT('Ctrl Pts',(7.58792606811566,-13.0928835105214,20.2497252782065)); #43778=CARTESIAN_POINT('Ctrl Pts',(9.27462589996139,-11.9385297448695,20.2497560317158)); #43779=CARTESIAN_POINT('Ctrl Pts',(11.9382155913538,-9.27503562884906,20.2498236151111)); #43780=CARTESIAN_POINT('Ctrl Pts',(13.0926411064483,-7.58835120276262,20.2498649499511)); #43781=CARTESIAN_POINT('Ctrl Pts',(14.6235120027842,-3.89271394057593,20.2499527727138)); #43782=CARTESIAN_POINT('Ctrl Pts',(14.9999573840278,-1.88376110447655,20.2499992606287)); #43783=CARTESIAN_POINT('Ctrl Pts',(15.0000426090466,1.88311562470847,20.2500844876504)); #43784=CARTESIAN_POINT('Ctrl Pts',(14.6236749446406,3.89211870209262,20.2501289074835)); #43785=CARTESIAN_POINT('Ctrl Pts',(13.0929215775536,7.58787595060181,20.2502083178099)); #43786=CARTESIAN_POINT('Ctrl Pts',(11.938535874869,9.27463012172539,20.250243308316)); #43787=CARTESIAN_POINT('Ctrl Pts',(9.27495109734728,11.9382897734714,20.2502962538185)); #43788=CARTESIAN_POINT('Ctrl Pts',(7.58820899587014,13.0927316845682,20.2503177376724)); #43789=CARTESIAN_POINT('Ctrl Pts',(5.17240906483793,14.0934047809275,20.2503337390125)); #43790=CARTESIAN_POINT('Ctrl Pts',(4.58974855189189,14.2936572534363,20.2503366685117)); #43791=CARTESIAN_POINT('Ctrl Pts',(4.00000000021749,14.4568322947408,20.2503387396601)); #43792=CARTESIAN_POINT('Ctrl Pts',(-4.,15.491579708918,21.250000001454)); #43793=CARTESIAN_POINT('Ctrl Pts',(-4.,14.9575223627987,20.7328887443188)); #43794=CARTESIAN_POINT('Ctrl Pts',(-4.,14.4568322942667,20.2503167546292)); #43795=CARTESIAN_POINT('Origin',(0.,0.,21.25)); #43796=CARTESIAN_POINT('',(39.9987454505399,-0.316800225559914,21.25)); #43797=CARTESIAN_POINT('Origin',(0.,0.,21.25)); #43798=CARTESIAN_POINT('',(-4.,18.3,21.25)); #43799=CARTESIAN_POINT('',(-4.,9.15,21.25)); #43800=CARTESIAN_POINT('',(4.,18.3,21.25)); #43801=CARTESIAN_POINT('Origin',(4.,18.3,-61.5)); #43802=CARTESIAN_POINT('',(-4.,18.3,-21.25)); #43803=CARTESIAN_POINT('Origin',(-4.,18.3,-61.5)); #43804=CARTESIAN_POINT('',(-4.,14.456832294801,-61.5)); #43805=CARTESIAN_POINT('Origin',(0.,0.,-61.5)); #43806=CARTESIAN_POINT('Origin',(0.,0.,16.25)); #43807=CARTESIAN_POINT('Origin',(39.9987454505399,-0.316800225559914,16.25)); #43808=CARTESIAN_POINT('Origin',(0.,0.,-15.)); #43809=CARTESIAN_POINT('Origin',(-48.9968987408086,-0.551283759010113,-15.)); #43810=CARTESIAN_POINT('',(0.,0.,0.)); #43811=CARTESIAN_POINT('Origin',(32.,4.,0.)); #43812=CARTESIAN_POINT('',(4.,4.,-4.)); #43813=CARTESIAN_POINT('',(32.,4.,-4.)); #43814=CARTESIAN_POINT('',(25.,4.,-4.)); #43815=CARTESIAN_POINT('',(4.,4.,3.)); #43816=CARTESIAN_POINT('',(4.,4.,0.)); #43817=CARTESIAN_POINT('',(32.,4.,3.)); #43818=CARTESIAN_POINT('',(25.,4.,3.)); #43819=CARTESIAN_POINT('',(32.,4.,0.)); #43820=CARTESIAN_POINT('Origin',(4.,0.,0.)); #43821=CARTESIAN_POINT('',(4.,-4.,-4.)); #43822=CARTESIAN_POINT('Origin',(4.,0.,-4.)); #43823=CARTESIAN_POINT('',(4.,-4.,3.)); #43824=CARTESIAN_POINT('',(4.,-4.,0.)); #43825=CARTESIAN_POINT('Origin',(4.,0.,3.)); #43826=CARTESIAN_POINT('Origin',(4.,-4.,0.)); #43827=CARTESIAN_POINT('',(32.,-4.,-4.)); #43828=CARTESIAN_POINT('',(11.,-4.,-4.)); #43829=CARTESIAN_POINT('',(32.,-4.,3.)); #43830=CARTESIAN_POINT('',(32.,-4.,0.)); #43831=CARTESIAN_POINT('',(11.,-4.,3.)); #43832=CARTESIAN_POINT('Origin',(32.,0.,0.)); #43833=CARTESIAN_POINT('Origin',(32.,0.,-4.)); #43834=CARTESIAN_POINT('Origin',(32.,0.,3.)); #43835=CARTESIAN_POINT('Origin',(18.,0.,3.)); #43836=CARTESIAN_POINT('Origin',(18.,0.,-4.)); #43837=CARTESIAN_POINT('',(0.,0.,0.)); #43838=CARTESIAN_POINT('Origin',(0.,0.,191.51139208406)); #43839=CARTESIAN_POINT('',(-2.45850000000001,-3.01079415570378E-16,192.25)); #43840=CARTESIAN_POINT('Origin',(0.,0.,192.25)); #43841=CARTESIAN_POINT('',(0.,0.,190.772784168121)); #43842=CARTESIAN_POINT('',(-1.22925,-1.50539707785188E-16,191.51139208406)); #43843=CARTESIAN_POINT('Origin',(0.,0.,204.25)); #43844=CARTESIAN_POINT('',(-2.4585,-3.01079415570377E-16,216.25)); #43845=CARTESIAN_POINT('Origin',(0.,0.,216.25)); #43846=CARTESIAN_POINT('',(-2.4585,-3.01079415570377E-16,204.25)); #43847=CARTESIAN_POINT('Origin',(0.,0.,215.75)); #43848=CARTESIAN_POINT('',(9.,-1.10218211923262E-15,216.25)); #43849=CARTESIAN_POINT('Origin',(0.,0.,216.25)); #43850=CARTESIAN_POINT('',(10.,1.22464679914735E-15,215.25)); #43851=CARTESIAN_POINT('',(9.5,1.16341445918999E-15,215.75)); #43852=CARTESIAN_POINT('Origin',(0.,0.,215.25)); #43853=CARTESIAN_POINT('Origin',(0.,0.,216.25)); #43854=CARTESIAN_POINT('',(10.,1.22464679914735E-15,134.25)); #43855=CARTESIAN_POINT('Origin',(0.,0.,134.25)); #43856=CARTESIAN_POINT('',(10.,-1.22464679914735E-15,216.25)); #43857=CARTESIAN_POINT('',(-3.,9.53939201416946,208.25)); #43858=CARTESIAN_POINT('',(-3.,9.53939201416946,158.25)); #43859=CARTESIAN_POINT('',(-3.,9.53939201416946,216.25)); #43860=CARTESIAN_POINT('',(0.,10.,155.25)); #43861=CARTESIAN_POINT('Ctrl Pts',(1.14491749414469E-15,10.,155.25)); #43862=CARTESIAN_POINT('Ctrl Pts',(-0.379164265292001,10.,155.25)); #43863=CARTESIAN_POINT('Ctrl Pts',(-0.782037717481872,9.97630133387832, 155.326251753489)); #43864=CARTESIAN_POINT('Ctrl Pts',(-1.52052241900622,9.8907119499008,155.633151092967)); #43865=CARTESIAN_POINT('Ctrl Pts',(-1.85631434471708,9.82993562614982,155.863673657598)); #43866=CARTESIAN_POINT('Ctrl Pts',(-2.38640531261462,9.71486751729016,156.393764625495)); #43867=CARTESIAN_POINT('Ctrl Pts',(-2.61686672615765,9.65284956103208,156.729524462284)); #43868=CARTESIAN_POINT('Ctrl Pts',(-2.92372091609921,9.56437939057488,157.467892514572)); #43869=CARTESIAN_POINT('Ctrl Pts',(-3.,9.53939201416946,157.870722746011)); #43870=CARTESIAN_POINT('Ctrl Pts',(-3.,9.53939201416946,158.25)); #43871=CARTESIAN_POINT('',(3.,9.53939201416946,158.25)); #43872=CARTESIAN_POINT('Ctrl Pts',(3.,9.53939201416946,158.25)); #43873=CARTESIAN_POINT('Ctrl Pts',(3.,9.53939201416946,157.870722746011)); #43874=CARTESIAN_POINT('Ctrl Pts',(2.92372091609922,9.56437939057488,157.467892514572)); #43875=CARTESIAN_POINT('Ctrl Pts',(2.61686672615766,9.65284956103208,156.729524462284)); #43876=CARTESIAN_POINT('Ctrl Pts',(2.38640531261462,9.71486751729016,156.393764625495)); #43877=CARTESIAN_POINT('Ctrl Pts',(1.85631434471708,9.82993562614982,155.863673657598)); #43878=CARTESIAN_POINT('Ctrl Pts',(1.52052241900622,9.8907119499008,155.633151092967)); #43879=CARTESIAN_POINT('Ctrl Pts',(0.782037717481874,9.97630133387832,155.326251753489)); #43880=CARTESIAN_POINT('Ctrl Pts',(0.379164265292003,10.,155.25)); #43881=CARTESIAN_POINT('Ctrl Pts',(-2.42861286636753E-16,10.,155.25)); #43882=CARTESIAN_POINT('',(3.,9.53939201416946,208.25)); #43883=CARTESIAN_POINT('',(3.,9.53939201416946,216.25)); #43884=CARTESIAN_POINT('',(0.,10.,211.25)); #43885=CARTESIAN_POINT('Ctrl Pts',(-5.20417042793042E-16,10.,211.25)); #43886=CARTESIAN_POINT('Ctrl Pts',(0.379164265292,10.,211.25)); #43887=CARTESIAN_POINT('Ctrl Pts',(0.782037717481867,9.97630133387832,211.173748246511)); #43888=CARTESIAN_POINT('Ctrl Pts',(1.52052241900621,9.8907119499008,210.866848907033)); #43889=CARTESIAN_POINT('Ctrl Pts',(1.85631434471708,9.82993562614982,210.636326342402)); #43890=CARTESIAN_POINT('Ctrl Pts',(2.38640531261463,9.71486751729016,210.106235374505)); #43891=CARTESIAN_POINT('Ctrl Pts',(2.61686672615764,9.65284956103208,209.770475537716)); #43892=CARTESIAN_POINT('Ctrl Pts',(2.9237209160992,9.56437939057488,209.032107485428)); #43893=CARTESIAN_POINT('Ctrl Pts',(3.,9.53939201416946,208.629277253989)); #43894=CARTESIAN_POINT('Ctrl Pts',(3.,9.53939201416946,208.25)); #43895=CARTESIAN_POINT('Ctrl Pts',(-3.,9.53939201416946,208.25)); #43896=CARTESIAN_POINT('Ctrl Pts',(-3.,9.53939201416946,208.629277253989)); #43897=CARTESIAN_POINT('Ctrl Pts',(-2.9237209160992,9.56437939057488,209.032107485428)); #43898=CARTESIAN_POINT('Ctrl Pts',(-2.61686672615764,9.65284956103208,209.770475537716)); #43899=CARTESIAN_POINT('Ctrl Pts',(-2.38640531261463,9.71486751729016,210.106235374505)); #43900=CARTESIAN_POINT('Ctrl Pts',(-1.85631434471708,9.82993562614982,210.636326342402)); #43901=CARTESIAN_POINT('Ctrl Pts',(-1.52052241900621,9.8907119499008,210.866848907033)); #43902=CARTESIAN_POINT('Ctrl Pts',(-0.782037717481868,9.97630133387832, 211.173748246511)); #43903=CARTESIAN_POINT('Ctrl Pts',(-0.379164265292001,10.,211.25)); #43904=CARTESIAN_POINT('Ctrl Pts',(-5.20417042793042E-16,10.,211.25)); #43905=CARTESIAN_POINT('Origin',(0.,0.,216.25)); #43906=CARTESIAN_POINT('Origin',(0.,0.,133.75)); #43907=CARTESIAN_POINT('',(11.5,-1.40834381901946E-15,134.25)); #43908=CARTESIAN_POINT('Origin',(0.,0.,134.25)); #43909=CARTESIAN_POINT('',(12.5,1.53080849893419E-15,133.25)); #43910=CARTESIAN_POINT('',(12.,1.46957615897682E-15,133.75)); #43911=CARTESIAN_POINT('Origin',(0.,0.,133.25)); #43912=CARTESIAN_POINT('Origin',(0.,0.,134.25)); #43913=CARTESIAN_POINT('',(12.5,1.53080849893419E-15,86.75)); #43914=CARTESIAN_POINT('Origin',(0.,0.,86.75)); #43915=CARTESIAN_POINT('',(12.5,-1.53080849893419E-15,134.25)); #43916=CARTESIAN_POINT('Origin',(0.,0.,134.25)); #43917=CARTESIAN_POINT('Origin',(0.,0.,-215.75)); #43918=CARTESIAN_POINT('',(-11.5,-1.40834381901946E-15,-216.25)); #43919=CARTESIAN_POINT('Origin',(0.,0.,-216.25)); #43920=CARTESIAN_POINT('',(-12.5,1.53080849893419E-15,-215.25)); #43921=CARTESIAN_POINT('',(-12.,1.46957615897682E-15,-215.75)); #43922=CARTESIAN_POINT('Origin',(0.,0.,-215.25)); #43923=CARTESIAN_POINT('Origin',(0.,0.,-216.25)); #43924=CARTESIAN_POINT('',(-12.5,1.53080849893419E-15,-197.25)); #43925=CARTESIAN_POINT('Origin',(0.,0.,-197.25)); #43926=CARTESIAN_POINT('',(-12.5,-1.53080849893419E-15,-216.25)); #43927=CARTESIAN_POINT('Origin',(0.,0.,-216.25)); #43928=CARTESIAN_POINT('Origin',(-3.,6.5,211.25)); #43929=CARTESIAN_POINT('',(-3.,6.5,208.25)); #43930=CARTESIAN_POINT('',(3.,6.5,208.25)); #43931=CARTESIAN_POINT('Origin',(0.,6.5,208.25)); #43932=CARTESIAN_POINT('',(3.,6.5,158.25)); #43933=CARTESIAN_POINT('',(3.,6.5,211.25)); #43934=CARTESIAN_POINT('',(-3.,6.5,158.25)); #43935=CARTESIAN_POINT('Origin',(0.,6.5,158.25)); #43936=CARTESIAN_POINT('',(-3.,6.5,211.25)); #43937=CARTESIAN_POINT('Origin',(3.,6.5,211.25)); #43938=CARTESIAN_POINT('',(3.,7.31004007059869,208.25)); #43939=CARTESIAN_POINT('',(3.,7.31004007059869,158.25)); #43940=CARTESIAN_POINT('Origin',(0.,8.82973607768342,208.25)); #43941=CARTESIAN_POINT('',(-3.,8.82973607768342,208.25)); #43942=CARTESIAN_POINT('Origin',(-3.,9.53939201416946,211.25)); #43943=CARTESIAN_POINT('',(-3.,8.82973607768342,158.25)); #43944=CARTESIAN_POINT('Origin',(0.,7.31004007059869,158.25)); #43945=CARTESIAN_POINT('Origin',(4.26122232901066E-16,13.6535805213055, -69.25)); #43946=CARTESIAN_POINT('',(4.,11.,-69.25)); #43947=CARTESIAN_POINT('',(-4.,11.,-69.25)); #43948=CARTESIAN_POINT('Origin',(2.77333911991762E-31,11.,-69.25)); #43949=CARTESIAN_POINT('',(4.,14.456832294801,-69.25)); #43950=CARTESIAN_POINT('',(4.,13.6535805213055,-69.25)); #43951=CARTESIAN_POINT('',(6.42335485175213E-16,15.,-73.25)); #43952=CARTESIAN_POINT('Ctrl Pts',(4.,14.456832294801,-69.25)); #43953=CARTESIAN_POINT('Ctrl Pts',(4.,14.456832294801,-69.7549387601006)); #43954=CARTESIAN_POINT('Ctrl Pts',(3.89858565468202,14.4860463797954,-70.291759312972)); #43955=CARTESIAN_POINT('Ctrl Pts',(3.48963768728074,14.5899601522112,-71.276490326363)); #43956=CARTESIAN_POINT('Ctrl Pts',(3.18222680943979,14.6629881493455,-71.7246274400526)); #43957=CARTESIAN_POINT('Ctrl Pts',(2.47469202038142,14.7988391761471,-72.432162229111)); #43958=CARTESIAN_POINT('Ctrl Pts',(2.02652821301275,14.8707167692233,-72.7396233569121)); #43959=CARTESIAN_POINT('Ctrl Pts',(1.04170181705203,14.9719701822328,-73.1486081285389)); #43960=CARTESIAN_POINT('Ctrl Pts',(0.50484659181278,15.,-73.25)); #43961=CARTESIAN_POINT('Ctrl Pts',(3.46944695195361E-16,15.,-73.25)); #43962=CARTESIAN_POINT('',(-4.,14.456832294801,-69.25)); #43963=CARTESIAN_POINT('Ctrl Pts',(-1.80411241501588E-15,15.,-73.25)); #43964=CARTESIAN_POINT('Ctrl Pts',(-0.504846591812781,15.,-73.25)); #43965=CARTESIAN_POINT('Ctrl Pts',(-1.04170181705202,14.9719701822328,-73.1486081285389)); #43966=CARTESIAN_POINT('Ctrl Pts',(-2.02652821301275,14.8707167692233,-72.7396233569121)); #43967=CARTESIAN_POINT('Ctrl Pts',(-2.47469202038142,14.7988391761471,-72.432162229111)); #43968=CARTESIAN_POINT('Ctrl Pts',(-3.18222680943979,14.6629881493455,-71.7246274400526)); #43969=CARTESIAN_POINT('Ctrl Pts',(-3.48963768728074,14.5899601522112,-71.276490326363)); #43970=CARTESIAN_POINT('Ctrl Pts',(-3.89858565468202,14.4860463797954,-70.291759312972)); #43971=CARTESIAN_POINT('Ctrl Pts',(-4.,14.456832294801,-69.7549387601006)); #43972=CARTESIAN_POINT('Ctrl Pts',(-4.,14.456832294801,-69.25)); #43973=CARTESIAN_POINT('',(-4.,11.925164373905,-69.25)); #43974=CARTESIAN_POINT('Origin',(4.,14.456832294801,-73.25)); #43975=CARTESIAN_POINT('',(4.,11.,-41.25)); #43976=CARTESIAN_POINT('',(4.,11.,-73.25)); #43977=CARTESIAN_POINT('',(4.,14.456832294801,-41.25)); #43978=CARTESIAN_POINT('',(4.,13.6535805213055,-41.25)); #43979=CARTESIAN_POINT('',(4.,14.456832294801,0.)); #43980=CARTESIAN_POINT('Origin',(4.,11.,-73.25)); #43981=CARTESIAN_POINT('',(-4.,11.,-41.25)); #43982=CARTESIAN_POINT('',(-4.,11.,-73.25)); #43983=CARTESIAN_POINT('Origin',(1.23259516440783E-31,11.,-41.25)); #43984=CARTESIAN_POINT('Origin',(4.26122232901066E-16,13.6535805213055, -41.25)); #43985=CARTESIAN_POINT('',(-4.,14.456832294801,-41.25)); #43986=CARTESIAN_POINT('',(-4.,11.925164373905,-41.25)); #43987=CARTESIAN_POINT('',(6.42335485175208E-16,15.,-37.25)); #43988=CARTESIAN_POINT('Ctrl Pts',(-4.,14.456832294801,-41.25)); #43989=CARTESIAN_POINT('Ctrl Pts',(-4.,14.456832294801,-40.7450612398994)); #43990=CARTESIAN_POINT('Ctrl Pts',(-3.89858565468202,14.4860463797954,-40.208240687028)); #43991=CARTESIAN_POINT('Ctrl Pts',(-3.48963768728074,14.5899601522112,-39.2235096736371)); #43992=CARTESIAN_POINT('Ctrl Pts',(-3.1822268094398,14.6629881493455,-38.7753725599474)); #43993=CARTESIAN_POINT('Ctrl Pts',(-2.47469202038142,14.7988391761471,-38.0678377708891)); #43994=CARTESIAN_POINT('Ctrl Pts',(-2.02652821301275,14.8707167692233,-37.760376643088)); #43995=CARTESIAN_POINT('Ctrl Pts',(-1.04170181705203,14.9719701822328,-37.3513918714612)); #43996=CARTESIAN_POINT('Ctrl Pts',(-0.504846591812779,15.,-37.25)); #43997=CARTESIAN_POINT('Ctrl Pts',(-3.12250225675825E-16,15.,-37.25)); #43998=CARTESIAN_POINT('Ctrl Pts',(1.66533453693773E-15,15.,-37.25)); #43999=CARTESIAN_POINT('Ctrl Pts',(0.50484659181278,15.,-37.25)); #44000=CARTESIAN_POINT('Ctrl Pts',(1.04170181705203,14.9719701822328,-37.3513918714612)); #44001=CARTESIAN_POINT('Ctrl Pts',(2.02652821301275,14.8707167692233,-37.760376643088)); #44002=CARTESIAN_POINT('Ctrl Pts',(2.47469202038142,14.7988391761471,-38.0678377708891)); #44003=CARTESIAN_POINT('Ctrl Pts',(3.18222680943979,14.6629881493455,-38.7753725599474)); #44004=CARTESIAN_POINT('Ctrl Pts',(3.48963768728073,14.5899601522112,-39.2235096736371)); #44005=CARTESIAN_POINT('Ctrl Pts',(3.89858565468202,14.4860463797954,-40.208240687028)); #44006=CARTESIAN_POINT('Ctrl Pts',(4.,14.456832294801,-40.7450612398994)); #44007=CARTESIAN_POINT('Ctrl Pts',(4.,14.456832294801,-41.25)); #44008=CARTESIAN_POINT('Origin',(-4.,11.,-73.25)); #44009=CARTESIAN_POINT('',(-4.,14.456832294801,0.)); #44010=CARTESIAN_POINT('Origin',(0.,0.,-32.25)); #44011=CARTESIAN_POINT('',(-14.3,1.75124492278072E-15,-33.85)); #44012=CARTESIAN_POINT('Origin',(0.,0.,-33.85)); #44013=CARTESIAN_POINT('',(-14.3,1.75124492278072E-15,-32.25)); #44014=CARTESIAN_POINT('',(-14.3,1.75124492278072E-15,-32.25)); #44015=CARTESIAN_POINT('Origin',(0.,0.,-32.25)); #44016=CARTESIAN_POINT('Origin',(0.,0.,-33.85)); #44017=CARTESIAN_POINT('',(15.,1.83697019872103E-15,-33.85)); #44018=CARTESIAN_POINT('Origin',(0.,0.,-33.85)); #44019=CARTESIAN_POINT('Origin',(0.,0.,-32.25)); #44020=CARTESIAN_POINT('',(15.,1.83697019872103E-15,-32.25)); #44021=CARTESIAN_POINT('Origin',(0.,0.,-32.25)); #44022=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44023=CARTESIAN_POINT('',(15.,1.83697019872103E-15,86.75)); #44024=CARTESIAN_POINT('Origin',(0.,0.,86.75)); #44025=CARTESIAN_POINT('',(15.,1.83697019872103E-15,0.)); #44026=CARTESIAN_POINT('Origin',(0.,0.,-78.25)); #44027=CARTESIAN_POINT('',(-14.3,1.75124492278072E-15,-76.65)); #44028=CARTESIAN_POINT('Origin',(0.,0.,-76.65)); #44029=CARTESIAN_POINT('',(-14.3,1.75124492278072E-15,-78.25)); #44030=CARTESIAN_POINT('',(-14.3,-1.75124492278072E-15,-78.25)); #44031=CARTESIAN_POINT('Origin',(0.,0.,-78.25)); #44032=CARTESIAN_POINT('Origin',(0.,0.,-78.25)); #44033=CARTESIAN_POINT('',(15.,1.83697019872103E-15,-78.25)); #44034=CARTESIAN_POINT('Origin',(0.,0.,-78.25)); #44035=CARTESIAN_POINT('Origin',(0.,0.,-76.65)); #44036=CARTESIAN_POINT('',(15.,1.83697019872103E-15,-76.65)); #44037=CARTESIAN_POINT('Origin',(0.,0.,-76.65)); #44038=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44039=CARTESIAN_POINT('',(15.,1.83697019872103E-15,0.)); #44040=CARTESIAN_POINT('Origin',(0.,0.,-197.25)); #44041=CARTESIAN_POINT('',(15.,1.83697019872103E-15,-197.25)); #44042=CARTESIAN_POINT('Origin',(0.,0.,-197.25)); #44043=CARTESIAN_POINT('Origin',(0.,0.,86.75)); #44044=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44045=CARTESIAN_POINT('',(15.,1.83697019872103E-15,0.)); #44046=CARTESIAN_POINT('',(0.,0.,0.)); #44047=CARTESIAN_POINT('Origin',(0.100000000000002,-1.1236320494381E-16, 0.)); #44048=CARTESIAN_POINT('',(2.25514051876985E-15,-4.31715601335095E-16,2.6)); #44049=CARTESIAN_POINT('Origin',(2.25514051876985E-15,-1.13307433556783E-16, 0.)); #44050=CARTESIAN_POINT('',(0.100000000000002,-4.18524904730648E-16,2.5)); #44051=CARTESIAN_POINT('Origin',(0.100000000000002,-4.30771372722121E-16, 2.6)); #44052=CARTESIAN_POINT('',(0.100000000000002,-2.5,-3.06161699786838E-16)); #44053=CARTESIAN_POINT('Origin',(0.100000000000002,-1.1236320494381E-16, 0.)); #44054=CARTESIAN_POINT('Origin',(0.100000000000002,-1.1236320494381E-16, 0.)); #44055=CARTESIAN_POINT('Origin',(7.2,-4.53229734227132E-17,0.)); #44056=CARTESIAN_POINT('',(11.50924,2.5,3.06151588455594E-16)); #44057=CARTESIAN_POINT('',(11.50924,-2.5,-3.06161699786838E-16)); #44058=CARTESIAN_POINT('Origin',(11.50924,-4.63389634102725E-18,0.)); #44059=CARTESIAN_POINT('Origin',(11.50924,-4.63389634102725E-18,0.)); #44060=CARTESIAN_POINT('',(7.2,-2.5,-3.06161699786838E-16)); #44061=CARTESIAN_POINT('Origin',(2.22044604925031E-15,3.375,0.)); #44062=CARTESIAN_POINT('',(2.22044604925031E-15,-4.25,-5.20474889637625E-16)); #44063=CARTESIAN_POINT('Origin',(2.22044604925031E-15,-1.13307433556783E-16, 0.)); #44064=CARTESIAN_POINT('Origin',(11.75462,-2.31694817051362E-18,0.)); #44065=CARTESIAN_POINT('',(12.,2.00924,-2.46052807035407E-16)); #44066=CARTESIAN_POINT('Origin',(12.,0.,0.)); #44067=CARTESIAN_POINT('',(11.75462,2.25462,2.7611131662936E-16)); #44068=CARTESIAN_POINT('Origin',(12.,1.25,0.)); #44069=CARTESIAN_POINT('Origin',(-3.,-1.41634291945979E-16,0.)); #44070=CARTESIAN_POINT('',(-3.,-6.62109181583604E-16,4.25)); #44071=CARTESIAN_POINT('',(-3.,-4.25,-5.20474889637625E-16)); #44072=CARTESIAN_POINT('Origin',(-3.,-1.41634291945979E-16,0.)); #44073=CARTESIAN_POINT('Origin',(-3.,-1.41634291945979E-16,0.)); #44074=CARTESIAN_POINT('',(-3.5,-6.05597984691102E-16,3.75)); #44075=CARTESIAN_POINT('Origin',(-3.,-6.00876841626236E-16,3.75)); #44076=CARTESIAN_POINT('Origin',(-3.5,-1.46355435010845E-16,0.)); #44077=CARTESIAN_POINT('Origin',(-3.5,2.99102540378444,0.)); #44078=CARTESIAN_POINT('',(-3.5,1.5,-0.866025403784439)); #44079=CARTESIAN_POINT('',(-3.5,1.5,0.866025403784437)); #44080=CARTESIAN_POINT('',(-3.5,1.5,0.433012701892218)); #44081=CARTESIAN_POINT('',(-3.5,6.57596127341368E-17,1.73205080756888)); #44082=CARTESIAN_POINT('',(-3.5,0.375,1.51554445662277)); #44083=CARTESIAN_POINT('',(-3.5,-1.5,0.866025403784439)); #44084=CARTESIAN_POINT('',(-3.5,-1.125,1.08253175473055)); #44085=CARTESIAN_POINT('',(-3.5,-1.5,-0.866025403784438)); #44086=CARTESIAN_POINT('',(-3.5,-1.5,-0.433012701892219)); #44087=CARTESIAN_POINT('',(-3.5,-3.55242350351268E-16,-1.73205080756888)); #44088=CARTESIAN_POINT('',(-3.5,-0.375000000000001,-1.51554445662277)); #44089=CARTESIAN_POINT('',(-3.5,1.125,-1.08253175473055)); #44090=CARTESIAN_POINT('Origin',(-1.75,-1.29831434283814E-16,0.)); #44091=CARTESIAN_POINT('',(-1.75,-4.25,-5.20474889637625E-16)); #44092=CARTESIAN_POINT('Origin',(-3.5,-3.55242350351268E-16,-1.73205080756888)); #44093=CARTESIAN_POINT('',(-0.799999999999996,-1.22350673015302E-15,-1.73205080756888)); #44094=CARTESIAN_POINT('',(-0.799999999999996,-1.5,-0.866025403784438)); #44095=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-7.21248292138031E-16, -1.73205080756888)); #44096=CARTESIAN_POINT('Ctrl Pts',(-0.549999999999996,-0.750000000000001, -1.29903810567666)); #44097=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.5,-0.866025403784438)); #44098=CARTESIAN_POINT('',(-2.15,-5.55111512312578E-16,-1.73205080756888)); #44099=CARTESIAN_POINT('',(-2.15,-1.5,-0.866025403784438)); #44100=CARTESIAN_POINT('Origin',(-3.5,1.5,-0.866025403784439)); #44101=CARTESIAN_POINT('',(-0.799999999999996,1.5,-0.866025403784441)); #44102=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.5,-0.866025403784438)); #44103=CARTESIAN_POINT('Ctrl Pts',(-0.549999999999996,0.75,-1.29903810567666)); #44104=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-2.10902707291948E-15, -1.73205080756888)); #44105=CARTESIAN_POINT('',(-2.15,1.5,-0.866025403784441)); #44106=CARTESIAN_POINT('Origin',(-3.5,1.5,0.866025403784437)); #44107=CARTESIAN_POINT('',(-0.799999999999995,1.5,0.866025403784436)); #44108=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.5,0.86602540378444)); #44109=CARTESIAN_POINT('Ctrl Pts',(-0.549999999999995,1.5,-1.23682824533907E-15)); #44110=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.5,-0.866025403784443)); #44111=CARTESIAN_POINT('',(-2.15,1.5,0.866025403784437)); #44112=CARTESIAN_POINT('Origin',(-3.5,-3.30480014540617E-17,1.73205080756888)); #44113=CARTESIAN_POINT('',(-0.799999999999996,-3.90839461684157E-16,1.73205080756888)); #44114=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-2.8029164633102E-15, 1.73205080756888)); #44115=CARTESIAN_POINT('Ctrl Pts',(-0.549999999999994,0.75,1.29903810567666)); #44116=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.5,0.866025403784435)); #44117=CARTESIAN_POINT('',(-2.15,0.,1.73205080756888)); #44118=CARTESIAN_POINT('Origin',(-3.5,-1.5,-0.866025403784438)); #44119=CARTESIAN_POINT('',(-0.666025403784435,-1.5,-2.36764343999331E-16)); #44120=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.5,-0.86602540378444)); #44121=CARTESIAN_POINT('Ctrl Pts',(-0.666025403784435,-1.5,-0.401923788646686)); #44122=CARTESIAN_POINT('Ctrl Pts',(-0.666025403784435,-1.5,-2.89831668126558E-16)); #44123=CARTESIAN_POINT('',(-0.799999999999996,-1.5,0.866025403784439)); #44124=CARTESIAN_POINT('',(-2.15,-1.5,0.866025403784438)); #44125=CARTESIAN_POINT('Ctrl Pts',(-0.666025403784435,-1.5,-2.89831668126558E-16)); #44126=CARTESIAN_POINT('Ctrl Pts',(-0.666025403784435,-1.5,0.401923788646686)); #44127=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.5,0.866025403784441)); #44128=CARTESIAN_POINT('Origin',(-3.5,-1.5,0.866025403784439)); #44129=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.5,0.866025403784438)); #44130=CARTESIAN_POINT('Ctrl Pts',(-0.549999999999995,-0.75,1.29903810567666)); #44131=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.63797563519043E-15, 1.73205080756888)); #44132=CARTESIAN_POINT('Origin',(-0.299999999999996,-1.16140119395703E-16, 0.)); #44133=CARTESIAN_POINT('',(0.200000000000004,-1.11418976330837E-16,0.)); #44134=CARTESIAN_POINT('',(-0.299999999999996,-0.866025403784439,-1.06057523872491E-16)); #44135=CARTESIAN_POINT('',(0.,0.,0.)); #44136=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13316203481981E-16, 0.)); #44137=CARTESIAN_POINT('',(2.25514051876985E-15,-6.15938816719071E-16,4.1)); #44138=CARTESIAN_POINT('Origin',(2.25514051876985E-15,-1.13833629068657E-16, 0.)); #44139=CARTESIAN_POINT('',(0.100000000000002,-6.03174923140922E-16,4.)); #44140=CARTESIAN_POINT('Origin',(0.100000000000002,-6.15421391132396E-16, 4.1)); #44141=CARTESIAN_POINT('',(0.100000000000002,-4.,-4.89858719658941E-16)); #44142=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13316203481981E-16, 0.)); #44143=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13316203481981E-16, 0.)); #44144=CARTESIAN_POINT('Origin',(13.875,-4.20408289174016E-17,0.)); #44145=CARTESIAN_POINT('',(21.2331875,4.,4.89842541528951E-16)); #44146=CARTESIAN_POINT('',(21.2331875,-4.,-4.89858719658941E-16)); #44147=CARTESIAN_POINT('Origin',(21.2331875,-3.96768407682771E-18,0.)); #44148=CARTESIAN_POINT('Origin',(21.2331875,-3.96768407682771E-18,0.)); #44149=CARTESIAN_POINT('',(13.875,-4.,-4.89858719658941E-16)); #44150=CARTESIAN_POINT('Origin',(2.22044604925031E-15,5.25,0.)); #44151=CARTESIAN_POINT('',(0.,-6.5,-7.9602041944578E-16)); #44152=CARTESIAN_POINT('Origin',(0.,-1.13833629068657E-16,0.)); #44153=CARTESIAN_POINT('Origin',(21.61659375,-1.98384203841387E-18,0.)); #44154=CARTESIAN_POINT('',(22.,3.2331875,-3.95938195559909E-16)); #44155=CARTESIAN_POINT('Origin',(22.,-2.16667118743564E-32,0.)); #44156=CARTESIAN_POINT('',(21.61659375,3.61659375,4.42904995975382E-16)); #44157=CARTESIAN_POINT('Origin',(22.,2.,0.)); #44158=CARTESIAN_POINT('Origin',(-4.2,-1.35565503709036E-16,0.)); #44159=CARTESIAN_POINT('',(-4.2,-9.31585923154816E-16,6.5)); #44160=CARTESIAN_POINT('',(-4.2,-6.5,-7.9602041944578E-16)); #44161=CARTESIAN_POINT('Origin',(-4.2,-1.35565503709036E-16,0.)); #44162=CARTESIAN_POINT('Origin',(-4.2,-1.35565503709036E-16,0.)); #44163=CARTESIAN_POINT('',(-5.,-8.37753583916434E-16,5.7)); #44164=CARTESIAN_POINT('Origin',(-4.2,-8.33614179223028E-16,5.7)); #44165=CARTESIAN_POINT('Origin',(-5.,-1.39704908402442E-16,0.)); #44166=CARTESIAN_POINT('Origin',(-5.,4.69337567297407,0.)); #44167=CARTESIAN_POINT('',(-5.,2.5,-1.44337567297407)); #44168=CARTESIAN_POINT('',(-5.,2.5,1.44337567297406)); #44169=CARTESIAN_POINT('',(-5.,2.5,0.721687836487029)); #44170=CARTESIAN_POINT('',(-5.,2.13820171172527E-16,2.88675134594813)); #44171=CARTESIAN_POINT('',(-5.,0.625,2.52590742770461)); #44172=CARTESIAN_POINT('',(-5.,-2.5,1.44337567297406)); #44173=CARTESIAN_POINT('',(-5.,-1.875,1.80421959121758)); #44174=CARTESIAN_POINT('',(-5.,-2.5,-1.44337567297406)); #44175=CARTESIAN_POINT('',(-5.,-2.5,-0.721687836487032)); #44176=CARTESIAN_POINT('',(-5.,-4.87849767303148E-16,-2.88675134594813)); #44177=CARTESIAN_POINT('',(-5.,-0.625000000000001,-2.52590742770461)); #44178=CARTESIAN_POINT('',(-5.,1.875,-1.80421959121758)); #44179=CARTESIAN_POINT('Origin',(-2.5,-1.26769268735549E-16,0.)); #44180=CARTESIAN_POINT('',(-2.5,-6.5,-7.9602041944578E-16)); #44181=CARTESIAN_POINT('Origin',(-5.,-4.87849767303148E-16,-2.88675134594813)); #44182=CARTESIAN_POINT('',(-1.19999999999999,-1.22933873040962E-15,-2.88675134594813)); #44183=CARTESIAN_POINT('',(-1.2,-2.5,-1.44337567297406)); #44184=CARTESIAN_POINT('Ctrl Pts',(-1.2,3.7196438063626E-15,-2.88675134594813)); #44185=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,-1.25,-2.1650635094611)); #44186=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,-1.44337567297406)); #44187=CARTESIAN_POINT('',(-3.1,-1.2490009027033E-15,-2.88675134594813)); #44188=CARTESIAN_POINT('',(-3.1,-2.5,-1.44337567297406)); #44189=CARTESIAN_POINT('Origin',(-5.,2.5,-1.44337567297407)); #44190=CARTESIAN_POINT('',(-1.2,2.5,-1.44337567297407)); #44191=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.50000000000001,-1.44337567297406)); #44192=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,1.25,-2.1650635094611)); #44193=CARTESIAN_POINT('Ctrl Pts',(-1.2,-7.38258643988898E-15,-2.88675134594813)); #44194=CARTESIAN_POINT('',(-3.1,2.5,-1.44337567297407)); #44195=CARTESIAN_POINT('Origin',(-5.,2.5,1.44337567297406)); #44196=CARTESIAN_POINT('',(-1.19999999999999,2.5,1.44337567297406)); #44197=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.5,1.44337567297407)); #44198=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,2.5,-2.4980018054066E-15)); #44199=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.5,-1.44337567297407)); #44200=CARTESIAN_POINT('',(-3.1,2.5,1.44337567297406)); #44201=CARTESIAN_POINT('Origin',(-5.,-2.56240452650668E-17,2.88675134594813)); #44202=CARTESIAN_POINT('',(-1.19999999999999,1.96621722936771E-17,2.88675134594813)); #44203=CARTESIAN_POINT('Ctrl Pts',(-1.2,-5.43969614679495E-15,2.88675134594813)); #44204=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333326,1.25,2.16506350946109)); #44205=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.50000000000001,1.44337567297406)); #44206=CARTESIAN_POINT('',(-3.1,2.77555756156289E-16,2.88675134594813)); #44207=CARTESIAN_POINT('Origin',(-5.,-2.5,-1.44337567297406)); #44208=CARTESIAN_POINT('',(-0.976709006307393,-2.5,-2.17487887254876E-16)); #44209=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,-1.44337567297407)); #44210=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-0.66987298107781)); #44211=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-1.28814074722915E-16)); #44212=CARTESIAN_POINT('',(-1.19999999999999,-2.5,1.44337567297406)); #44213=CARTESIAN_POINT('',(-3.1,-2.5,1.44337567297406)); #44214=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-1.28814074722915E-16)); #44215=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,0.66987298107781)); #44216=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,1.44337567297407)); #44217=CARTESIAN_POINT('Origin',(-5.,-2.5,1.44337567297406)); #44218=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.50000000000001,1.44337567297406)); #44219=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,-1.25,2.1650635094611)); #44220=CARTESIAN_POINT('Ctrl Pts',(-1.2,6.21764561176921E-15,2.88675134594813)); #44221=CARTESIAN_POINT('Origin',(-0.366666666666662,-1.15730856219801E-16, 0.)); #44222=CARTESIAN_POINT('',(0.466666666666671,-1.11418976330837E-16,0.)); #44223=CARTESIAN_POINT('',(-0.366666666666662,-1.44337567297406,-1.76762539787484E-16)); #44224=CARTESIAN_POINT('',(0.,0.,0.)); #44225=CARTESIAN_POINT('Origin',(0.,-87.3430920884724,22.5)); #44226=CARTESIAN_POINT('',(-3.3235,3.99999618530273,22.5)); #44227=CARTESIAN_POINT('Origin',(0.,3.99999618530273,22.5)); #44228=CARTESIAN_POINT('',(-3.3235,-4.,22.5)); #44229=CARTESIAN_POINT('',(-3.3235,-87.3430920884724,22.5)); #44230=CARTESIAN_POINT('Origin',(0.,-4.,22.5)); #44231=CARTESIAN_POINT('Origin',(0.,-87.3430920884724,-22.5)); #44232=CARTESIAN_POINT('',(-3.3235,3.99999618530273,-22.5)); #44233=CARTESIAN_POINT('Origin',(0.,3.99999618530273,-22.5)); #44234=CARTESIAN_POINT('',(-3.3235,-4.,-22.5)); #44235=CARTESIAN_POINT('',(-3.3235,-87.3430920884724,-22.5)); #44236=CARTESIAN_POINT('Origin',(0.,-4.,-22.5)); #44237=CARTESIAN_POINT('Origin',(-3.46944695195361E-17,-0.283079484230929, -45.)); #44238=CARTESIAN_POINT('',(-3.75,3.99999618530273,-45.)); #44239=CARTESIAN_POINT('',(-4.25,3.49999618530273,-45.)); #44240=CARTESIAN_POINT('',(-4.25,3.49999618530273,-45.)); #44241=CARTESIAN_POINT('',(3.75,3.99999618530273,-45.)); #44242=CARTESIAN_POINT('',(-3.75,3.99999618530273,-45.)); #44243=CARTESIAN_POINT('',(4.25,3.49999618530273,-45.)); #44244=CARTESIAN_POINT('',(3.75,3.99999618530273,-45.)); #44245=CARTESIAN_POINT('',(4.25,0.,-45.)); #44246=CARTESIAN_POINT('',(4.25,3.49999618530273,-45.)); #44247=CARTESIAN_POINT('',(6.19999694824219,0.,-45.)); #44248=CARTESIAN_POINT('',(4.25,0.,-45.)); #44249=CARTESIAN_POINT('',(6.69999694824219,-0.5,-45.)); #44250=CARTESIAN_POINT('',(6.19999694824219,0.,-45.)); #44251=CARTESIAN_POINT('',(6.69999694824219,-2.,-45.)); #44252=CARTESIAN_POINT('',(6.69999694824219,-0.5,-45.)); #44253=CARTESIAN_POINT('',(4.69999694824219,-4.,-45.)); #44254=CARTESIAN_POINT('',(6.69999694824219,-2.,-45.)); #44255=CARTESIAN_POINT('',(-4.69999694824219,-4.,-45.)); #44256=CARTESIAN_POINT('',(4.69999694824219,-4.,-45.)); #44257=CARTESIAN_POINT('',(-6.69999694824219,-2.,-45.)); #44258=CARTESIAN_POINT('',(-4.69999694824219,-4.,-45.)); #44259=CARTESIAN_POINT('',(-6.69999694824219,-0.5,-45.)); #44260=CARTESIAN_POINT('',(-6.69999694824219,-2.,-45.)); #44261=CARTESIAN_POINT('',(-6.19999694824219,0.,-45.)); #44262=CARTESIAN_POINT('',(-6.69999694824219,-0.5,-45.)); #44263=CARTESIAN_POINT('',(-4.25,0.,-45.)); #44264=CARTESIAN_POINT('',(-6.19999694824219,0.,-45.)); #44265=CARTESIAN_POINT('',(-4.25,0.,-45.)); #44266=CARTESIAN_POINT('Origin',(3.75,3.99999618530273,0.)); #44267=CARTESIAN_POINT('',(3.75,3.99999618530273,45.)); #44268=CARTESIAN_POINT('',(4.25,3.49999618530273,45.)); #44269=CARTESIAN_POINT('',(3.75,3.99999618530273,45.)); #44270=CARTESIAN_POINT('',(4.25,3.49999618530273,0.)); #44271=CARTESIAN_POINT('',(3.75,3.99999618530273,0.)); #44272=CARTESIAN_POINT('Origin',(4.25,3.49999618530273,0.)); #44273=CARTESIAN_POINT('',(4.25,0.,45.)); #44274=CARTESIAN_POINT('',(4.25,3.49999618530273,45.)); #44275=CARTESIAN_POINT('',(4.25,0.,0.)); #44276=CARTESIAN_POINT('Origin',(4.25,0.,0.)); #44277=CARTESIAN_POINT('',(6.19999694824219,0.,45.)); #44278=CARTESIAN_POINT('',(4.25,0.,45.)); #44279=CARTESIAN_POINT('',(6.19999694824219,0.,0.)); #44280=CARTESIAN_POINT('Origin',(6.19999694824219,0.,0.)); #44281=CARTESIAN_POINT('',(6.69999694824219,-0.5,45.)); #44282=CARTESIAN_POINT('',(6.19999694824219,0.,45.)); #44283=CARTESIAN_POINT('',(6.69999694824219,-0.5,0.)); #44284=CARTESIAN_POINT('Origin',(6.69999694824219,-0.5,0.)); #44285=CARTESIAN_POINT('',(6.69999694824219,-2.,45.)); #44286=CARTESIAN_POINT('',(6.69999694824219,-0.5,45.)); #44287=CARTESIAN_POINT('',(6.69999694824219,-2.,0.)); #44288=CARTESIAN_POINT('Origin',(6.69999694824219,-2.,0.)); #44289=CARTESIAN_POINT('',(4.69999694824219,-4.,45.)); #44290=CARTESIAN_POINT('',(6.69999694824219,-2.,45.)); #44291=CARTESIAN_POINT('',(4.69999694824219,-4.,0.)); #44292=CARTESIAN_POINT('Origin',(4.69999694824219,-4.,0.)); #44293=CARTESIAN_POINT('',(-4.69999694824219,-4.,45.)); #44294=CARTESIAN_POINT('',(4.69999694824219,-4.,45.)); #44295=CARTESIAN_POINT('',(-4.69999694824219,-4.,0.)); #44296=CARTESIAN_POINT('Origin',(-4.69999694824219,-4.,0.)); #44297=CARTESIAN_POINT('',(-6.69999694824219,-2.,45.)); #44298=CARTESIAN_POINT('',(-4.69999694824219,-4.,45.)); #44299=CARTESIAN_POINT('',(-6.69999694824219,-2.,0.)); #44300=CARTESIAN_POINT('Origin',(-6.69999694824219,-2.,0.)); #44301=CARTESIAN_POINT('',(-6.69999694824219,-0.5,45.)); #44302=CARTESIAN_POINT('',(-6.69999694824219,-2.,45.)); #44303=CARTESIAN_POINT('',(-6.69999694824219,-0.5,0.)); #44304=CARTESIAN_POINT('Origin',(-6.69999694824219,-0.5,0.)); #44305=CARTESIAN_POINT('',(-6.19999694824219,0.,45.)); #44306=CARTESIAN_POINT('',(-6.69999694824219,-0.5,45.)); #44307=CARTESIAN_POINT('',(-6.19999694824219,0.,0.)); #44308=CARTESIAN_POINT('Origin',(-6.19999694824219,0.,0.)); #44309=CARTESIAN_POINT('',(-4.25,0.,45.)); #44310=CARTESIAN_POINT('',(-6.19999694824219,0.,45.)); #44311=CARTESIAN_POINT('',(-4.25,0.,0.)); #44312=CARTESIAN_POINT('Origin',(-4.25,0.,0.)); #44313=CARTESIAN_POINT('',(-4.25,3.49999618530273,45.)); #44314=CARTESIAN_POINT('',(-4.25,0.,45.)); #44315=CARTESIAN_POINT('',(-4.25,3.49999618530273,0.)); #44316=CARTESIAN_POINT('Origin',(-4.25,3.49999618530273,0.)); #44317=CARTESIAN_POINT('',(-3.75,3.99999618530273,45.)); #44318=CARTESIAN_POINT('',(-4.25,3.49999618530273,45.)); #44319=CARTESIAN_POINT('',(-3.75,3.99999618530273,0.)); #44320=CARTESIAN_POINT('Origin',(-3.75,3.99999618530273,0.)); #44321=CARTESIAN_POINT('',(-3.75,3.99999618530273,45.)); #44322=CARTESIAN_POINT('Origin',(1.04083408558608E-16,-0.283079484230929, 45.)); #44323=CARTESIAN_POINT('',(0.,0.,0.)); #44324=CARTESIAN_POINT('Origin',(130.,62.0000000000001,-2.00000000000001)); #44325=CARTESIAN_POINT('',(125.,62.0000000000001,-2.00000000000001)); #44326=CARTESIAN_POINT('Origin',(130.,62.0000000000001,-2.00000000000001)); #44327=CARTESIAN_POINT('',(127.25,62.0000000000001,-2.00000000000002)); #44328=CARTESIAN_POINT('Origin',(130.,62.0000000000001,-2.00000000000002)); #44329=CARTESIAN_POINT('Origin',(130.,62.0000000000001,-6.50000000000001)); #44330=CARTESIAN_POINT('',(125.,62.0000000000001,-11.)); #44331=CARTESIAN_POINT('Origin',(130.,62.0000000000001,-11.)); #44332=CARTESIAN_POINT('',(125.,62.0000000000001,-6.50000000000001)); #44333=CARTESIAN_POINT('Origin',(130.,62.0000000000001,265.563159291113)); #44334=CARTESIAN_POINT('',(127.25,62.0000000000001,0.)); #44335=CARTESIAN_POINT('',(127.25,62.0000000000001,265.563159291113)); #44336=CARTESIAN_POINT('Origin',(130.,62.0000000000001,0.)); #44337=CARTESIAN_POINT('Origin',(-62.,0.,-2.00000000000001)); #44338=CARTESIAN_POINT('',(-67.,-6.12323399573677E-16,-2.00000000000001)); #44339=CARTESIAN_POINT('Origin',(-62.,0.,-2.00000000000001)); #44340=CARTESIAN_POINT('',(-64.75,-3.36777869765522E-16,-2.00000000000002)); #44341=CARTESIAN_POINT('Origin',(-62.,0.,-2.00000000000002)); #44342=CARTESIAN_POINT('Origin',(-62.,0.,-6.50000000000001)); #44343=CARTESIAN_POINT('',(-67.,-6.12323399573677E-16,-11.)); #44344=CARTESIAN_POINT('Origin',(-62.,0.,-11.)); #44345=CARTESIAN_POINT('',(-67.,-6.12323399573677E-16,-6.50000000000001)); #44346=CARTESIAN_POINT('Origin',(-62.,0.,265.563159291113)); #44347=CARTESIAN_POINT('',(-64.75,-3.36777869765522E-16,0.)); #44348=CARTESIAN_POINT('',(-64.75,-3.36777869765522E-16,265.563159291113)); #44349=CARTESIAN_POINT('Origin',(-62.,0.,0.)); #44350=CARTESIAN_POINT('Origin',(30.,62.,-2.00000000000001)); #44351=CARTESIAN_POINT('',(25.,62.,-2.00000000000001)); #44352=CARTESIAN_POINT('Origin',(30.,62.,-2.00000000000001)); #44353=CARTESIAN_POINT('',(27.25,62.,-2.00000000000002)); #44354=CARTESIAN_POINT('Origin',(30.,62.,-2.00000000000002)); #44355=CARTESIAN_POINT('Origin',(30.,62.,-6.50000000000001)); #44356=CARTESIAN_POINT('',(25.,62.,-11.)); #44357=CARTESIAN_POINT('Origin',(30.,62.,-11.)); #44358=CARTESIAN_POINT('',(25.,62.,-6.50000000000001)); #44359=CARTESIAN_POINT('Origin',(30.,62.,265.563159291113)); #44360=CARTESIAN_POINT('',(27.25,62.,0.)); #44361=CARTESIAN_POINT('',(27.25,62.,265.563159291113)); #44362=CARTESIAN_POINT('Origin',(30.,62.,0.)); #44363=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,-2.00000000000001)); #44364=CARTESIAN_POINT('',(-48.840620433566,43.8406204335659,-2.00000000000001)); #44365=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,-2.00000000000001)); #44366=CARTESIAN_POINT('',(-46.590620433566,43.8406204335659,-2.00000000000002)); #44367=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,-2.00000000000002)); #44368=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,-6.50000000000001)); #44369=CARTESIAN_POINT('',(-48.840620433566,43.8406204335659,-11.)); #44370=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,-11.)); #44371=CARTESIAN_POINT('',(-48.840620433566,43.8406204335659,-6.50000000000001)); #44372=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,265.563159291113)); #44373=CARTESIAN_POINT('',(-46.590620433566,43.8406204335659,0.)); #44374=CARTESIAN_POINT('',(-46.590620433566,43.8406204335659,265.563159291113)); #44375=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,0.)); #44376=CARTESIAN_POINT('Origin',(0.,67.5,-22.)); #44377=CARTESIAN_POINT('',(4.13318294712232E-15,67.5,-22.)); #44378=CARTESIAN_POINT('',(190.,67.5,-22.)); #44379=CARTESIAN_POINT('',(-20.3189174122891,67.5,-22.)); #44380=CARTESIAN_POINT('',(190.,67.5,-11.)); #44381=CARTESIAN_POINT('',(190.,67.5,-11.)); #44382=CARTESIAN_POINT('',(0.,67.5,-11.)); #44383=CARTESIAN_POINT('',(190.,67.5,-11.)); #44384=CARTESIAN_POINT('',(4.13318294712232E-15,67.5,-22.)); #44385=CARTESIAN_POINT('Origin',(0.,0.,-22.)); #44386=CARTESIAN_POINT('',(-67.5,-2.17096557706346E-14,-22.)); #44387=CARTESIAN_POINT('Origin',(0.,0.,-22.)); #44388=CARTESIAN_POINT('',(-67.5,-2.22044604925031E-14,-11.)); #44389=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #44390=CARTESIAN_POINT('',(-67.5,-2.17096557706346E-14,-22.)); #44391=CARTESIAN_POINT('Origin',(-67.5,-10.,-22.)); #44392=CARTESIAN_POINT('',(-67.5,-10.,-22.)); #44393=CARTESIAN_POINT('',(-67.5,13.5651512792304,-22.)); #44394=CARTESIAN_POINT('',(-67.5,-10.,-11.)); #44395=CARTESIAN_POINT('',(-67.5,-2.17096557706346E-14,-11.)); #44396=CARTESIAN_POINT('',(-67.5,-10.,-11.)); #44397=CARTESIAN_POINT('Origin',(54.8379712978171,52.3549588667449,-11.)); #44398=CARTESIAN_POINT('',(-54.,8.88178419700125E-15,-11.)); #44399=CARTESIAN_POINT('',(-64.,-10.,-11.)); #44400=CARTESIAN_POINT('Origin',(-64.,-4.44089209850063E-15,-11.)); #44401=CARTESIAN_POINT('',(-7.1228487640827,-9.99999999999998,-11.)); #44402=CARTESIAN_POINT('',(190.,58.,-11.)); #44403=CARTESIAN_POINT('',(190.,55.1774794333724,-11.)); #44404=CARTESIAN_POINT('',(173.,58.,-11.)); #44405=CARTESIAN_POINT('',(113.918985648909,58.,-11.)); #44406=CARTESIAN_POINT('',(165.,54.,-11.)); #44407=CARTESIAN_POINT('Origin',(173.,48.,-11.)); #44408=CARTESIAN_POINT('',(0.,54.,-11.)); #44409=CARTESIAN_POINT('',(27.4189856489086,54.,-11.)); #44410=CARTESIAN_POINT('Origin',(0.,0.,-11.)); #44411=CARTESIAN_POINT('Origin',(173.,58.,0.)); #44412=CARTESIAN_POINT('',(190.,58.,0.)); #44413=CARTESIAN_POINT('',(190.,58.,0.)); #44414=CARTESIAN_POINT('',(173.,58.,0.)); #44415=CARTESIAN_POINT('',(173.,58.,0.)); #44416=CARTESIAN_POINT('',(173.,58.,0.)); #44417=CARTESIAN_POINT('Origin',(173.,48.,0.)); #44418=CARTESIAN_POINT('',(165.,54.,0.)); #44419=CARTESIAN_POINT('Origin',(173.,48.,0.)); #44420=CARTESIAN_POINT('',(165.,54.,0.)); #44421=CARTESIAN_POINT('Origin',(0.,54.,0.)); #44422=CARTESIAN_POINT('',(0.,54.,0.)); #44423=CARTESIAN_POINT('',(0.,54.,0.)); #44424=CARTESIAN_POINT('',(0.,54.,0.)); #44425=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44426=CARTESIAN_POINT('',(-54.,6.04901474817726E-15,0.)); #44427=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44428=CARTESIAN_POINT('',(-54.,6.04901474817726E-15,0.)); #44429=CARTESIAN_POINT('Origin',(-64.,-4.44089209850063E-15,0.)); #44430=CARTESIAN_POINT('',(-64.,-10.,0.)); #44431=CARTESIAN_POINT('Origin',(-64.,-4.44089209850063E-15,0.)); #44432=CARTESIAN_POINT('',(-64.,-10.,0.)); #44433=CARTESIAN_POINT('Origin',(85.9087598487471,77.,0.)); #44434=CARTESIAN_POINT('',(85.9087598487471,77.,0.)); #44435=CARTESIAN_POINT('',(0.,74.,0.)); #44436=CARTESIAN_POINT('',(85.9087598487471,77.,0.)); #44437=CARTESIAN_POINT('',(85.9087598487471,77.,-22.)); #44438=CARTESIAN_POINT('',(85.9087598487471,77.,0.)); #44439=CARTESIAN_POINT('',(0.,74.,-22.)); #44440=CARTESIAN_POINT('',(85.9087598487471,77.,-22.)); #44441=CARTESIAN_POINT('',(0.,74.,0.)); #44442=CARTESIAN_POINT('Origin',(190.,77.,0.)); #44443=CARTESIAN_POINT('',(190.,77.,0.)); #44444=CARTESIAN_POINT('',(190.,77.,0.)); #44445=CARTESIAN_POINT('',(190.,77.,-22.)); #44446=CARTESIAN_POINT('',(190.,77.,0.)); #44447=CARTESIAN_POINT('',(190.,77.,-22.)); #44448=CARTESIAN_POINT('Origin',(190.,58.,0.)); #44449=CARTESIAN_POINT('',(190.,58.,-22.)); #44450=CARTESIAN_POINT('',(190.,58.,0.)); #44451=CARTESIAN_POINT('Origin',(-69.0836688259826,-10.,0.)); #44452=CARTESIAN_POINT('',(-69.0836688259826,-10.,0.)); #44453=CARTESIAN_POINT('',(-69.0836688259826,-10.,0.)); #44454=CARTESIAN_POINT('',(-69.0836688259826,-10.,-22.)); #44455=CARTESIAN_POINT('',(-69.0836688259826,-10.,0.)); #44456=CARTESIAN_POINT('',(-69.0836688259826,-10.,-22.)); #44457=CARTESIAN_POINT('Origin',(-69.0836688259826,-9.00000000000001,0.)); #44458=CARTESIAN_POINT('',(-70.0798635240743,-9.08715574274766,0.)); #44459=CARTESIAN_POINT('Origin',(-69.0836688259826,-9.00000000000001,0.)); #44460=CARTESIAN_POINT('',(-70.0798635240743,-9.08715574274766,-22.)); #44461=CARTESIAN_POINT('',(-70.0798635240743,-9.08715574274766,0.)); #44462=CARTESIAN_POINT('Origin',(-69.0836688259826,-9.00000000000001,-22.)); #44463=CARTESIAN_POINT('Origin',(-71.4090106625322,6.10506556789086,0.)); #44464=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,0.)); #44465=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,0.)); #44466=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,-22.)); #44467=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,0.)); #44468=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,-22.)); #44469=CARTESIAN_POINT('Origin',(-21.5992757579447,10.4628527052738,0.)); #44470=CARTESIAN_POINT('',(-66.59776692033,32.2604625079275,0.)); #44471=CARTESIAN_POINT('Origin',(-21.5992757579447,10.4628527052738,0.)); #44472=CARTESIAN_POINT('',(-66.59776692033,32.2604625079275,-22.)); #44473=CARTESIAN_POINT('',(-66.59776692033,32.2604625079275,0.)); #44474=CARTESIAN_POINT('Origin',(-21.5992757579447,10.4628527052738,-22.)); #44475=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44476=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44477=CARTESIAN_POINT('Origin',(0.,0.,-22.)); #44478=CARTESIAN_POINT('Origin',(-40.6378348245783,37.1303025584608,-22.)); #44479=CARTESIAN_POINT('Origin',(-40.6378348245783,37.1303025584608,0.)); #44480=CARTESIAN_POINT('',(0.,0.,0.)); #44481=CARTESIAN_POINT('Origin',(130.,62.0000000000001,2.00000000000001)); #44482=CARTESIAN_POINT('',(125.,62.0000000000001,2.00000000000001)); #44483=CARTESIAN_POINT('Origin',(130.,62.0000000000001,2.00000000000001)); #44484=CARTESIAN_POINT('',(127.25,62.0000000000001,2.00000000000002)); #44485=CARTESIAN_POINT('Origin',(130.,62.0000000000001,2.00000000000002)); #44486=CARTESIAN_POINT('Origin',(130.,62.0000000000001,6.50000000000001)); #44487=CARTESIAN_POINT('',(125.,62.0000000000001,11.)); #44488=CARTESIAN_POINT('Origin',(130.,62.0000000000001,11.)); #44489=CARTESIAN_POINT('',(125.,62.0000000000001,6.50000000000001)); #44490=CARTESIAN_POINT('Origin',(130.,62.0000000000001,-265.563159291113)); #44491=CARTESIAN_POINT('',(127.25,62.0000000000001,0.)); #44492=CARTESIAN_POINT('',(127.25,62.0000000000001,-265.563159291113)); #44493=CARTESIAN_POINT('Origin',(130.,62.0000000000001,0.)); #44494=CARTESIAN_POINT('Origin',(-62.,0.,2.00000000000001)); #44495=CARTESIAN_POINT('',(-67.,-6.12323399573677E-16,2.00000000000001)); #44496=CARTESIAN_POINT('Origin',(-62.,0.,2.00000000000001)); #44497=CARTESIAN_POINT('',(-64.75,-3.36777869765522E-16,2.00000000000002)); #44498=CARTESIAN_POINT('Origin',(-62.,0.,2.00000000000002)); #44499=CARTESIAN_POINT('Origin',(-62.,0.,6.50000000000001)); #44500=CARTESIAN_POINT('',(-67.,-6.12323399573677E-16,11.)); #44501=CARTESIAN_POINT('Origin',(-62.,0.,11.)); #44502=CARTESIAN_POINT('',(-67.,-6.12323399573677E-16,6.50000000000001)); #44503=CARTESIAN_POINT('Origin',(-62.,0.,-265.563159291113)); #44504=CARTESIAN_POINT('',(-64.75,-3.36777869765522E-16,0.)); #44505=CARTESIAN_POINT('',(-64.75,-3.36777869765522E-16,-265.563159291113)); #44506=CARTESIAN_POINT('Origin',(-62.,0.,0.)); #44507=CARTESIAN_POINT('Origin',(30.,62.,2.00000000000001)); #44508=CARTESIAN_POINT('',(25.,62.,2.00000000000001)); #44509=CARTESIAN_POINT('Origin',(30.,62.,2.00000000000001)); #44510=CARTESIAN_POINT('',(27.25,62.,2.00000000000002)); #44511=CARTESIAN_POINT('Origin',(30.,62.,2.00000000000002)); #44512=CARTESIAN_POINT('Origin',(30.,62.,6.50000000000001)); #44513=CARTESIAN_POINT('',(25.,62.,11.)); #44514=CARTESIAN_POINT('Origin',(30.,62.,11.)); #44515=CARTESIAN_POINT('',(25.,62.,6.50000000000001)); #44516=CARTESIAN_POINT('Origin',(30.,62.,-265.563159291113)); #44517=CARTESIAN_POINT('',(27.25,62.,0.)); #44518=CARTESIAN_POINT('',(27.25,62.,-265.563159291113)); #44519=CARTESIAN_POINT('Origin',(30.,62.,0.)); #44520=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,2.00000000000001)); #44521=CARTESIAN_POINT('',(-48.840620433566,43.8406204335659,2.00000000000001)); #44522=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,2.00000000000001)); #44523=CARTESIAN_POINT('',(-46.590620433566,43.8406204335659,2.00000000000002)); #44524=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,2.00000000000002)); #44525=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,6.50000000000001)); #44526=CARTESIAN_POINT('',(-48.840620433566,43.8406204335659,11.)); #44527=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,11.)); #44528=CARTESIAN_POINT('',(-48.840620433566,43.8406204335659,6.50000000000001)); #44529=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,-265.563159291113)); #44530=CARTESIAN_POINT('',(-46.590620433566,43.8406204335659,0.)); #44531=CARTESIAN_POINT('',(-46.590620433566,43.8406204335659,-265.563159291113)); #44532=CARTESIAN_POINT('Origin',(-43.840620433566,43.8406204335659,0.)); #44533=CARTESIAN_POINT('Origin',(0.,67.5,22.)); #44534=CARTESIAN_POINT('',(4.13318294712232E-15,67.5,22.)); #44535=CARTESIAN_POINT('',(190.,67.5,22.)); #44536=CARTESIAN_POINT('',(-20.3189174122891,67.5,22.)); #44537=CARTESIAN_POINT('',(0.,67.5,11.)); #44538=CARTESIAN_POINT('',(4.13318294712232E-15,67.5,22.)); #44539=CARTESIAN_POINT('',(190.,67.5,11.)); #44540=CARTESIAN_POINT('',(190.,67.5,11.)); #44541=CARTESIAN_POINT('',(190.,67.5,11.)); #44542=CARTESIAN_POINT('Origin',(0.,0.,22.)); #44543=CARTESIAN_POINT('',(-67.5,-2.17096557706346E-14,22.)); #44544=CARTESIAN_POINT('Origin',(0.,0.,22.)); #44545=CARTESIAN_POINT('',(-67.5,-2.22044604925031E-14,11.)); #44546=CARTESIAN_POINT('',(-67.5,-2.17096557706346E-14,22.)); #44547=CARTESIAN_POINT('Origin',(0.,0.,11.)); #44548=CARTESIAN_POINT('Origin',(-67.5,-10.,22.)); #44549=CARTESIAN_POINT('',(-67.5,-10.,22.)); #44550=CARTESIAN_POINT('',(-67.5,13.5651512792304,22.)); #44551=CARTESIAN_POINT('',(-67.5,-10.,11.)); #44552=CARTESIAN_POINT('',(-67.5,-10.,11.)); #44553=CARTESIAN_POINT('',(-67.5,-2.17096557706346E-14,11.)); #44554=CARTESIAN_POINT('Origin',(54.8379712978171,52.3549588667449,11.)); #44555=CARTESIAN_POINT('',(-54.,8.88178419700125E-15,11.)); #44556=CARTESIAN_POINT('',(-64.,-10.,11.)); #44557=CARTESIAN_POINT('Origin',(-64.,-4.44089209850063E-15,11.)); #44558=CARTESIAN_POINT('',(0.,54.,11.)); #44559=CARTESIAN_POINT('Origin',(0.,0.,11.)); #44560=CARTESIAN_POINT('',(165.,54.,11.)); #44561=CARTESIAN_POINT('',(27.4189856489086,54.,11.)); #44562=CARTESIAN_POINT('',(173.,58.,11.)); #44563=CARTESIAN_POINT('Origin',(173.,48.,11.)); #44564=CARTESIAN_POINT('',(190.,58.,11.)); #44565=CARTESIAN_POINT('',(113.918985648909,58.,11.)); #44566=CARTESIAN_POINT('',(190.,55.1774794333724,11.)); #44567=CARTESIAN_POINT('',(-7.1228487640827,-9.99999999999998,11.)); #44568=CARTESIAN_POINT('Origin',(173.,58.,0.)); #44569=CARTESIAN_POINT('',(173.,58.,0.)); #44570=CARTESIAN_POINT('',(173.,58.,0.)); #44571=CARTESIAN_POINT('',(190.,58.,0.)); #44572=CARTESIAN_POINT('',(173.,58.,0.)); #44573=CARTESIAN_POINT('',(190.,58.,0.)); #44574=CARTESIAN_POINT('Origin',(173.,48.,0.)); #44575=CARTESIAN_POINT('',(165.,54.,0.)); #44576=CARTESIAN_POINT('',(165.,54.,0.)); #44577=CARTESIAN_POINT('Origin',(173.,48.,0.)); #44578=CARTESIAN_POINT('Origin',(0.,54.,0.)); #44579=CARTESIAN_POINT('',(0.,54.,0.)); #44580=CARTESIAN_POINT('',(0.,54.,0.)); #44581=CARTESIAN_POINT('',(0.,54.,0.)); #44582=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44583=CARTESIAN_POINT('',(-54.,6.04901474817726E-15,0.)); #44584=CARTESIAN_POINT('',(-54.,6.04901474817726E-15,0.)); #44585=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44586=CARTESIAN_POINT('Origin',(-64.,-4.44089209850063E-15,0.)); #44587=CARTESIAN_POINT('',(-64.,-10.,0.)); #44588=CARTESIAN_POINT('',(-64.,-10.,0.)); #44589=CARTESIAN_POINT('Origin',(-64.,-4.44089209850063E-15,0.)); #44590=CARTESIAN_POINT('Origin',(85.9087598487471,77.,0.)); #44591=CARTESIAN_POINT('',(85.9087598487471,77.,0.)); #44592=CARTESIAN_POINT('',(0.,74.,0.)); #44593=CARTESIAN_POINT('',(85.9087598487471,77.,0.)); #44594=CARTESIAN_POINT('',(0.,74.,22.)); #44595=CARTESIAN_POINT('',(0.,74.,0.)); #44596=CARTESIAN_POINT('',(85.9087598487471,77.,22.)); #44597=CARTESIAN_POINT('',(85.9087598487471,77.,22.)); #44598=CARTESIAN_POINT('',(85.9087598487471,77.,0.)); #44599=CARTESIAN_POINT('Origin',(190.,77.,0.)); #44600=CARTESIAN_POINT('',(190.,77.,0.)); #44601=CARTESIAN_POINT('',(190.,77.,0.)); #44602=CARTESIAN_POINT('',(190.,77.,22.)); #44603=CARTESIAN_POINT('',(190.,77.,22.)); #44604=CARTESIAN_POINT('',(190.,77.,0.)); #44605=CARTESIAN_POINT('Origin',(190.,58.,0.)); #44606=CARTESIAN_POINT('',(190.,58.,0.)); #44607=CARTESIAN_POINT('',(190.,58.,22.)); #44608=CARTESIAN_POINT('Origin',(-69.0836688259826,-10.,0.)); #44609=CARTESIAN_POINT('',(-69.0836688259826,-10.,22.)); #44610=CARTESIAN_POINT('',(-69.0836688259826,-10.,22.)); #44611=CARTESIAN_POINT('',(-69.0836688259826,-10.,0.)); #44612=CARTESIAN_POINT('',(-69.0836688259826,-10.,0.)); #44613=CARTESIAN_POINT('',(-69.0836688259826,-10.,0.)); #44614=CARTESIAN_POINT('Origin',(-69.0836688259826,-9.00000000000001,0.)); #44615=CARTESIAN_POINT('',(-70.0798635240743,-9.08715574274766,0.)); #44616=CARTESIAN_POINT('Origin',(-69.0836688259826,-9.00000000000001,0.)); #44617=CARTESIAN_POINT('',(-70.0798635240743,-9.08715574274766,22.)); #44618=CARTESIAN_POINT('Origin',(-69.0836688259826,-9.00000000000001,22.)); #44619=CARTESIAN_POINT('',(-70.0798635240743,-9.08715574274766,0.)); #44620=CARTESIAN_POINT('Origin',(-71.4090106625322,6.10506556789086,0.)); #44621=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,0.)); #44622=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,0.)); #44623=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,22.)); #44624=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,22.)); #44625=CARTESIAN_POINT('',(-71.4090106625322,6.10506556789086,0.)); #44626=CARTESIAN_POINT('Origin',(-21.5992757579447,10.4628527052738,0.)); #44627=CARTESIAN_POINT('',(-66.59776692033,32.2604625079275,0.)); #44628=CARTESIAN_POINT('Origin',(-21.5992757579447,10.4628527052738,0.)); #44629=CARTESIAN_POINT('',(-66.59776692033,32.2604625079275,22.)); #44630=CARTESIAN_POINT('Origin',(-21.5992757579447,10.4628527052738,22.)); #44631=CARTESIAN_POINT('',(-66.59776692033,32.2604625079275,0.)); #44632=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44633=CARTESIAN_POINT('Origin',(0.,0.,0.)); #44634=CARTESIAN_POINT('Origin',(0.,0.,22.)); #44635=CARTESIAN_POINT('Origin',(-40.6378348245783,37.1303025584608,22.)); #44636=CARTESIAN_POINT('Origin',(-40.6378348245783,37.1303025584608,0.)); #44637=CARTESIAN_POINT('',(0.,0.,0.)); #44638=CARTESIAN_POINT('Origin',(-24.,-14.2613920840603,0.)); #44639=CARTESIAN_POINT('',(-26.4585,-15.,-3.01079415570377E-16)); #44640=CARTESIAN_POINT('Origin',(-24.,-15.,0.)); #44641=CARTESIAN_POINT('',(-24.,-13.5227841681207,0.)); #44642=CARTESIAN_POINT('',(-25.22925,-14.2613920840603,-1.50539707785188E-16)); #44643=CARTESIAN_POINT('Origin',(-24.,-22.5,0.)); #44644=CARTESIAN_POINT('',(-26.4585,-30.,-3.01079415570377E-16)); #44645=CARTESIAN_POINT('Origin',(-24.,-30.,0.)); #44646=CARTESIAN_POINT('',(-26.4585,-22.5,-3.01079415570377E-16)); #44647=CARTESIAN_POINT('Origin',(24.,-14.2613920840603,0.)); #44648=CARTESIAN_POINT('',(21.5415,-15.,-3.01079415570377E-16)); #44649=CARTESIAN_POINT('Origin',(24.,-15.,0.)); #44650=CARTESIAN_POINT('',(24.,-13.5227841681207,0.)); #44651=CARTESIAN_POINT('',(22.77075,-14.2613920840603,-1.50539707785188E-16)); #44652=CARTESIAN_POINT('Origin',(24.,-22.5,0.)); #44653=CARTESIAN_POINT('',(21.5415,-30.,-3.01079415570377E-16)); #44654=CARTESIAN_POINT('Origin',(24.,-30.,0.)); #44655=CARTESIAN_POINT('',(21.5415,-22.5,-3.01079415570377E-16)); #44656=CARTESIAN_POINT('Origin',(-49.,-40.,-10.)); #44657=CARTESIAN_POINT('',(-49.,-40.,6.)); #44658=CARTESIAN_POINT('',(-49.,-36.,10.)); #44659=CARTESIAN_POINT('',(-49.,-43.,2.99999999999999)); #44660=CARTESIAN_POINT('',(-49.,-40.,-6.)); #44661=CARTESIAN_POINT('',(-49.,-40.,-5.)); #44662=CARTESIAN_POINT('',(-49.,-36.,-10.)); #44663=CARTESIAN_POINT('',(-49.,-38.,-8.)); #44664=CARTESIAN_POINT('',(-49.,-30.,-10.)); #44665=CARTESIAN_POINT('',(-49.,-20.,-10.)); #44666=CARTESIAN_POINT('',(-49.,-30.,10.)); #44667=CARTESIAN_POINT('',(-49.,-30.,-10.)); #44668=CARTESIAN_POINT('',(-49.,-20.,10.)); #44669=CARTESIAN_POINT('Origin',(49.,-40.,10.)); #44670=CARTESIAN_POINT('',(49.,-36.,10.)); #44671=CARTESIAN_POINT('',(49.,-40.,6.)); #44672=CARTESIAN_POINT('',(49.,-38.,7.99999999999999)); #44673=CARTESIAN_POINT('',(49.,-30.,10.)); #44674=CARTESIAN_POINT('',(49.,-20.,10.)); #44675=CARTESIAN_POINT('',(49.,-30.,-10.)); #44676=CARTESIAN_POINT('',(49.,-30.,10.)); #44677=CARTESIAN_POINT('',(49.,-36.,-10.)); #44678=CARTESIAN_POINT('',(49.,-20.,-10.)); #44679=CARTESIAN_POINT('',(49.,-40.,-6.)); #44680=CARTESIAN_POINT('',(49.,-43.,-2.99999999999999)); #44681=CARTESIAN_POINT('',(49.,-40.,5.)); #44682=CARTESIAN_POINT('Origin',(0.,-30.,-4.44089209850063E-15)); #44683=CARTESIAN_POINT('',(-8.88178419700125E-15,-30.,10.)); #44684=CARTESIAN_POINT('',(-8.88178419700125E-15,-30.,-10.)); #44685=CARTESIAN_POINT('Origin',(-157.,-40.,0.)); #44686=CARTESIAN_POINT('',(157.,-39.9999999999999,-6.)); #44687=CARTESIAN_POINT('',(-78.5,-40.,-6.)); #44688=CARTESIAN_POINT('',(157.,-39.9999999999999,6.)); #44689=CARTESIAN_POINT('',(157.,-39.9999999999999,0.)); #44690=CARTESIAN_POINT('',(-78.5,-40.,6.)); #44691=CARTESIAN_POINT('Origin',(-78.5,-38.,-8.)); #44692=CARTESIAN_POINT('',(157.,-35.9999999999999,-10.)); #44693=CARTESIAN_POINT('',(-78.5,-36.,-10.)); #44694=CARTESIAN_POINT('',(157.,-40.5,-5.49999999999999)); #44695=CARTESIAN_POINT('Origin',(-1.77635683940025E-14,0.,-10.)); #44696=CARTESIAN_POINT('',(-157.,-36.,-10.)); #44697=CARTESIAN_POINT('',(-78.5,-36.,-10.)); #44698=CARTESIAN_POINT('',(-157.,36.,-10.)); #44699=CARTESIAN_POINT('',(-157.,-40.,-10.)); #44700=CARTESIAN_POINT('',(-41.,36.,-10.)); #44701=CARTESIAN_POINT('',(78.5,36.,-10.)); #44702=CARTESIAN_POINT('',(-41.,34.,-10.)); #44703=CARTESIAN_POINT('',(-41.,17.,-10.)); #44704=CARTESIAN_POINT('',(41.,34.,-10.)); #44705=CARTESIAN_POINT('',(20.5,34.,-10.)); #44706=CARTESIAN_POINT('',(41.,36.,-10.)); #44707=CARTESIAN_POINT('',(41.,20.,-10.)); #44708=CARTESIAN_POINT('',(157.,35.9999999999999,-10.)); #44709=CARTESIAN_POINT('',(78.5,36.,-10.)); #44710=CARTESIAN_POINT('',(157.,39.9999999999999,-10.)); #44711=CARTESIAN_POINT('',(144.2,11.,-10.)); #44712=CARTESIAN_POINT('Origin',(147.5,11.,-10.)); #44713=CARTESIAN_POINT('',(-150.8,-11.,-10.)); #44714=CARTESIAN_POINT('Origin',(-147.5,-11.,-10.)); #44715=CARTESIAN_POINT('',(-150.8,11.,-10.)); #44716=CARTESIAN_POINT('Origin',(-147.5,11.,-10.)); #44717=CARTESIAN_POINT('',(144.2,-11.,-10.)); #44718=CARTESIAN_POINT('Origin',(147.5,-11.,-10.)); #44719=CARTESIAN_POINT('',(89.,22.5,-10.)); #44720=CARTESIAN_POINT('Origin',(93.5,22.5,-10.)); #44721=CARTESIAN_POINT('',(-98.,-22.5,-10.)); #44722=CARTESIAN_POINT('Origin',(-93.5,-22.5,-10.)); #44723=CARTESIAN_POINT('',(-98.,22.5,-10.)); #44724=CARTESIAN_POINT('Origin',(-93.5,22.5,-10.)); #44725=CARTESIAN_POINT('',(89.,-22.5,-10.)); #44726=CARTESIAN_POINT('Origin',(93.5,-22.5,-10.)); #44727=CARTESIAN_POINT('Origin',(-78.5,-38.,-8.)); #44728=CARTESIAN_POINT('',(-157.,-40.,-6.)); #44729=CARTESIAN_POINT('',(-78.5,-40.,-6.)); #44730=CARTESIAN_POINT('',(-157.,-20.5,-25.5)); #44731=CARTESIAN_POINT('Origin',(93.5,-22.5,4.)); #44732=CARTESIAN_POINT('',(86.,-22.5,4.)); #44733=CARTESIAN_POINT('Origin',(93.5,-22.5,4.)); #44734=CARTESIAN_POINT('',(89.,-22.5,3.99999999999999)); #44735=CARTESIAN_POINT('Origin',(93.5,-22.5,3.99999999999999)); #44736=CARTESIAN_POINT('Origin',(93.5,-22.5,7.)); #44737=CARTESIAN_POINT('',(86.,-22.5,10.)); #44738=CARTESIAN_POINT('Origin',(93.5,-22.5,10.)); #44739=CARTESIAN_POINT('',(86.,-22.5,7.)); #44740=CARTESIAN_POINT('Origin',(93.5,-22.5,-314.647501145473)); #44741=CARTESIAN_POINT('',(89.,-22.5,-314.647501145473)); #44742=CARTESIAN_POINT('Origin',(-93.5,22.5,4.)); #44743=CARTESIAN_POINT('',(-101.,22.5,4.)); #44744=CARTESIAN_POINT('Origin',(-93.5,22.5,4.)); #44745=CARTESIAN_POINT('',(-98.,22.5,3.99999999999999)); #44746=CARTESIAN_POINT('Origin',(-93.5,22.5,3.99999999999999)); #44747=CARTESIAN_POINT('Origin',(-93.5,22.5,7.)); #44748=CARTESIAN_POINT('',(-101.,22.5,10.)); #44749=CARTESIAN_POINT('Origin',(-93.5,22.5,10.)); #44750=CARTESIAN_POINT('',(-101.,22.5,7.)); #44751=CARTESIAN_POINT('Origin',(-93.5,22.5,-314.647501145473)); #44752=CARTESIAN_POINT('',(-98.,22.5,-314.647501145473)); #44753=CARTESIAN_POINT('Origin',(-93.5,-22.5,4.)); #44754=CARTESIAN_POINT('',(-101.,-22.5,4.)); #44755=CARTESIAN_POINT('Origin',(-93.5,-22.5,4.)); #44756=CARTESIAN_POINT('',(-98.,-22.5,3.99999999999999)); #44757=CARTESIAN_POINT('Origin',(-93.5,-22.5,3.99999999999999)); #44758=CARTESIAN_POINT('Origin',(-93.5,-22.5,7.)); #44759=CARTESIAN_POINT('',(-101.,-22.5,10.)); #44760=CARTESIAN_POINT('Origin',(-93.5,-22.5,10.)); #44761=CARTESIAN_POINT('',(-101.,-22.5,7.)); #44762=CARTESIAN_POINT('Origin',(-93.5,-22.5,-314.647501145473)); #44763=CARTESIAN_POINT('',(-98.,-22.5,-314.647501145473)); #44764=CARTESIAN_POINT('Origin',(93.5,22.5,4.)); #44765=CARTESIAN_POINT('',(86.,22.5,4.)); #44766=CARTESIAN_POINT('Origin',(93.5,22.5,4.)); #44767=CARTESIAN_POINT('',(89.,22.5,3.99999999999999)); #44768=CARTESIAN_POINT('Origin',(93.5,22.5,3.99999999999999)); #44769=CARTESIAN_POINT('Origin',(93.5,22.5,7.)); #44770=CARTESIAN_POINT('',(86.,22.5,10.)); #44771=CARTESIAN_POINT('Origin',(93.5,22.5,10.)); #44772=CARTESIAN_POINT('',(86.,22.5,7.)); #44773=CARTESIAN_POINT('Origin',(93.5,22.5,-314.647501145473)); #44774=CARTESIAN_POINT('',(89.,22.5,-314.647501145473)); #44775=CARTESIAN_POINT('Origin',(-41.,34.,10.)); #44776=CARTESIAN_POINT('',(-41.,36.,10.)); #44777=CARTESIAN_POINT('',(-41.,40.,5.99999999999999)); #44778=CARTESIAN_POINT('',(-41.,36.5,9.50000000000001)); #44779=CARTESIAN_POINT('',(-41.,34.,10.)); #44780=CARTESIAN_POINT('',(-41.,17.,10.)); #44781=CARTESIAN_POINT('',(-41.,34.,10.)); #44782=CARTESIAN_POINT('',(-41.,40.,-5.99999999999999)); #44783=CARTESIAN_POINT('',(-41.,41.5,-4.50000000000001)); #44784=CARTESIAN_POINT('',(-41.,40.,5.)); #44785=CARTESIAN_POINT('Origin',(41.,34.,10.)); #44786=CARTESIAN_POINT('',(41.,34.,10.)); #44787=CARTESIAN_POINT('',(20.5,34.,10.)); #44788=CARTESIAN_POINT('',(41.,34.,10.)); #44789=CARTESIAN_POINT('Origin',(41.,40.,10.)); #44790=CARTESIAN_POINT('',(41.,40.,5.99999999999998)); #44791=CARTESIAN_POINT('',(41.,36.,10.)); #44792=CARTESIAN_POINT('',(41.,38.,8.)); #44793=CARTESIAN_POINT('',(41.,40.,-5.99999999999998)); #44794=CARTESIAN_POINT('',(41.,40.,5.)); #44795=CARTESIAN_POINT('',(41.,43.,-3.)); #44796=CARTESIAN_POINT('',(41.,20.,10.)); #44797=CARTESIAN_POINT('Origin',(78.5,38.,8.)); #44798=CARTESIAN_POINT('',(157.,35.9999999999999,10.)); #44799=CARTESIAN_POINT('',(78.5,36.,10.)); #44800=CARTESIAN_POINT('',(157.,39.9999999999999,6.)); #44801=CARTESIAN_POINT('',(157.,20.5,25.5)); #44802=CARTESIAN_POINT('',(78.5,40.,6.)); #44803=CARTESIAN_POINT('Origin',(78.5,38.,8.)); #44804=CARTESIAN_POINT('',(-157.,40.,6.)); #44805=CARTESIAN_POINT('',(78.5,40.,6.)); #44806=CARTESIAN_POINT('',(-157.,36.,10.)); #44807=CARTESIAN_POINT('',(-157.,40.5,5.50000000000001)); #44808=CARTESIAN_POINT('',(78.5,36.,10.)); #44809=CARTESIAN_POINT('Origin',(157.,39.9999999999999,0.)); #44810=CARTESIAN_POINT('',(157.,39.9999999999999,-6.)); #44811=CARTESIAN_POINT('',(157.,39.9999999999999,0.)); #44812=CARTESIAN_POINT('',(78.5,40.,-6.)); #44813=CARTESIAN_POINT('Origin',(157.,39.9999999999999,0.)); #44814=CARTESIAN_POINT('',(-157.,40.,-6.)); #44815=CARTESIAN_POINT('',(78.5,40.,-6.)); #44816=CARTESIAN_POINT('',(-157.,40.,0.)); #44817=CARTESIAN_POINT('Origin',(78.5,38.,-8.)); #44818=CARTESIAN_POINT('',(157.,20.5,-25.5)); #44819=CARTESIAN_POINT('Origin',(-78.5,-38.,8.)); #44820=CARTESIAN_POINT('',(-157.,-36.,10.)); #44821=CARTESIAN_POINT('',(-78.5,-36.,10.)); #44822=CARTESIAN_POINT('',(-157.,-40.,6.)); #44823=CARTESIAN_POINT('',(-157.,-20.5,25.5)); #44824=CARTESIAN_POINT('',(-78.5,-40.,6.)); #44825=CARTESIAN_POINT('Origin',(-78.5,-38.,8.)); #44826=CARTESIAN_POINT('',(157.,-35.9999999999999,10.)); #44827=CARTESIAN_POINT('',(157.,-40.5,5.49999999999999)); #44828=CARTESIAN_POINT('',(-78.5,-36.,10.)); #44829=CARTESIAN_POINT('Origin',(-157.,-40.,0.)); #44830=CARTESIAN_POINT('',(-157.,-40.,0.)); #44831=CARTESIAN_POINT('Origin',(157.,-39.9999999999999,0.)); #44832=CARTESIAN_POINT('',(157.,39.9999999999999,10.)); #44833=CARTESIAN_POINT('',(157.,-32.,5.5)); #44834=CARTESIAN_POINT('Origin',(157.,-32.,0.)); #44835=CARTESIAN_POINT('',(157.,32.,5.5)); #44836=CARTESIAN_POINT('Origin',(157.,32.,0.)); #44837=CARTESIAN_POINT('Origin',(-1.77635683940025E-14,0.,10.)); #44838=CARTESIAN_POINT('',(-157.,-40.,10.)); #44839=CARTESIAN_POINT('',(142.,-11.,10.)); #44840=CARTESIAN_POINT('Origin',(147.5,-11.,10.)); #44841=CARTESIAN_POINT('',(-153.,11.,10.)); #44842=CARTESIAN_POINT('Origin',(-147.5,11.,10.)); #44843=CARTESIAN_POINT('',(-153.,-11.,10.)); #44844=CARTESIAN_POINT('Origin',(-147.5,-11.,10.)); #44845=CARTESIAN_POINT('',(142.,11.,10.)); #44846=CARTESIAN_POINT('Origin',(147.5,11.,10.)); #44847=CARTESIAN_POINT('Origin',(78.5,38.,-8.)); #44848=CARTESIAN_POINT('',(-157.,40.5,-5.50000000000001)); #44849=CARTESIAN_POINT('Origin',(-157.,40.,0.)); #44850=CARTESIAN_POINT('',(-157.,-32.,5.5)); #44851=CARTESIAN_POINT('Origin',(-157.,-32.,0.)); #44852=CARTESIAN_POINT('',(-157.,32.,5.5)); #44853=CARTESIAN_POINT('Origin',(-157.,32.,0.)); #44854=CARTESIAN_POINT('Origin',(156.75,-32.,0.)); #44855=CARTESIAN_POINT('',(156.5,-32.,5.)); #44856=CARTESIAN_POINT('',(156.75,-32.,5.25)); #44857=CARTESIAN_POINT('',(156.5,-32.,-5.)); #44858=CARTESIAN_POINT('Origin',(156.5,-32.,0.)); #44859=CARTESIAN_POINT('Origin',(156.5,-32.,0.)); #44860=CARTESIAN_POINT('Origin',(150.75,-32.,0.)); #44861=CARTESIAN_POINT('',(144.5,-32.,-5.)); #44862=CARTESIAN_POINT('',(150.75,-32.,-5.)); #44863=CARTESIAN_POINT('Origin',(144.5,-32.,0.)); #44864=CARTESIAN_POINT('Origin',(156.75,32.,0.)); #44865=CARTESIAN_POINT('',(156.5,32.,5.)); #44866=CARTESIAN_POINT('',(156.75,32.,5.25)); #44867=CARTESIAN_POINT('',(156.5,32.,-5.)); #44868=CARTESIAN_POINT('Origin',(156.5,32.,0.)); #44869=CARTESIAN_POINT('Origin',(156.5,32.,0.)); #44870=CARTESIAN_POINT('Origin',(150.75,32.,0.)); #44871=CARTESIAN_POINT('',(144.5,32.,-5.)); #44872=CARTESIAN_POINT('',(150.75,32.,-5.)); #44873=CARTESIAN_POINT('Origin',(144.5,32.,0.)); #44874=CARTESIAN_POINT('Origin',(-156.75,-32.,0.)); #44875=CARTESIAN_POINT('',(-156.5,-32.,5.)); #44876=CARTESIAN_POINT('',(-156.75,-32.,5.25)); #44877=CARTESIAN_POINT('',(-156.5,-32.,-5.)); #44878=CARTESIAN_POINT('Origin',(-156.5,-32.,0.)); #44879=CARTESIAN_POINT('Origin',(-156.5,-32.,0.)); #44880=CARTESIAN_POINT('Origin',(-150.75,-32.,0.)); #44881=CARTESIAN_POINT('',(-144.5,-32.,-5.)); #44882=CARTESIAN_POINT('',(-150.75,-32.,-5.)); #44883=CARTESIAN_POINT('Origin',(-144.5,-32.,0.)); #44884=CARTESIAN_POINT('Origin',(-156.75,32.,0.)); #44885=CARTESIAN_POINT('',(-156.5,32.,5.)); #44886=CARTESIAN_POINT('',(-156.75,32.,5.25)); #44887=CARTESIAN_POINT('',(-156.5,32.,-5.)); #44888=CARTESIAN_POINT('Origin',(-156.5,32.,0.)); #44889=CARTESIAN_POINT('Origin',(-156.5,32.,0.)); #44890=CARTESIAN_POINT('Origin',(-150.75,32.,0.)); #44891=CARTESIAN_POINT('',(-144.5,32.,-5.)); #44892=CARTESIAN_POINT('',(-150.75,32.,-5.)); #44893=CARTESIAN_POINT('Origin',(-144.5,32.,0.)); #44894=CARTESIAN_POINT('Origin',(125.241797863756,32.,0.)); #44895=CARTESIAN_POINT('',(126.5,32.,-4.188)); #44896=CARTESIAN_POINT('Origin',(126.5,32.,0.)); #44897=CARTESIAN_POINT('',(123.983595727513,32.,0.)); #44898=CARTESIAN_POINT('',(125.241797863756,32.,-2.094)); #44899=CARTESIAN_POINT('Origin',(144.5,32.,0.)); #44900=CARTESIAN_POINT('',(144.5,32.,-4.188)); #44901=CARTESIAN_POINT('Origin',(144.5,32.,0.)); #44902=CARTESIAN_POINT('Origin',(141.75,32.,0.)); #44903=CARTESIAN_POINT('',(141.75,32.,-4.188)); #44904=CARTESIAN_POINT('Origin',(125.241797863756,-32.,0.)); #44905=CARTESIAN_POINT('',(126.5,-32.,-4.188)); #44906=CARTESIAN_POINT('Origin',(126.5,-32.,0.)); #44907=CARTESIAN_POINT('',(123.983595727513,-32.,0.)); #44908=CARTESIAN_POINT('',(125.241797863756,-32.,-2.094)); #44909=CARTESIAN_POINT('Origin',(144.5,-32.,0.)); #44910=CARTESIAN_POINT('',(144.5,-32.,-4.188)); #44911=CARTESIAN_POINT('Origin',(144.5,-32.,0.)); #44912=CARTESIAN_POINT('Origin',(141.75,-32.,0.)); #44913=CARTESIAN_POINT('',(141.75,-32.,-4.188)); #44914=CARTESIAN_POINT('Origin',(-125.241797863756,32.,0.)); #44915=CARTESIAN_POINT('',(-126.5,32.,-4.188)); #44916=CARTESIAN_POINT('Origin',(-126.5,32.,0.)); #44917=CARTESIAN_POINT('',(-123.983595727513,32.,0.)); #44918=CARTESIAN_POINT('',(-125.241797863756,32.,-2.094)); #44919=CARTESIAN_POINT('Origin',(-144.5,32.,0.)); #44920=CARTESIAN_POINT('',(-144.5,32.,-4.188)); #44921=CARTESIAN_POINT('Origin',(-144.5,32.,0.)); #44922=CARTESIAN_POINT('Origin',(-141.75,32.,0.)); #44923=CARTESIAN_POINT('',(-141.75,32.,-4.188)); #44924=CARTESIAN_POINT('Origin',(-125.241797863756,-32.,0.)); #44925=CARTESIAN_POINT('',(-126.5,-32.,-4.188)); #44926=CARTESIAN_POINT('Origin',(-126.5,-32.,0.)); #44927=CARTESIAN_POINT('',(-123.983595727513,-32.,0.)); #44928=CARTESIAN_POINT('',(-125.241797863756,-32.,-2.094)); #44929=CARTESIAN_POINT('Origin',(-144.5,-32.,0.)); #44930=CARTESIAN_POINT('',(-144.5,-32.,-4.188)); #44931=CARTESIAN_POINT('Origin',(-144.5,-32.,0.)); #44932=CARTESIAN_POINT('Origin',(-141.75,-32.,0.)); #44933=CARTESIAN_POINT('',(-141.75,-32.,-4.188)); #44934=CARTESIAN_POINT('Origin',(147.5,-11.,5.2)); #44935=CARTESIAN_POINT('',(142.,-11.,5.2)); #44936=CARTESIAN_POINT('Origin',(147.5,-11.,5.2)); #44937=CARTESIAN_POINT('',(144.2,-11.,5.2)); #44938=CARTESIAN_POINT('Origin',(147.5,-11.,5.2)); #44939=CARTESIAN_POINT('Origin',(147.5,-11.,7.6)); #44940=CARTESIAN_POINT('',(142.,-11.,7.6)); #44941=CARTESIAN_POINT('Origin',(147.5,-11.,-314.647501145473)); #44942=CARTESIAN_POINT('',(144.2,-11.,-314.647501145473)); #44943=CARTESIAN_POINT('Origin',(-147.5,11.,5.2)); #44944=CARTESIAN_POINT('',(-153.,11.,5.2)); #44945=CARTESIAN_POINT('Origin',(-147.5,11.,5.2)); #44946=CARTESIAN_POINT('',(-150.8,11.,5.2)); #44947=CARTESIAN_POINT('Origin',(-147.5,11.,5.2)); #44948=CARTESIAN_POINT('Origin',(-147.5,11.,7.6)); #44949=CARTESIAN_POINT('',(-153.,11.,7.6)); #44950=CARTESIAN_POINT('Origin',(-147.5,11.,-314.647501145473)); #44951=CARTESIAN_POINT('',(-150.8,11.,-314.647501145473)); #44952=CARTESIAN_POINT('Origin',(-147.5,-11.,5.2)); #44953=CARTESIAN_POINT('',(-153.,-11.,5.2)); #44954=CARTESIAN_POINT('Origin',(-147.5,-11.,5.2)); #44955=CARTESIAN_POINT('',(-150.8,-11.,5.2)); #44956=CARTESIAN_POINT('Origin',(-147.5,-11.,5.2)); #44957=CARTESIAN_POINT('Origin',(-147.5,-11.,7.6)); #44958=CARTESIAN_POINT('',(-153.,-11.,7.6)); #44959=CARTESIAN_POINT('Origin',(-147.5,-11.,-314.647501145473)); #44960=CARTESIAN_POINT('',(-150.8,-11.,-314.647501145473)); #44961=CARTESIAN_POINT('Origin',(147.5,11.,5.2)); #44962=CARTESIAN_POINT('',(142.,11.,5.2)); #44963=CARTESIAN_POINT('Origin',(147.5,11.,5.2)); #44964=CARTESIAN_POINT('',(144.2,11.,5.2)); #44965=CARTESIAN_POINT('Origin',(147.5,11.,5.2)); #44966=CARTESIAN_POINT('Origin',(147.5,11.,7.6)); #44967=CARTESIAN_POINT('',(142.,11.,7.6)); #44968=CARTESIAN_POINT('Origin',(147.5,11.,-314.647501145473)); #44969=CARTESIAN_POINT('',(144.2,11.,-314.647501145473)); #44970=CARTESIAN_POINT('',(0.,0.,0.)); #44971=CARTESIAN_POINT('Origin',(0.100000000000002,-1.12601996349793E-16, 0.)); #44972=CARTESIAN_POINT('',(2.23779328401008E-15,-6.1502982582356E-16,4.1)); #44973=CARTESIAN_POINT('Origin',(2.23779328401008E-15,-1.12924638173145E-16, 0.)); #44974=CARTESIAN_POINT('',(0.100000000000002,-6.02460716008735E-16,4.)); #44975=CARTESIAN_POINT('Origin',(0.100000000000002,-6.14707184000208E-16, 4.1)); #44976=CARTESIAN_POINT('',(0.100000000000002,-4.,-4.89858719658941E-16)); #44977=CARTESIAN_POINT('Origin',(0.100000000000002,-1.12601996349793E-16, 0.)); #44978=CARTESIAN_POINT('Origin',(0.100000000000002,-1.12601996349793E-16, 0.)); #44979=CARTESIAN_POINT('Origin',(24.,-3.54906005687028E-17,0.)); #44980=CARTESIAN_POINT('',(34.2331875,4.,4.89842541528951E-16)); #44981=CARTESIAN_POINT('',(34.2331875,-4.,-4.89858719658941E-16)); #44982=CARTESIAN_POINT('Origin',(34.2331875,-2.47405783168986E-18,0.)); #44983=CARTESIAN_POINT('Origin',(34.2331875,-2.47405783168986E-18,0.)); #44984=CARTESIAN_POINT('',(24.,-4.,-4.89858719658941E-16)); #44985=CARTESIAN_POINT('Origin',(2.22044604925031E-15,5.25,0.)); #44986=CARTESIAN_POINT('',(0.,-6.5,-7.9602041944578E-16)); #44987=CARTESIAN_POINT('Origin',(0.,-1.12924638173145E-16,0.)); #44988=CARTESIAN_POINT('Origin',(34.61659375,-1.23702891584493E-18,0.)); #44989=CARTESIAN_POINT('',(35.,3.2331875,-3.95938195559909E-16)); #44990=CARTESIAN_POINT('Origin',(35.,-3.37037740267766E-33,0.)); #44991=CARTESIAN_POINT('',(34.61659375,3.61659375,4.42904995975382E-16)); #44992=CARTESIAN_POINT('Origin',(35.,2.,0.)); #44993=CARTESIAN_POINT('Origin',(-4.2,-1.26475594753923E-16,0.)); #44994=CARTESIAN_POINT('',(-4.2,-9.22496014199702E-16,6.5)); #44995=CARTESIAN_POINT('',(-4.2,-6.5,-7.9602041944578E-16)); #44996=CARTESIAN_POINT('Origin',(-4.2,-1.26475594753923E-16,0.)); #44997=CARTESIAN_POINT('Origin',(-4.2,-1.26475594753923E-16,0.)); #44998=CARTESIAN_POINT('',(-5.,-8.27105404854729E-16,5.7)); #44999=CARTESIAN_POINT('Origin',(-4.2,-8.24524270267914E-16,5.7)); #45000=CARTESIAN_POINT('Origin',(-5.,-1.29056729340737E-16,0.)); #45001=CARTESIAN_POINT('Origin',(-5.,4.69337567297407,0.)); #45002=CARTESIAN_POINT('',(-5.,2.5,-1.44337567297407)); #45003=CARTESIAN_POINT('',(-5.,2.5,1.44337567297406)); #45004=CARTESIAN_POINT('',(-5.,2.5,0.721687836487029)); #45005=CARTESIAN_POINT('',(-5.,2.24468350234232E-16,2.88675134594813)); #45006=CARTESIAN_POINT('',(-5.,0.625,2.52590742770461)); #45007=CARTESIAN_POINT('',(-5.,-2.5,1.44337567297406)); #45008=CARTESIAN_POINT('',(-5.,-1.875,1.80421959121758)); #45009=CARTESIAN_POINT('',(-5.,-2.5,-1.44337567297406)); #45010=CARTESIAN_POINT('',(-5.,-2.5,-0.721687836487032)); #45011=CARTESIAN_POINT('',(-5.,-4.77201588241443E-16,-2.88675134594813)); #45012=CARTESIAN_POINT('',(-5.,-0.625000000000001,-2.52590742770461)); #45013=CARTESIAN_POINT('',(-5.,1.875,-1.80421959121758)); #45014=CARTESIAN_POINT('Origin',(-2.5,-1.20990683756941E-16,0.)); #45015=CARTESIAN_POINT('',(-2.5,-6.5,-7.9602041944578E-16)); #45016=CARTESIAN_POINT('Origin',(-5.,-4.77201588241443E-16,-2.88675134594813)); #45017=CARTESIAN_POINT('',(-1.19999999999999,-1.23674051341593E-15,-2.88675134594813)); #45018=CARTESIAN_POINT('',(-1.2,-2.5,-1.44337567297406)); #45019=CARTESIAN_POINT('Ctrl Pts',(-1.2,3.7196438063626E-15,-2.88675134594813)); #45020=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,-1.25,-2.1650635094611)); #45021=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,-1.44337567297406)); #45022=CARTESIAN_POINT('',(-3.1,-1.2490009027033E-15,-2.88675134594813)); #45023=CARTESIAN_POINT('',(-3.1,-2.5,-1.44337567297406)); #45024=CARTESIAN_POINT('Origin',(-5.,2.5,-1.44337567297407)); #45025=CARTESIAN_POINT('',(-1.2,2.5,-1.44337567297407)); #45026=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.5,-1.44337567297406)); #45027=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,1.25,-2.1650635094611)); #45028=CARTESIAN_POINT('Ctrl Pts',(-1.2,-5.99480765910753E-15,-2.88675134594813)); #45029=CARTESIAN_POINT('',(-3.1,2.5,-1.44337567297407)); #45030=CARTESIAN_POINT('Origin',(-5.,2.5,1.44337567297406)); #45031=CARTESIAN_POINT('',(-1.19999999999999,2.5,1.44337567297406)); #45032=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.5,1.44337567297407)); #45033=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,2.5,-2.4980018054066E-15)); #45034=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.5,-1.44337567297407)); #45035=CARTESIAN_POINT('',(-3.1,2.5,1.44337567297406)); #45036=CARTESIAN_POINT('Origin',(-5.,-1.61320911675922E-17,2.88675134594813)); #45037=CARTESIAN_POINT('',(-1.19999999999999,2.89816145443659E-16,2.88675134594813)); #45038=CARTESIAN_POINT('Ctrl Pts',(-1.2,-4.88458463448237E-15,2.88675134594813)); #45039=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333326,1.25,2.16506350946109)); #45040=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.50000000000001,1.44337567297406)); #45041=CARTESIAN_POINT('',(-3.1,2.77555756156289E-16,2.88675134594813)); #45042=CARTESIAN_POINT('Origin',(-5.,-2.5,-1.44337567297406)); #45043=CARTESIAN_POINT('',(-0.976709006307393,-2.5,-2.17487887254876E-16)); #45044=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,-1.44337567297407)); #45045=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-0.66987298107781)); #45046=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-1.28814074722915E-16)); #45047=CARTESIAN_POINT('',(-1.2,-2.5,1.44337567297406)); #45048=CARTESIAN_POINT('',(-3.1,-2.5,1.44337567297406)); #45049=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-1.28814074722915E-16)); #45050=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,0.66987298107781)); #45051=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,1.44337567297407)); #45052=CARTESIAN_POINT('Origin',(-5.,-2.5,1.44337567297406)); #45053=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.50000000000001,1.44337567297406)); #45054=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,-1.25,2.1650635094611)); #45055=CARTESIAN_POINT('Ctrl Pts',(-1.2,6.21764561176921E-15,2.88675134594813)); #45056=CARTESIAN_POINT('Origin',(-0.366666666666662,-1.14107658192102E-16, 0.)); #45057=CARTESIAN_POINT('',(0.466666666666671,-1.11418976330837E-16,0.)); #45058=CARTESIAN_POINT('',(-0.366666666666662,-1.44337567297406,-1.76762539787484E-16)); #45059=CARTESIAN_POINT('',(0.,0.,0.)); #45060=CARTESIAN_POINT('Origin',(115.,69.,3.)); #45061=CARTESIAN_POINT('',(115.,71.75,-3.)); #45062=CARTESIAN_POINT('',(115.,66.25,-3.)); #45063=CARTESIAN_POINT('Origin',(115.,69.,-3.)); #45064=CARTESIAN_POINT('',(115.,71.75,3.)); #45065=CARTESIAN_POINT('',(115.,71.75,3.)); #45066=CARTESIAN_POINT('',(115.,66.25,3.)); #45067=CARTESIAN_POINT('Origin',(115.,69.,3.)); #45068=CARTESIAN_POINT('',(115.,66.25,3.)); #45069=CARTESIAN_POINT('Origin',(135.,66.25,3.)); #45070=CARTESIAN_POINT('',(135.,66.25,-3.)); #45071=CARTESIAN_POINT('',(130.,66.25,-3.)); #45072=CARTESIAN_POINT('',(135.,66.25,3.)); #45073=CARTESIAN_POINT('',(130.,66.25,3.)); #45074=CARTESIAN_POINT('',(135.,66.25,3.)); #45075=CARTESIAN_POINT('Origin',(135.,69.,3.)); #45076=CARTESIAN_POINT('',(135.,71.75,-3.)); #45077=CARTESIAN_POINT('Origin',(135.,69.,-3.)); #45078=CARTESIAN_POINT('',(135.,71.75,3.)); #45079=CARTESIAN_POINT('Origin',(135.,69.,3.)); #45080=CARTESIAN_POINT('',(135.,71.75,3.)); #45081=CARTESIAN_POINT('Origin',(115.,71.75,3.)); #45082=CARTESIAN_POINT('',(120.,71.75,-3.)); #45083=CARTESIAN_POINT('',(120.,71.75,3.)); #45084=CARTESIAN_POINT('Origin',(25.,42.,3.)); #45085=CARTESIAN_POINT('',(25.,44.75,-3.)); #45086=CARTESIAN_POINT('',(25.,39.25,-3.)); #45087=CARTESIAN_POINT('Origin',(25.,42.,-3.)); #45088=CARTESIAN_POINT('',(25.,44.75,3.)); #45089=CARTESIAN_POINT('',(25.,44.75,3.)); #45090=CARTESIAN_POINT('',(25.,39.25,3.)); #45091=CARTESIAN_POINT('Origin',(25.,42.,3.)); #45092=CARTESIAN_POINT('',(25.,39.25,3.)); #45093=CARTESIAN_POINT('Origin',(45.,39.25,3.)); #45094=CARTESIAN_POINT('',(45.,39.25,-3.)); #45095=CARTESIAN_POINT('',(40.,39.25,-3.)); #45096=CARTESIAN_POINT('',(45.,39.25,3.)); #45097=CARTESIAN_POINT('',(40.,39.25,3.)); #45098=CARTESIAN_POINT('',(45.,39.25,3.)); #45099=CARTESIAN_POINT('Origin',(45.,42.,3.)); #45100=CARTESIAN_POINT('',(45.,44.75,-3.)); #45101=CARTESIAN_POINT('Origin',(45.,42.,-3.)); #45102=CARTESIAN_POINT('',(45.,44.75,3.)); #45103=CARTESIAN_POINT('Origin',(45.,42.,3.)); #45104=CARTESIAN_POINT('',(45.,44.75,3.)); #45105=CARTESIAN_POINT('Origin',(25.,44.75,3.)); #45106=CARTESIAN_POINT('',(30.,44.75,-3.)); #45107=CARTESIAN_POINT('',(30.,44.75,3.)); #45108=CARTESIAN_POINT('Origin',(175.,42.0000000000001,3.)); #45109=CARTESIAN_POINT('',(175.,44.7500000000001,-3.)); #45110=CARTESIAN_POINT('',(175.,39.2500000000001,-3.)); #45111=CARTESIAN_POINT('Origin',(175.,42.0000000000001,-3.)); #45112=CARTESIAN_POINT('',(175.,44.7500000000001,3.)); #45113=CARTESIAN_POINT('',(175.,44.7500000000001,3.)); #45114=CARTESIAN_POINT('',(175.,39.2500000000001,3.)); #45115=CARTESIAN_POINT('Origin',(175.,42.0000000000001,3.)); #45116=CARTESIAN_POINT('',(175.,39.2500000000001,3.)); #45117=CARTESIAN_POINT('Origin',(195.,39.2500000000001,3.)); #45118=CARTESIAN_POINT('',(195.,39.2500000000001,-3.)); #45119=CARTESIAN_POINT('',(190.,39.2500000000001,-3.)); #45120=CARTESIAN_POINT('',(195.,39.2500000000001,3.)); #45121=CARTESIAN_POINT('',(190.,39.2500000000001,3.)); #45122=CARTESIAN_POINT('',(195.,39.2500000000001,3.)); #45123=CARTESIAN_POINT('Origin',(195.,42.0000000000001,3.)); #45124=CARTESIAN_POINT('',(195.,44.7500000000001,-3.)); #45125=CARTESIAN_POINT('Origin',(195.,42.0000000000001,-3.)); #45126=CARTESIAN_POINT('',(195.,44.7500000000001,3.)); #45127=CARTESIAN_POINT('Origin',(195.,42.0000000000001,3.)); #45128=CARTESIAN_POINT('',(195.,44.7500000000001,3.)); #45129=CARTESIAN_POINT('Origin',(175.,44.7500000000001,3.)); #45130=CARTESIAN_POINT('',(180.,44.7500000000001,-3.)); #45131=CARTESIAN_POINT('',(180.,44.7500000000001,3.)); #45132=CARTESIAN_POINT('Origin',(109.5,72.5,-11.)); #45133=CARTESIAN_POINT('',(109.5,75.5,-11.)); #45134=CARTESIAN_POINT('',(106.5,72.5,-11.)); #45135=CARTESIAN_POINT('Origin',(109.5,72.5,-11.)); #45136=CARTESIAN_POINT('',(109.5,75.5,-3.)); #45137=CARTESIAN_POINT('',(109.5,75.5,-11.)); #45138=CARTESIAN_POINT('',(106.5,72.5,-3.)); #45139=CARTESIAN_POINT('Origin',(109.5,72.5,-3.)); #45140=CARTESIAN_POINT('',(106.5,72.5,-11.)); #45141=CARTESIAN_POINT('Origin',(106.5,65.5,-11.)); #45142=CARTESIAN_POINT('',(106.5,65.5,-11.)); #45143=CARTESIAN_POINT('',(106.5,48.997050583726,-11.)); #45144=CARTESIAN_POINT('',(106.5,65.5,-3.)); #45145=CARTESIAN_POINT('',(106.5,65.5,-3.)); #45146=CARTESIAN_POINT('',(106.5,65.5,-11.)); #45147=CARTESIAN_POINT('Origin',(109.5,65.5,-11.)); #45148=CARTESIAN_POINT('',(109.5,62.5,-11.)); #45149=CARTESIAN_POINT('Origin',(109.5,65.5,-11.)); #45150=CARTESIAN_POINT('',(109.5,62.5,-3.)); #45151=CARTESIAN_POINT('Origin',(109.5,65.5,-3.)); #45152=CARTESIAN_POINT('',(109.5,62.5,-11.)); #45153=CARTESIAN_POINT('Origin',(140.5,62.5,-11.)); #45154=CARTESIAN_POINT('',(140.5,62.5,-11.)); #45155=CARTESIAN_POINT('',(127.147660785175,62.5,-11.)); #45156=CARTESIAN_POINT('',(140.5,62.5,-3.)); #45157=CARTESIAN_POINT('',(140.5,62.5,-3.)); #45158=CARTESIAN_POINT('',(140.5,62.5,-11.)); #45159=CARTESIAN_POINT('Origin',(140.5,65.5,-11.)); #45160=CARTESIAN_POINT('',(143.5,65.5,-11.)); #45161=CARTESIAN_POINT('Origin',(140.5,65.5,-11.)); #45162=CARTESIAN_POINT('',(143.5,65.5,-3.)); #45163=CARTESIAN_POINT('Origin',(140.5,65.5,-3.)); #45164=CARTESIAN_POINT('',(143.5,65.5,-11.)); #45165=CARTESIAN_POINT('Origin',(143.5,72.5,-11.)); #45166=CARTESIAN_POINT('',(143.5,72.5,-11.)); #45167=CARTESIAN_POINT('',(143.5,52.497050583726,-11.)); #45168=CARTESIAN_POINT('',(143.5,72.5,-3.)); #45169=CARTESIAN_POINT('',(143.5,72.5,-3.)); #45170=CARTESIAN_POINT('',(143.5,72.5,-11.)); #45171=CARTESIAN_POINT('Origin',(140.5,72.5,-11.)); #45172=CARTESIAN_POINT('',(140.5,75.5,-11.)); #45173=CARTESIAN_POINT('Origin',(140.5,72.5,-11.)); #45174=CARTESIAN_POINT('',(140.5,75.5,-3.)); #45175=CARTESIAN_POINT('Origin',(140.5,72.5,-3.)); #45176=CARTESIAN_POINT('',(140.5,75.5,-11.)); #45177=CARTESIAN_POINT('Origin',(109.5,75.5,-11.)); #45178=CARTESIAN_POINT('',(111.647660785175,75.5,-11.)); #45179=CARTESIAN_POINT('',(109.5,75.5,-3.)); #45180=CARTESIAN_POINT('Origin',(125.,69.,-3.)); #45181=CARTESIAN_POINT('Origin',(19.5,45.5,-11.)); #45182=CARTESIAN_POINT('',(19.5,48.5,-11.)); #45183=CARTESIAN_POINT('',(16.5,45.5,-11.)); #45184=CARTESIAN_POINT('Origin',(19.5,45.5,-11.)); #45185=CARTESIAN_POINT('',(19.5,48.5,-3.)); #45186=CARTESIAN_POINT('',(19.5,48.5,-11.)); #45187=CARTESIAN_POINT('',(16.5,45.5,-3.)); #45188=CARTESIAN_POINT('Origin',(19.5,45.5,-3.)); #45189=CARTESIAN_POINT('',(16.5,45.5,-11.)); #45190=CARTESIAN_POINT('Origin',(16.5,38.5,-11.)); #45191=CARTESIAN_POINT('',(16.5,38.5,-11.)); #45192=CARTESIAN_POINT('',(16.5,35.497050583726,-11.)); #45193=CARTESIAN_POINT('',(16.5,38.5,-3.)); #45194=CARTESIAN_POINT('',(16.5,45.5,-3.)); #45195=CARTESIAN_POINT('',(16.5,38.5,-11.)); #45196=CARTESIAN_POINT('Origin',(19.5,38.5,-11.)); #45197=CARTESIAN_POINT('',(19.5,35.5,-11.)); #45198=CARTESIAN_POINT('Origin',(19.5,38.5,-11.)); #45199=CARTESIAN_POINT('',(19.5,35.5,-3.)); #45200=CARTESIAN_POINT('Origin',(19.5,38.5,-3.)); #45201=CARTESIAN_POINT('',(19.5,35.5,-11.)); #45202=CARTESIAN_POINT('Origin',(50.5,35.5,-11.)); #45203=CARTESIAN_POINT('',(50.5,35.5,-11.)); #45204=CARTESIAN_POINT('',(82.147660785175,35.5,-11.)); #45205=CARTESIAN_POINT('',(50.5,35.5,-3.)); #45206=CARTESIAN_POINT('',(19.5,35.5,-3.)); #45207=CARTESIAN_POINT('',(50.5,35.5,-11.)); #45208=CARTESIAN_POINT('Origin',(50.5,38.5,-11.)); #45209=CARTESIAN_POINT('',(53.5,38.5,-11.)); #45210=CARTESIAN_POINT('Origin',(50.5,38.5,-11.)); #45211=CARTESIAN_POINT('',(53.5,38.5,-3.)); #45212=CARTESIAN_POINT('Origin',(50.5,38.5,-3.)); #45213=CARTESIAN_POINT('',(53.5,38.5,-11.)); #45214=CARTESIAN_POINT('Origin',(53.5,45.5,-11.)); #45215=CARTESIAN_POINT('',(53.5,45.5,-11.)); #45216=CARTESIAN_POINT('',(53.5,38.997050583726,-11.)); #45217=CARTESIAN_POINT('',(53.5,45.5,-3.)); #45218=CARTESIAN_POINT('',(53.5,38.5,-3.)); #45219=CARTESIAN_POINT('',(53.5,45.5,-11.)); #45220=CARTESIAN_POINT('Origin',(50.5,45.5,-11.)); #45221=CARTESIAN_POINT('',(50.5,48.5,-11.)); #45222=CARTESIAN_POINT('Origin',(50.5,45.5,-11.)); #45223=CARTESIAN_POINT('',(50.5,48.5,-3.)); #45224=CARTESIAN_POINT('Origin',(50.5,45.5,-3.)); #45225=CARTESIAN_POINT('',(50.5,48.5,-11.)); #45226=CARTESIAN_POINT('Origin',(19.5,48.5,-11.)); #45227=CARTESIAN_POINT('',(66.6476607851749,48.5,-11.)); #45228=CARTESIAN_POINT('',(50.5,48.5,-3.)); #45229=CARTESIAN_POINT('Origin',(35.,42.,-3.)); #45230=CARTESIAN_POINT('Origin',(169.5,45.5,-11.)); #45231=CARTESIAN_POINT('',(169.5,48.5,-11.)); #45232=CARTESIAN_POINT('',(166.5,45.5,-11.)); #45233=CARTESIAN_POINT('Origin',(169.5,45.5,-11.)); #45234=CARTESIAN_POINT('',(169.5,48.5,-3.)); #45235=CARTESIAN_POINT('',(169.5,48.5,-11.)); #45236=CARTESIAN_POINT('',(166.5,45.5,-3.)); #45237=CARTESIAN_POINT('Origin',(169.5,45.5,-3.)); #45238=CARTESIAN_POINT('',(166.5,45.5,-11.)); #45239=CARTESIAN_POINT('Origin',(166.5,38.5,-11.)); #45240=CARTESIAN_POINT('',(166.5,38.5,-11.)); #45241=CARTESIAN_POINT('',(166.5,35.4970505837261,-11.)); #45242=CARTESIAN_POINT('',(166.5,38.5,-3.)); #45243=CARTESIAN_POINT('',(166.5,38.5,-3.)); #45244=CARTESIAN_POINT('',(166.5,38.5,-11.)); #45245=CARTESIAN_POINT('Origin',(169.5,38.5,-11.)); #45246=CARTESIAN_POINT('',(169.5,35.5,-11.)); #45247=CARTESIAN_POINT('Origin',(169.5,38.5,-11.)); #45248=CARTESIAN_POINT('',(169.5,35.5,-3.)); #45249=CARTESIAN_POINT('Origin',(169.5,38.5,-3.)); #45250=CARTESIAN_POINT('',(169.5,35.5,-11.)); #45251=CARTESIAN_POINT('Origin',(200.5,35.5,-11.)); #45252=CARTESIAN_POINT('',(200.5,35.5,-11.)); #45253=CARTESIAN_POINT('',(157.147660785175,35.5,-11.)); #45254=CARTESIAN_POINT('',(200.5,35.5,-3.)); #45255=CARTESIAN_POINT('',(200.5,35.5,-3.)); #45256=CARTESIAN_POINT('',(200.5,35.5,-11.)); #45257=CARTESIAN_POINT('Origin',(200.5,38.5,-11.)); #45258=CARTESIAN_POINT('',(203.5,38.5,-11.)); #45259=CARTESIAN_POINT('Origin',(200.5,38.5,-11.)); #45260=CARTESIAN_POINT('',(203.5,38.5,-3.)); #45261=CARTESIAN_POINT('Origin',(200.5,38.5,-3.)); #45262=CARTESIAN_POINT('',(203.5,38.5,-11.)); #45263=CARTESIAN_POINT('Origin',(203.5,45.5,-11.)); #45264=CARTESIAN_POINT('',(203.5,45.5,-11.)); #45265=CARTESIAN_POINT('',(203.5,38.997050583726,-11.)); #45266=CARTESIAN_POINT('',(203.5,45.5,-3.)); #45267=CARTESIAN_POINT('',(203.5,45.5,-3.)); #45268=CARTESIAN_POINT('',(203.5,45.5,-11.)); #45269=CARTESIAN_POINT('Origin',(200.5,45.5,-11.)); #45270=CARTESIAN_POINT('',(200.5,48.5,-11.)); #45271=CARTESIAN_POINT('Origin',(200.5,45.5,-11.)); #45272=CARTESIAN_POINT('',(200.5,48.5,-3.)); #45273=CARTESIAN_POINT('Origin',(200.5,45.5,-3.)); #45274=CARTESIAN_POINT('',(200.5,48.5,-11.)); #45275=CARTESIAN_POINT('Origin',(169.5,48.5,-11.)); #45276=CARTESIAN_POINT('',(141.647660785175,48.5,-11.)); #45277=CARTESIAN_POINT('',(169.5,48.5,-3.)); #45278=CARTESIAN_POINT('Origin',(185.,42.,-3.)); #45279=CARTESIAN_POINT('Origin',(109.5,72.5,11.)); #45280=CARTESIAN_POINT('',(106.5,72.5,11.)); #45281=CARTESIAN_POINT('',(109.5,75.5,11.)); #45282=CARTESIAN_POINT('Origin',(109.5,72.5,11.)); #45283=CARTESIAN_POINT('',(106.5,72.5,3.)); #45284=CARTESIAN_POINT('',(106.5,72.5,11.)); #45285=CARTESIAN_POINT('',(109.5,75.5,3.)); #45286=CARTESIAN_POINT('Origin',(109.5,72.5,3.)); #45287=CARTESIAN_POINT('',(109.5,75.5,11.)); #45288=CARTESIAN_POINT('Origin',(106.5,65.5,11.)); #45289=CARTESIAN_POINT('',(106.5,65.5,11.)); #45290=CARTESIAN_POINT('',(106.5,48.997050583726,11.)); #45291=CARTESIAN_POINT('',(106.5,65.5,3.)); #45292=CARTESIAN_POINT('',(106.5,65.5,11.)); #45293=CARTESIAN_POINT('',(106.5,65.5,3.)); #45294=CARTESIAN_POINT('Origin',(109.5,65.5,11.)); #45295=CARTESIAN_POINT('',(109.5,62.5,11.)); #45296=CARTESIAN_POINT('Origin',(109.5,65.5,11.)); #45297=CARTESIAN_POINT('',(109.5,62.5,3.)); #45298=CARTESIAN_POINT('',(109.5,62.5,11.)); #45299=CARTESIAN_POINT('Origin',(109.5,65.5,3.)); #45300=CARTESIAN_POINT('Origin',(140.5,62.5,11.)); #45301=CARTESIAN_POINT('',(140.5,62.5,11.)); #45302=CARTESIAN_POINT('',(127.147660785175,62.5,11.)); #45303=CARTESIAN_POINT('',(140.5,62.5,3.)); #45304=CARTESIAN_POINT('',(140.5,62.5,11.)); #45305=CARTESIAN_POINT('',(140.5,62.5,3.)); #45306=CARTESIAN_POINT('Origin',(140.5,65.5,11.)); #45307=CARTESIAN_POINT('',(143.5,65.5,11.)); #45308=CARTESIAN_POINT('Origin',(140.5,65.5,11.)); #45309=CARTESIAN_POINT('',(143.5,65.5,3.)); #45310=CARTESIAN_POINT('',(143.5,65.5,11.)); #45311=CARTESIAN_POINT('Origin',(140.5,65.5,3.)); #45312=CARTESIAN_POINT('Origin',(143.5,72.5,11.)); #45313=CARTESIAN_POINT('',(143.5,72.5,11.)); #45314=CARTESIAN_POINT('',(143.5,52.497050583726,11.)); #45315=CARTESIAN_POINT('',(143.5,72.5,3.)); #45316=CARTESIAN_POINT('',(143.5,72.5,11.)); #45317=CARTESIAN_POINT('',(143.5,72.5,3.)); #45318=CARTESIAN_POINT('Origin',(140.5,72.5,11.)); #45319=CARTESIAN_POINT('',(140.5,75.5,11.)); #45320=CARTESIAN_POINT('Origin',(140.5,72.5,11.)); #45321=CARTESIAN_POINT('',(140.5,75.5,3.)); #45322=CARTESIAN_POINT('',(140.5,75.5,11.)); #45323=CARTESIAN_POINT('Origin',(140.5,72.5,3.)); #45324=CARTESIAN_POINT('Origin',(109.5,75.5,11.)); #45325=CARTESIAN_POINT('',(111.647660785175,75.5,11.)); #45326=CARTESIAN_POINT('',(109.5,75.5,3.)); #45327=CARTESIAN_POINT('Origin',(125.,69.,3.)); #45328=CARTESIAN_POINT('Origin',(19.5,45.5,11.)); #45329=CARTESIAN_POINT('',(16.5,45.5,11.)); #45330=CARTESIAN_POINT('',(19.5,48.5,11.)); #45331=CARTESIAN_POINT('Origin',(19.5,45.5,11.)); #45332=CARTESIAN_POINT('',(16.5,45.5,3.)); #45333=CARTESIAN_POINT('',(16.5,45.5,11.)); #45334=CARTESIAN_POINT('',(19.5,48.5,3.)); #45335=CARTESIAN_POINT('Origin',(19.5,45.5,3.)); #45336=CARTESIAN_POINT('',(19.5,48.5,11.)); #45337=CARTESIAN_POINT('Origin',(16.5,38.5,11.)); #45338=CARTESIAN_POINT('',(16.5,38.5,11.)); #45339=CARTESIAN_POINT('',(16.5,35.497050583726,11.)); #45340=CARTESIAN_POINT('',(16.5,38.5,3.)); #45341=CARTESIAN_POINT('',(16.5,38.5,11.)); #45342=CARTESIAN_POINT('',(16.5,45.5,3.)); #45343=CARTESIAN_POINT('Origin',(19.5,38.5,11.)); #45344=CARTESIAN_POINT('',(19.5,35.5,11.)); #45345=CARTESIAN_POINT('Origin',(19.5,38.5,11.)); #45346=CARTESIAN_POINT('',(19.5,35.5,3.)); #45347=CARTESIAN_POINT('',(19.5,35.5,11.)); #45348=CARTESIAN_POINT('Origin',(19.5,38.5,3.)); #45349=CARTESIAN_POINT('Origin',(50.5,35.5,11.)); #45350=CARTESIAN_POINT('',(50.5,35.5,11.)); #45351=CARTESIAN_POINT('',(82.147660785175,35.5,11.)); #45352=CARTESIAN_POINT('',(50.5,35.5,3.)); #45353=CARTESIAN_POINT('',(50.5,35.5,11.)); #45354=CARTESIAN_POINT('',(19.5,35.5,3.)); #45355=CARTESIAN_POINT('Origin',(50.5,38.5,11.)); #45356=CARTESIAN_POINT('',(53.5,38.5,11.)); #45357=CARTESIAN_POINT('Origin',(50.5,38.5,11.)); #45358=CARTESIAN_POINT('',(53.5,38.5,3.)); #45359=CARTESIAN_POINT('',(53.5,38.5,11.)); #45360=CARTESIAN_POINT('Origin',(50.5,38.5,3.)); #45361=CARTESIAN_POINT('Origin',(53.5,45.5,11.)); #45362=CARTESIAN_POINT('',(53.5,45.5,11.)); #45363=CARTESIAN_POINT('',(53.5,38.997050583726,11.)); #45364=CARTESIAN_POINT('',(53.5,45.5,3.)); #45365=CARTESIAN_POINT('',(53.5,45.5,11.)); #45366=CARTESIAN_POINT('',(53.5,38.5,3.)); #45367=CARTESIAN_POINT('Origin',(50.5,45.5,11.)); #45368=CARTESIAN_POINT('',(50.5,48.5,11.)); #45369=CARTESIAN_POINT('Origin',(50.5,45.5,11.)); #45370=CARTESIAN_POINT('',(50.5,48.5,3.)); #45371=CARTESIAN_POINT('',(50.5,48.5,11.)); #45372=CARTESIAN_POINT('Origin',(50.5,45.5,3.)); #45373=CARTESIAN_POINT('Origin',(19.5,48.5,11.)); #45374=CARTESIAN_POINT('',(66.6476607851749,48.5,11.)); #45375=CARTESIAN_POINT('',(50.5,48.5,3.)); #45376=CARTESIAN_POINT('Origin',(35.,42.,3.)); #45377=CARTESIAN_POINT('Origin',(169.5,45.5,11.)); #45378=CARTESIAN_POINT('',(166.5,45.5,11.)); #45379=CARTESIAN_POINT('',(169.5,48.5,11.)); #45380=CARTESIAN_POINT('Origin',(169.5,45.5,11.)); #45381=CARTESIAN_POINT('',(166.5,45.5,3.)); #45382=CARTESIAN_POINT('',(166.5,45.5,11.)); #45383=CARTESIAN_POINT('',(169.5,48.5,3.)); #45384=CARTESIAN_POINT('Origin',(169.5,45.5,3.)); #45385=CARTESIAN_POINT('',(169.5,48.5,11.)); #45386=CARTESIAN_POINT('Origin',(166.5,38.5,11.)); #45387=CARTESIAN_POINT('',(166.5,38.5,11.)); #45388=CARTESIAN_POINT('',(166.5,35.4970505837261,11.)); #45389=CARTESIAN_POINT('',(166.5,38.5,3.)); #45390=CARTESIAN_POINT('',(166.5,38.5,11.)); #45391=CARTESIAN_POINT('',(166.5,38.5,3.)); #45392=CARTESIAN_POINT('Origin',(169.5,38.5,11.)); #45393=CARTESIAN_POINT('',(169.5,35.5,11.)); #45394=CARTESIAN_POINT('Origin',(169.5,38.5,11.)); #45395=CARTESIAN_POINT('',(169.5,35.5,3.)); #45396=CARTESIAN_POINT('',(169.5,35.5,11.)); #45397=CARTESIAN_POINT('Origin',(169.5,38.5,3.)); #45398=CARTESIAN_POINT('Origin',(200.5,35.5,11.)); #45399=CARTESIAN_POINT('',(200.5,35.5,11.)); #45400=CARTESIAN_POINT('',(157.147660785175,35.5,11.)); #45401=CARTESIAN_POINT('',(200.5,35.5,3.)); #45402=CARTESIAN_POINT('',(200.5,35.5,11.)); #45403=CARTESIAN_POINT('',(200.5,35.5,3.)); #45404=CARTESIAN_POINT('Origin',(200.5,38.5,11.)); #45405=CARTESIAN_POINT('',(203.5,38.5,11.)); #45406=CARTESIAN_POINT('Origin',(200.5,38.5,11.)); #45407=CARTESIAN_POINT('',(203.5,38.5,3.)); #45408=CARTESIAN_POINT('',(203.5,38.5,11.)); #45409=CARTESIAN_POINT('Origin',(200.5,38.5,3.)); #45410=CARTESIAN_POINT('Origin',(203.5,45.5,11.)); #45411=CARTESIAN_POINT('',(203.5,45.5,11.)); #45412=CARTESIAN_POINT('',(203.5,38.997050583726,11.)); #45413=CARTESIAN_POINT('',(203.5,45.5,3.)); #45414=CARTESIAN_POINT('',(203.5,45.5,11.)); #45415=CARTESIAN_POINT('',(203.5,45.5,3.)); #45416=CARTESIAN_POINT('Origin',(200.5,45.5,11.)); #45417=CARTESIAN_POINT('',(200.5,48.5,11.)); #45418=CARTESIAN_POINT('Origin',(200.5,45.5,11.)); #45419=CARTESIAN_POINT('',(200.5,48.5,3.)); #45420=CARTESIAN_POINT('',(200.5,48.5,11.)); #45421=CARTESIAN_POINT('Origin',(200.5,45.5,3.)); #45422=CARTESIAN_POINT('Origin',(169.5,48.5,11.)); #45423=CARTESIAN_POINT('',(141.647660785175,48.5,11.)); #45424=CARTESIAN_POINT('',(169.5,48.5,3.)); #45425=CARTESIAN_POINT('Origin',(185.,42.,3.)); #45426=CARTESIAN_POINT('Origin',(113.79532157035,32.4941011674521,-11.)); #45427=CARTESIAN_POINT('',(215.147186257614,44.8528137423858,-11.)); #45428=CARTESIAN_POINT('',(245.313708498985,14.6862915010153,-11.)); #45429=CARTESIAN_POINT('',(215.147186257614,44.8528137423858,-11.)); #45430=CARTESIAN_POINT('',(250.,3.37258300203053,-11.)); #45431=CARTESIAN_POINT('Origin',(234.,3.37258300203046,-11.)); #45432=CARTESIAN_POINT('',(250.,1.99999999999998,-11.)); #45433=CARTESIAN_POINT('',(250.,3.37258300203053,-11.)); #45434=CARTESIAN_POINT('',(248.,0.,-11.)); #45435=CARTESIAN_POINT('Origin',(248.,1.99999999999996,-11.)); #45436=CARTESIAN_POINT('',(1.99999999999999,0.,-11.)); #45437=CARTESIAN_POINT('',(248.,0.,-11.)); #45438=CARTESIAN_POINT('',(0.,2.00000000000001,-11.)); #45439=CARTESIAN_POINT('Origin',(2.,2.,-11.)); #45440=CARTESIAN_POINT('',(0.,70.5146924228596,-11.)); #45441=CARTESIAN_POINT('',(0.,2.00000000000001,-11.)); #45442=CARTESIAN_POINT('',(4.13175911166533,75.4387311879207,-11.)); #45443=CARTESIAN_POINT('Origin',(4.99999999999999,70.5146924228596,-11.)); #45444=CARTESIAN_POINT('',(12.7680971717991,76.9615506024417,-11.)); #45445=CARTESIAN_POINT('',(4.13175911166533,75.4387311879207,-11.)); #45446=CARTESIAN_POINT('',(47.4977327051851,80.,-11.)); #45447=CARTESIAN_POINT('Origin',(47.4977327051847,-120.,-11.)); #45448=CARTESIAN_POINT('',(130.294372515229,80.,-11.)); #45449=CARTESIAN_POINT('',(47.4977327051851,80.,-11.)); #45450=CARTESIAN_POINT('Origin',(130.294372515229,-40.,-11.)); #45451=CARTESIAN_POINT('Origin',(234.,3.37258300203046,0.)); #45452=CARTESIAN_POINT('',(245.313708498985,14.6862915010153,11.)); #45453=CARTESIAN_POINT('',(245.313708498985,14.6862915010153,0.)); #45454=CARTESIAN_POINT('',(250.,3.37258300203053,11.)); #45455=CARTESIAN_POINT('Origin',(234.,3.37258300203046,11.)); #45456=CARTESIAN_POINT('',(250.,3.37258300203053,0.)); #45457=CARTESIAN_POINT('Origin',(250.,1.99999999999998,0.)); #45458=CARTESIAN_POINT('',(250.,1.99999999999998,11.)); #45459=CARTESIAN_POINT('',(250.,3.37258300203053,11.)); #45460=CARTESIAN_POINT('',(250.,1.99999999999998,0.)); #45461=CARTESIAN_POINT('Origin',(248.,1.99999999999996,0.)); #45462=CARTESIAN_POINT('',(248.,0.,11.)); #45463=CARTESIAN_POINT('Origin',(248.,1.99999999999996,11.)); #45464=CARTESIAN_POINT('',(248.,0.,0.)); #45465=CARTESIAN_POINT('Origin',(1.99999999999999,2.77555756156289E-16, 0.)); #45466=CARTESIAN_POINT('',(1.99999999999999,0.,11.)); #45467=CARTESIAN_POINT('',(248.,0.,11.)); #45468=CARTESIAN_POINT('',(2.,2.77555756156289E-16,0.)); #45469=CARTESIAN_POINT('Origin',(2.,2.,0.)); #45470=CARTESIAN_POINT('',(0.,2.00000000000001,11.)); #45471=CARTESIAN_POINT('Origin',(2.,2.,11.)); #45472=CARTESIAN_POINT('',(0.,2.00000000000001,0.)); #45473=CARTESIAN_POINT('Origin',(-1.4432899320127E-14,70.5146924228596, 0.)); #45474=CARTESIAN_POINT('',(0.,70.5146924228596,11.)); #45475=CARTESIAN_POINT('',(0.,2.00000000000001,11.)); #45476=CARTESIAN_POINT('',(-1.4432899320127E-14,70.5146924228596,0.)); #45477=CARTESIAN_POINT('Origin',(4.99999999999999,70.5146924228596,0.)); #45478=CARTESIAN_POINT('',(4.13175911166533,75.4387311879207,11.)); #45479=CARTESIAN_POINT('Origin',(4.99999999999999,70.5146924228596,11.)); #45480=CARTESIAN_POINT('',(4.13175911166533,75.4387311879207,0.)); #45481=CARTESIAN_POINT('Origin',(12.7680971717991,76.9615506024417,0.)); #45482=CARTESIAN_POINT('',(12.7680971717991,76.9615506024417,11.)); #45483=CARTESIAN_POINT('',(4.13175911166533,75.4387311879207,11.)); #45484=CARTESIAN_POINT('',(12.7680971717991,76.9615506024417,0.)); #45485=CARTESIAN_POINT('Origin',(47.4977327051847,-120.,0.)); #45486=CARTESIAN_POINT('',(47.4977327051851,80.,11.)); #45487=CARTESIAN_POINT('Origin',(47.4977327051847,-120.,11.)); #45488=CARTESIAN_POINT('',(47.4977327051851,80.,0.)); #45489=CARTESIAN_POINT('Origin',(130.294372515229,80.,0.)); #45490=CARTESIAN_POINT('',(130.294372515229,80.,11.)); #45491=CARTESIAN_POINT('',(47.4977327051851,80.,11.)); #45492=CARTESIAN_POINT('',(130.294372515229,80.,0.)); #45493=CARTESIAN_POINT('Origin',(130.294372515229,-40.,0.)); #45494=CARTESIAN_POINT('',(215.147186257614,44.8528137423858,11.)); #45495=CARTESIAN_POINT('Origin',(130.294372515229,-40.,11.)); #45496=CARTESIAN_POINT('',(215.147186257614,44.8528137423858,0.)); #45497=CARTESIAN_POINT('Origin',(245.313708498985,14.6862915010153,0.)); #45498=CARTESIAN_POINT('',(215.147186257614,44.8528137423858,11.)); #45499=CARTESIAN_POINT('Origin',(113.79532157035,32.4941011674521,11.)); #45500=CARTESIAN_POINT('',(0.,0.,0.)); #45501=CARTESIAN_POINT('Origin',(6.5,-1.77635683940025E-15,4.5)); #45502=CARTESIAN_POINT('',(6.5,-4.81370849898476,0.9116262751281)); #45503=CARTESIAN_POINT('',(6.5,-4.81370849898476,-1.5)); #45504=CARTESIAN_POINT('',(6.5,-4.81370849898476,6.75)); #45505=CARTESIAN_POINT('',(6.5,4.81370849898477,-1.5)); #45506=CARTESIAN_POINT('',(6.5,-8.88178419700125E-16,-1.5)); #45507=CARTESIAN_POINT('',(6.5,4.81370849898476,0.911626275128099)); #45508=CARTESIAN_POINT('',(6.5,4.81370849898477,6.75)); #45509=CARTESIAN_POINT('Ctrl Pts',(6.5,-4.81370849898476,0.9116262751281)); #45510=CARTESIAN_POINT('Ctrl Pts',(6.5,1.66533453693774E-16,3.77645303776203)); #45511=CARTESIAN_POINT('Ctrl Pts',(6.5,4.81370849898476,0.911626275128099)); #45512=CARTESIAN_POINT('Origin',(5.65685424949239,5.65685424949238,9.)); #45513=CARTESIAN_POINT('',(4.81370849898476,6.5,0.9116262751281)); #45514=CARTESIAN_POINT('',(4.81370849898476,6.5,-1.5)); #45515=CARTESIAN_POINT('',(4.81370849898477,6.5,6.75)); #45516=CARTESIAN_POINT('Ctrl Pts',(6.5,4.81370849898476,0.911626275128099)); #45517=CARTESIAN_POINT('Ctrl Pts',(5.65685424949239,5.65685424949238,1.08740815187621)); #45518=CARTESIAN_POINT('Ctrl Pts',(4.81370849898476,6.5,0.9116262751281)); #45519=CARTESIAN_POINT('',(5.65685424949238,5.65685424949239,-1.5)); #45520=CARTESIAN_POINT('Origin',(0.,6.5,4.5)); #45521=CARTESIAN_POINT('',(-4.81370849898476,6.5,-1.5)); #45522=CARTESIAN_POINT('',(0.,6.5,-1.5)); #45523=CARTESIAN_POINT('',(-4.81370849898476,6.5,0.9116262751281)); #45524=CARTESIAN_POINT('',(-4.81370849898476,6.5,6.75)); #45525=CARTESIAN_POINT('Ctrl Pts',(4.81370849898476,6.5,0.9116262751281)); #45526=CARTESIAN_POINT('Ctrl Pts',(-3.33066907387547E-16,6.5,3.77645303776204)); #45527=CARTESIAN_POINT('Ctrl Pts',(-4.81370849898476,6.5,0.9116262751281)); #45528=CARTESIAN_POINT('Origin',(-5.65685424949238,5.65685424949238,9.)); #45529=CARTESIAN_POINT('',(-6.5,4.81370849898476,-1.5)); #45530=CARTESIAN_POINT('',(-5.65685424949238,5.65685424949238,-1.5)); #45531=CARTESIAN_POINT('',(-6.5,4.81370849898476,0.9116262751281)); #45532=CARTESIAN_POINT('',(-6.5,4.81370849898476,6.75)); #45533=CARTESIAN_POINT('Ctrl Pts',(-4.81370849898476,6.5,0.9116262751281)); #45534=CARTESIAN_POINT('Ctrl Pts',(-5.65685424949238,5.65685424949238,1.08740815187621)); #45535=CARTESIAN_POINT('Ctrl Pts',(-6.5,4.81370849898476,0.9116262751281)); #45536=CARTESIAN_POINT('Origin',(-6.5,0.,4.5)); #45537=CARTESIAN_POINT('',(-6.5,-4.81370849898476,-1.5)); #45538=CARTESIAN_POINT('',(-6.5,-4.81370849898476,0.911626275128098)); #45539=CARTESIAN_POINT('',(-6.5,-4.81370849898476,6.75)); #45540=CARTESIAN_POINT('Ctrl Pts',(-6.5,4.81370849898476,0.9116262751281)); #45541=CARTESIAN_POINT('Ctrl Pts',(-6.5,-1.66533453693774E-15,3.77645303776203)); #45542=CARTESIAN_POINT('Ctrl Pts',(-6.5,-4.81370849898476,0.911626275128098)); #45543=CARTESIAN_POINT('',(-6.5,0.,-1.5)); #45544=CARTESIAN_POINT('Origin',(-5.65685424949239,-5.65685424949238,9.00000000000001)); #45545=CARTESIAN_POINT('',(-4.81370849898476,-6.5,0.911626275128099)); #45546=CARTESIAN_POINT('',(-4.81370849898476,-6.5,-1.5)); #45547=CARTESIAN_POINT('',(-4.81370849898476,-6.5,6.75)); #45548=CARTESIAN_POINT('Ctrl Pts',(-6.5,-4.81370849898476,0.911626275128098)); #45549=CARTESIAN_POINT('Ctrl Pts',(-5.65685424949239,-5.65685424949238, 1.08740815187621)); #45550=CARTESIAN_POINT('Ctrl Pts',(-4.81370849898476,-6.5,0.911626275128099)); #45551=CARTESIAN_POINT('',(-5.65685424949238,-5.65685424949238,-1.5)); #45552=CARTESIAN_POINT('Origin',(-1.77635683940025E-15,-6.5,4.5)); #45553=CARTESIAN_POINT('',(4.81370849898476,-6.5,-1.5)); #45554=CARTESIAN_POINT('',(4.81370849898476,-6.5,0.911626275128101)); #45555=CARTESIAN_POINT('',(4.81370849898476,-6.5,6.75)); #45556=CARTESIAN_POINT('',(-3.82960069552562E-16,-6.5,2.5)); #45557=CARTESIAN_POINT('Ctrl Pts',(-1.16394349355863E-15,-6.5,2.5)); #45558=CARTESIAN_POINT('Ctrl Pts',(2.14479734571481,-6.5,2.5)); #45559=CARTESIAN_POINT('Ctrl Pts',(4.81370849898476,-6.5,0.911626275128101)); #45560=CARTESIAN_POINT('Ctrl Pts',(-4.81370849898476,-6.5,0.911626275128099)); #45561=CARTESIAN_POINT('Ctrl Pts',(-2.14479734571481,-6.5,2.5)); #45562=CARTESIAN_POINT('Ctrl Pts',(-1.16394349355863E-15,-6.5,2.5)); #45563=CARTESIAN_POINT('',(-8.88178419700125E-16,-6.5,-1.5)); #45564=CARTESIAN_POINT('Origin',(5.65685424949238,-5.65685424949238,9.)); #45565=CARTESIAN_POINT('',(5.65685424949238,-5.65685424949238,-1.5)); #45566=CARTESIAN_POINT('Ctrl Pts',(4.81370849898476,-6.5,0.911626275128101)); #45567=CARTESIAN_POINT('Ctrl Pts',(5.65685424949238,-5.65685424949239,1.08740815187621)); #45568=CARTESIAN_POINT('Ctrl Pts',(6.5,-4.81370849898476,0.9116262751281)); #45569=CARTESIAN_POINT('Origin',(0.,0.,-14.8148877222268)); #45570=CARTESIAN_POINT('',(-2.067,-2.53134493383758E-16,4.)); #45571=CARTESIAN_POINT('Origin',(0.,0.,4.)); #45572=CARTESIAN_POINT('',(-2.067,-2.53126133335085E-16,-1.5)); #45573=CARTESIAN_POINT('',(-2.067,-2.53134493383758E-16,-14.8148877222268)); #45574=CARTESIAN_POINT('Origin',(0.,0.,-1.5)); #45575=CARTESIAN_POINT('Origin',(0.,0.,-1.5)); #45576=CARTESIAN_POINT('Origin',(0.,0.,4.5)); #45577=CARTESIAN_POINT('',(-9.18454765366783E-16,-5.,4.)); #45578=CARTESIAN_POINT('Origin',(0.,0.,4.)); #45579=CARTESIAN_POINT('',(2.75554630006274E-16,-4.5,4.5)); #45580=CARTESIAN_POINT('Origin',(0.,0.,4.)); #45581=CARTESIAN_POINT('',(0.,0.,0.)); #45582=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45583=CARTESIAN_POINT('',(0.,2.65,3.24531401774049E-16)); #45584=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45585=CARTESIAN_POINT('',(1.,2.65,3.24531401774049E-16)); #45586=CARTESIAN_POINT('',(0.,2.65,3.24531401774049E-16)); #45587=CARTESIAN_POINT('Origin',(1.,0.,0.)); #45588=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45589=CARTESIAN_POINT('',(1.,5.,6.12323399573677E-16)); #45590=CARTESIAN_POINT('Origin',(1.,0.,0.)); #45591=CARTESIAN_POINT('',(0.,5.,6.12323399573677E-16)); #45592=CARTESIAN_POINT('',(0.,5.,6.12323399573677E-16)); #45593=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45594=CARTESIAN_POINT('Origin',(1.,0.,0.)); #45595=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45596=CARTESIAN_POINT('',(0.,0.,0.)); #45597=CARTESIAN_POINT('Origin',(0.100000000000002,-1.11792865513155E-16, 0.)); #45598=CARTESIAN_POINT('',(2.23779328401008E-15,-4.30574922473785E-16,2.6)); #45599=CARTESIAN_POINT('Origin',(2.23779328401008E-15,-1.12166754695473E-16, 0.)); #45600=CARTESIAN_POINT('',(0.100000000000002,-4.17954565299993E-16,2.5)); #45601=CARTESIAN_POINT('Origin',(0.100000000000002,-4.30201033291467E-16, 2.6)); #45602=CARTESIAN_POINT('',(0.100000000000002,-2.5,-3.06161699786838E-16)); #45603=CARTESIAN_POINT('Origin',(0.100000000000002,-1.11792865513155E-16, 0.)); #45604=CARTESIAN_POINT('Origin',(0.100000000000002,-1.11792865513155E-16, 0.)); #45605=CARTESIAN_POINT('Origin',(18.7,-4.22494776019615E-17,0.)); #45606=CARTESIAN_POINT('',(29.50924,2.5,3.06151588455594E-16)); #45607=CARTESIAN_POINT('',(29.50924,-2.5,-3.06161699786838E-16)); #45608=CARTESIAN_POINT('Origin',(29.50924,-1.83489855114499E-18,0.)); #45609=CARTESIAN_POINT('Origin',(29.50924,-1.83489855114499E-18,0.)); #45610=CARTESIAN_POINT('',(18.7,-2.5,-3.06161699786838E-16)); #45611=CARTESIAN_POINT('Origin',(2.22044604925031E-15,3.375,0.)); #45612=CARTESIAN_POINT('',(0.,-4.25,-5.20474889637625E-16)); #45613=CARTESIAN_POINT('Origin',(0.,-1.12166754695473E-16,0.)); #45614=CARTESIAN_POINT('Origin',(29.75462,-9.17449275572486E-19,0.)); #45615=CARTESIAN_POINT('',(30.,2.00924,-2.46052807035407E-16)); #45616=CARTESIAN_POINT('Origin',(30.,1.80555932286303E-32,0.)); #45617=CARTESIAN_POINT('',(29.75462,2.25462,2.76111316629361E-16)); #45618=CARTESIAN_POINT('Origin',(30.,1.25,0.)); #45619=CARTESIAN_POINT('Origin',(-3.,-1.2338343016502E-16,0.)); #45620=CARTESIAN_POINT('',(-3.,-6.43858319802646E-16,4.25)); #45621=CARTESIAN_POINT('',(-3.,-4.25,-5.20474889637625E-16)); #45622=CARTESIAN_POINT('Origin',(-3.,-1.2338343016502E-16,0.)); #45623=CARTESIAN_POINT('Origin',(-3.,-1.2338343016502E-16,0.)); #45624=CARTESIAN_POINT('',(-3.5,-5.84495425756869E-16,3.75)); #45625=CARTESIAN_POINT('Origin',(-3.,-5.82625979845278E-16,3.75)); #45626=CARTESIAN_POINT('Origin',(-3.5,-1.25252876076612E-16,0.)); #45627=CARTESIAN_POINT('Origin',(-3.5,2.99102540378444,0.)); #45628=CARTESIAN_POINT('',(-3.5,1.5,-0.866025403784439)); #45629=CARTESIAN_POINT('',(-3.5,1.5,0.866025403784437)); #45630=CARTESIAN_POINT('',(-3.5,1.5,0.433012701892218)); #45631=CARTESIAN_POINT('',(-3.5,8.68621716683698E-17,1.73205080756888)); #45632=CARTESIAN_POINT('',(-3.5,0.375,1.51554445662277)); #45633=CARTESIAN_POINT('',(-3.5,-1.5,0.866025403784439)); #45634=CARTESIAN_POINT('',(-3.5,-1.125,1.08253175473055)); #45635=CARTESIAN_POINT('',(-3.5,-1.5,-0.866025403784438)); #45636=CARTESIAN_POINT('',(-3.5,-1.5,-0.433012701892219)); #45637=CARTESIAN_POINT('',(-3.5,-3.34139791417035E-16,-1.73205080756888)); #45638=CARTESIAN_POINT('',(-3.5,-0.375,-1.51554445662277)); #45639=CARTESIAN_POINT('',(-3.5,1.125,-1.08253175473055)); #45640=CARTESIAN_POINT('Origin',(-1.75,-1.18709815386042E-16,0.)); #45641=CARTESIAN_POINT('',(-1.75,-4.25,-5.20474889637625E-16)); #45642=CARTESIAN_POINT('Origin',(-3.5,-3.34139791417035E-16,-1.73205080756888)); #45643=CARTESIAN_POINT('',(-0.8,-5.45016504389986E-16,-1.73205080756888)); #45644=CARTESIAN_POINT('',(-0.8,-1.5,-0.866025403784438)); #45645=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.33500351972491E-14, -1.73205080756887)); #45646=CARTESIAN_POINT('Ctrl Pts',(-0.550000000000002,-0.750000000000001, -1.29903810567666)); #45647=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.49999999999999, -0.866025403784446)); #45648=CARTESIAN_POINT('',(-2.15,-5.55111512312578E-16,-1.73205080756888)); #45649=CARTESIAN_POINT('',(-2.15,-1.5,-0.866025403784438)); #45650=CARTESIAN_POINT('Origin',(-3.5,1.5,-0.866025403784439)); #45651=CARTESIAN_POINT('',(-0.8,1.5,-0.866025403784441)); #45652=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.49999999999999, -0.866025403784446)); #45653=CARTESIAN_POINT('Ctrl Pts',(-0.550000000000002,0.749999999999999, -1.29903810567666)); #45654=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.05197598321916E-14, -1.73205080756887)); #45655=CARTESIAN_POINT('',(-2.15,1.5,-0.866025403784441)); #45656=CARTESIAN_POINT('Origin',(-3.5,1.5,0.866025403784437)); #45657=CARTESIAN_POINT('',(-0.8,1.5,0.866025403784437)); #45658=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.5,0.866025403784425)); #45659=CARTESIAN_POINT('Ctrl Pts',(-0.550000000000001,1.5,-1.52655665885959E-15)); #45660=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.5,-0.866025403784428)); #45661=CARTESIAN_POINT('',(-2.15,1.5,0.866025403784437)); #45662=CARTESIAN_POINT('Origin',(-3.5,-1.29353596140747E-17,1.73205080756888)); #45663=CARTESIAN_POINT('',(-0.8,1.00950079225926E-17,1.73205080756888)); #45664=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.03809819541135E-14, 1.73205080756887)); #45665=CARTESIAN_POINT('Ctrl Pts',(-0.550000000000001,0.749999999999999, 1.29903810567666)); #45666=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,1.49999999999999, 0.866025403784443)); #45667=CARTESIAN_POINT('',(-2.15,0.,1.73205080756888)); #45668=CARTESIAN_POINT('Origin',(-3.5,-1.5,-0.866025403784438)); #45669=CARTESIAN_POINT('',(-0.666025403784438,-1.5,-2.36764343999331E-16)); #45670=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.5,-0.866025403784426)); #45671=CARTESIAN_POINT('Ctrl Pts',(-0.666025403784438,-1.5,-0.40192378864668)); #45672=CARTESIAN_POINT('Ctrl Pts',(-0.666025403784438,-1.5,-2.89831668126559E-16)); #45673=CARTESIAN_POINT('',(-0.8,-1.5,0.866025403784438)); #45674=CARTESIAN_POINT('',(-2.15,-1.5,0.866025403784438)); #45675=CARTESIAN_POINT('Ctrl Pts',(-0.666025403784438,-1.5,-2.89831668126559E-16)); #45676=CARTESIAN_POINT('Ctrl Pts',(-0.666025403784438,-1.5,0.40192378864668)); #45677=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.5,0.866025403784426)); #45678=CARTESIAN_POINT('Origin',(-3.5,-1.5,0.866025403784439)); #45679=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.49999999999999, 0.866025403784445)); #45680=CARTESIAN_POINT('Ctrl Pts',(-0.550000000000002,-0.75,1.29903810567666)); #45681=CARTESIAN_POINT('Ctrl Pts',(-0.799999999999996,-1.09908112699207E-14, 1.73205080756887)); #45682=CARTESIAN_POINT('Origin',(-0.299999999999998,-1.13288422242428E-16, 0.)); #45683=CARTESIAN_POINT('',(0.200000000000004,-1.11418976330837E-16,0.)); #45684=CARTESIAN_POINT('',(-0.299999999999998,-0.866025403784439,-1.06057523872491E-16)); #45685=CARTESIAN_POINT('',(0.,0.,0.)); #45686=CARTESIAN_POINT('Origin',(-7.,1.4433756729741,2.5)); #45687=CARTESIAN_POINT('',(-7.,1.4433756729741,2.5)); #45688=CARTESIAN_POINT('',(-7.,-1.4433756729741,2.5)); #45689=CARTESIAN_POINT('',(-7.,4.42168783648705,2.5)); #45690=CARTESIAN_POINT('',(-2.8,1.4433756729741,2.5)); #45691=CARTESIAN_POINT('',(-7.,1.4433756729741,2.5)); #45692=CARTESIAN_POINT('',(-2.8,-1.4433756729741,2.5)); #45693=CARTESIAN_POINT('',(-2.8,1.4433756729741,2.5)); #45694=CARTESIAN_POINT('',(-7.,-1.4433756729741,2.5)); #45695=CARTESIAN_POINT('Origin',(-7.,2.8867513459481,0.)); #45696=CARTESIAN_POINT('',(-7.,2.8867513459481,0.)); #45697=CARTESIAN_POINT('',(-7.,3.45090742770455,-0.977146997001196)); #45698=CARTESIAN_POINT('',(-2.8,2.8867513459481,0.)); #45699=CARTESIAN_POINT('',(-7.,2.8867513459481,0.)); #45700=CARTESIAN_POINT('',(-2.8,2.8867513459481,0.)); #45701=CARTESIAN_POINT('Origin',(-7.,1.4433756729741,-2.5)); #45702=CARTESIAN_POINT('',(-7.,1.4433756729741,-2.5)); #45703=CARTESIAN_POINT('',(-7.,2.72921959121755,-0.272853002998804)); #45704=CARTESIAN_POINT('',(-2.8,1.4433756729741,-2.5)); #45705=CARTESIAN_POINT('',(-7.,1.4433756729741,-2.5)); #45706=CARTESIAN_POINT('',(-2.8,1.4433756729741,-2.5)); #45707=CARTESIAN_POINT('Origin',(-7.,-1.4433756729741,-2.5)); #45708=CARTESIAN_POINT('',(-7.,-1.4433756729741,-2.5)); #45709=CARTESIAN_POINT('',(-7.,2.97831216351295,-2.5)); #45710=CARTESIAN_POINT('',(-2.8,-1.4433756729741,-2.5)); #45711=CARTESIAN_POINT('',(-7.,-1.4433756729741,-2.5)); #45712=CARTESIAN_POINT('',(-2.8,-1.4433756729741,-2.5)); #45713=CARTESIAN_POINT('Origin',(-7.,-2.8867513459481,0.)); #45714=CARTESIAN_POINT('',(-7.,-2.8867513459481,0.)); #45715=CARTESIAN_POINT('',(-7.,-1.60090742770467,-2.22714699700116)); #45716=CARTESIAN_POINT('',(-2.8,-2.8867513459481,0.)); #45717=CARTESIAN_POINT('',(-7.,-2.8867513459481,0.)); #45718=CARTESIAN_POINT('',(-2.8,-2.8867513459481,0.)); #45719=CARTESIAN_POINT('Origin',(-7.,-1.4433756729741,2.5)); #45720=CARTESIAN_POINT('',(-7.,-0.879219591217674,3.47714699700116)); #45721=CARTESIAN_POINT('',(-2.8,-1.4433756729741,2.5)); #45722=CARTESIAN_POINT('Origin',(-2.8,0.,0.)); #45723=CARTESIAN_POINT('Origin',(20.5999999999999,0.,0.)); #45724=CARTESIAN_POINT('',(20.,-4.40872847693038E-16,3.59999999999993)); #45725=CARTESIAN_POINT('Origin',(20.,0.,0.)); #45726=CARTESIAN_POINT('',(20.6,-3.67394039744206E-16,3.)); #45727=CARTESIAN_POINT('Origin',(20.5999999999999,-4.40872847693038E-16, 3.59999999999993)); #45728=CARTESIAN_POINT('',(20.6,-3.,-3.67394039744206E-16)); #45729=CARTESIAN_POINT('Origin',(20.6,0.,0.)); #45730=CARTESIAN_POINT('Origin',(20.6,0.,0.)); #45731=CARTESIAN_POINT('Origin',(21.553589838486,0.,0.)); #45732=CARTESIAN_POINT('',(22.507179676972,-3.,-3.67394039744206E-16)); #45733=CARTESIAN_POINT('',(22.507179676972,-3.67394039744206E-16,3.)); #45734=CARTESIAN_POINT('Origin',(22.507179676972,0.,0.)); #45735=CARTESIAN_POINT('',(21.553589838486,-3.,-3.67394039744206E-16)); #45736=CARTESIAN_POINT('Origin',(22.507179676972,0.,0.)); #45737=CARTESIAN_POINT('Origin',(22.5071796769726,0.,0.)); #45738=CARTESIAN_POINT('',(22.807179676972,-3.77238333369548E-16,3.0803847577293)); #45739=CARTESIAN_POINT('Origin',(22.5071796769726,-4.40872847692803E-16, 3.59999999999801)); #45740=CARTESIAN_POINT('',(22.807179676972,-3.0803847577293,-3.77238333369548E-16)); #45741=CARTESIAN_POINT('Origin',(22.807179676972,0.,0.)); #45742=CARTESIAN_POINT('Origin',(22.807179676972,0.,0.)); #45743=CARTESIAN_POINT('Origin',(23.603589838486,0.,0.)); #45744=CARTESIAN_POINT('',(24.4,-4.,-4.89858719658941E-16)); #45745=CARTESIAN_POINT('Origin',(24.4,0.,0.)); #45746=CARTESIAN_POINT('',(23.603589838486,-3.54019237886465,-4.33548526514245E-16)); #45747=CARTESIAN_POINT('Origin',(28.3,0.,0.)); #45748=CARTESIAN_POINT('',(32.2,-4.,-4.89858719658941E-16)); #45749=CARTESIAN_POINT('Origin',(32.2,0.,0.)); #45750=CARTESIAN_POINT('',(28.3,-4.,-4.89858719658941E-16)); #45751=CARTESIAN_POINT('Origin',(32.6,0.,0.)); #45752=CARTESIAN_POINT('',(33.,-3.2,-3.91886975727153E-16)); #45753=CARTESIAN_POINT('Origin',(33.,0.,0.)); #45754=CARTESIAN_POINT('',(32.6,-3.6,-4.40872847693047E-16)); #45755=CARTESIAN_POINT('Origin',(33.,0.,0.)); #45756=CARTESIAN_POINT('Origin',(-6.3999999999999,0.,0.)); #45757=CARTESIAN_POINT('',(-6.4,-8.,-9.79717439317883E-16)); #45758=CARTESIAN_POINT('',(-6.4,-9.79717439317883E-16,8.)); #45759=CARTESIAN_POINT('Origin',(-6.4,0.,0.)); #45760=CARTESIAN_POINT('Origin',(-6.4,0.,0.)); #45761=CARTESIAN_POINT('',(-7.,-9.06238631369041E-16,7.4)); #45762=CARTESIAN_POINT('Origin',(-6.3999999999999,-9.06238631369029E-16, 7.3999999999999)); #45763=CARTESIAN_POINT('Origin',(-7.,0.,0.)); #45764=CARTESIAN_POINT('Origin',(-3.2,0.,0.)); #45765=CARTESIAN_POINT('',(0.,-8.,-9.79717439317883E-16)); #45766=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45767=CARTESIAN_POINT('',(-3.2,-8.,-9.79717439317883E-16)); #45768=CARTESIAN_POINT('Origin',(0.,5.4,0.)); #45769=CARTESIAN_POINT('',(0.,-6.61309271539571E-16,5.4)); #45770=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45771=CARTESIAN_POINT('Origin',(0.600000000000047,0.,0.)); #45772=CARTESIAN_POINT('',(0.600000000000001,-5.87830463590729E-16,4.8)); #45773=CARTESIAN_POINT('Origin',(0.600000000000047,-6.61309271539577E-16, 5.40000000000005)); #45774=CARTESIAN_POINT('',(0.600000000000001,-4.8,-5.87830463590729E-16)); #45775=CARTESIAN_POINT('Origin',(0.600000000000001,0.,0.)); #45776=CARTESIAN_POINT('Origin',(0.600000000000001,0.,0.)); #45777=CARTESIAN_POINT('Origin',(0.8872991699669,0.,0.)); #45778=CARTESIAN_POINT('',(1.1745983399338,-4.8,-5.87830463590729E-16)); #45779=CARTESIAN_POINT('',(1.1745983399338,-5.87830463590729E-16,4.8)); #45780=CARTESIAN_POINT('Origin',(1.1745983399338,0.,0.)); #45781=CARTESIAN_POINT('',(0.8872991699669,-4.8,-5.87830463590729E-16)); #45782=CARTESIAN_POINT('Origin',(1.1745983399338,0.,0.)); #45783=CARTESIAN_POINT('Origin',(1.17459833993411,0.,0.)); #45784=CARTESIAN_POINT('',(1.3298897669953,-5.90334193256856E-16,4.8204445042266)); #45785=CARTESIAN_POINT('Origin',(1.17459833993411,-6.61309271539127E-16, 5.39999999999638)); #45786=CARTESIAN_POINT('',(1.3298897669953,-4.8204445042266,-5.90334193256856E-16)); #45787=CARTESIAN_POINT('Origin',(1.3298897669953,0.,0.)); #45788=CARTESIAN_POINT('Origin',(1.3298897669953,0.,0.)); #45789=CARTESIAN_POINT('Origin',(1.66494488349765,0.,0.)); #45790=CARTESIAN_POINT('',(2.,-5.,-6.12323399573677E-16)); #45791=CARTESIAN_POINT('Origin',(2.,0.,0.)); #45792=CARTESIAN_POINT('',(1.66494488349765,-4.9102222521133,-6.01328796415266E-16)); #45793=CARTESIAN_POINT('Origin',(11.,0.,0.)); #45794=CARTESIAN_POINT('',(20.,-5.,-6.12323399573677E-16)); #45795=CARTESIAN_POINT('Origin',(20.,0.,0.)); #45796=CARTESIAN_POINT('',(11.,-5.,-6.12323399573677E-16)); #45797=CARTESIAN_POINT('Origin',(20.,0.,0.)); #45798=CARTESIAN_POINT('Origin',(-7.,7.4,0.)); #45799=CARTESIAN_POINT('',(0.,0.,0.)); #45800=CARTESIAN_POINT('Origin',(53.,3.,0.)); #45801=CARTESIAN_POINT('',(3.,3.,-3.5)); #45802=CARTESIAN_POINT('',(53.,3.,-3.5)); #45803=CARTESIAN_POINT('',(40.5,3.,-3.5)); #45804=CARTESIAN_POINT('',(3.,3.,2.5)); #45805=CARTESIAN_POINT('',(3.,3.,0.)); #45806=CARTESIAN_POINT('',(53.,3.,2.5)); #45807=CARTESIAN_POINT('',(40.5,3.,2.5)); #45808=CARTESIAN_POINT('',(53.,3.,0.)); #45809=CARTESIAN_POINT('Origin',(3.,0.,0.)); #45810=CARTESIAN_POINT('',(3.,-3.,-3.5)); #45811=CARTESIAN_POINT('Origin',(3.,0.,-3.5)); #45812=CARTESIAN_POINT('',(3.,-3.,2.5)); #45813=CARTESIAN_POINT('',(3.,-3.,0.)); #45814=CARTESIAN_POINT('Origin',(3.,0.,2.5)); #45815=CARTESIAN_POINT('Origin',(3.,-3.,0.)); #45816=CARTESIAN_POINT('',(53.,-2.99999999999999,-3.5)); #45817=CARTESIAN_POINT('',(15.5,-3.,-3.5)); #45818=CARTESIAN_POINT('',(53.,-2.99999999999999,2.5)); #45819=CARTESIAN_POINT('',(53.,-2.99999999999999,0.)); #45820=CARTESIAN_POINT('',(15.5,-3.,2.5)); #45821=CARTESIAN_POINT('Origin',(53.,0.,0.)); #45822=CARTESIAN_POINT('Origin',(53.,0.,-3.5)); #45823=CARTESIAN_POINT('Origin',(53.,0.,2.5)); #45824=CARTESIAN_POINT('Origin',(28.,0.,2.5)); #45825=CARTESIAN_POINT('Origin',(28.,0.,-3.5)); #45826=CARTESIAN_POINT('',(0.,0.,0.)); #45827=CARTESIAN_POINT('Origin',(-4.,-1.41931920761739E-15,44.35)); #45828=CARTESIAN_POINT('',(-4.,-2.46779253585062,48.5)); #45829=CARTESIAN_POINT('',(-4.,-3.,48.2)); #45830=CARTESIAN_POINT('Ctrl Pts',(-4.,-2.46779253585062,48.5)); #45831=CARTESIAN_POINT('Ctrl Pts',(-4.,-2.72566625559311,48.3646002466442)); #45832=CARTESIAN_POINT('Ctrl Pts',(-4.,-3.00000000000001,48.2)); #45833=CARTESIAN_POINT('',(-4.,2.46779253585062,48.5)); #45834=CARTESIAN_POINT('',(-4.,-6.63400311115978E-16,48.5)); #45835=CARTESIAN_POINT('',(-4.,3.,48.2)); #45836=CARTESIAN_POINT('Ctrl Pts',(-4.,3.00000000000001,48.2)); #45837=CARTESIAN_POINT('Ctrl Pts',(-4.,2.72566625559311,48.3646002466442)); #45838=CARTESIAN_POINT('Ctrl Pts',(-4.,2.46779253585062,48.5)); #45839=CARTESIAN_POINT('',(-4.,3.,40.2)); #45840=CARTESIAN_POINT('',(-4.00000000000002,2.99999999999998,0.)); #45841=CARTESIAN_POINT('',(-4.,-3.,40.2)); #45842=CARTESIAN_POINT('',(-4.,-3.,40.2)); #45843=CARTESIAN_POINT('',(-3.99999999999999,-3.00000000000001,0.)); #45844=CARTESIAN_POINT('Origin',(-4.,-3.,40.2)); #45845=CARTESIAN_POINT('Origin',(0.,0.,40.2)); #45846=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45847=CARTESIAN_POINT('',(4.,3.,48.2)); #45848=CARTESIAN_POINT('Origin',(0.,0.,48.2)); #45849=CARTESIAN_POINT('',(4.,3.,40.2)); #45850=CARTESIAN_POINT('',(4.00000000000002,2.99999999999998,0.)); #45851=CARTESIAN_POINT('',(5.,6.12323399573677E-16,40.2)); #45852=CARTESIAN_POINT('Origin',(0.,0.,40.2)); #45853=CARTESIAN_POINT('',(5.,-6.12323399573677E-16,-56.2)); #45854=CARTESIAN_POINT('',(5.,6.12323399573677E-16,0.)); #45855=CARTESIAN_POINT('Origin',(0.,0.,-56.2)); #45856=CARTESIAN_POINT('',(4.,-3.,40.2)); #45857=CARTESIAN_POINT('Origin',(0.,0.,40.2)); #45858=CARTESIAN_POINT('',(4.,-3.,48.2)); #45859=CARTESIAN_POINT('',(3.99999999999999,-3.00000000000001,0.)); #45860=CARTESIAN_POINT('Origin',(0.,0.,48.2)); #45861=CARTESIAN_POINT('Origin',(0.,0.,48.35)); #45862=CARTESIAN_POINT('',(4.,2.46779253585062,48.5)); #45863=CARTESIAN_POINT('Origin',(0.,0.,48.5)); #45864=CARTESIAN_POINT('Ctrl Pts',(4.,2.46779253585062,48.5)); #45865=CARTESIAN_POINT('Ctrl Pts',(4.,2.72566625559311,48.3646002466442)); #45866=CARTESIAN_POINT('Ctrl Pts',(4.,3.00000000000001,48.2)); #45867=CARTESIAN_POINT('Origin',(0.,0.,48.35)); #45868=CARTESIAN_POINT('',(4.,-2.46779253585062,48.5)); #45869=CARTESIAN_POINT('Ctrl Pts',(4.,-3.00000000000001,48.2)); #45870=CARTESIAN_POINT('Ctrl Pts',(4.,-2.72566625559311,48.3646002466442)); #45871=CARTESIAN_POINT('Ctrl Pts',(4.,-2.46779253585062,48.5)); #45872=CARTESIAN_POINT('Origin',(0.,0.,48.5)); #45873=CARTESIAN_POINT('Origin',(4.,-1.41931920761739E-15,44.35)); #45874=CARTESIAN_POINT('',(4.,-3.,40.2)); #45875=CARTESIAN_POINT('',(4.,-6.63400311115978E-16,48.5)); #45876=CARTESIAN_POINT('Origin',(4.,-3.,40.2)); #45877=CARTESIAN_POINT('Origin',(-2.36064120735332E-16,9.25185853854297E-17, 48.5)); #45878=CARTESIAN_POINT('',(2.5,3.06161699786838E-16,48.5)); #45879=CARTESIAN_POINT('Origin',(0.,0.,48.5)); #45880=CARTESIAN_POINT('Origin',(0.,0.,-56.35)); #45881=CARTESIAN_POINT('',(4.7,5.75583995599256E-16,-56.5)); #45882=CARTESIAN_POINT('',(4.85,5.93953697586466E-16,-56.35)); #45883=CARTESIAN_POINT('Origin',(0.,0.,-56.5)); #45884=CARTESIAN_POINT('Origin',(0.,0.,-56.5)); #45885=CARTESIAN_POINT('',(2.067,-2.53134493383758E-16,-56.5)); #45886=CARTESIAN_POINT('Origin',(0.,0.,-56.5)); #45887=CARTESIAN_POINT('Origin',(0.,0.,-43.879010550235)); #45888=CARTESIAN_POINT('',(2.067,-2.53134493383758E-16,-44.5)); #45889=CARTESIAN_POINT('Origin',(0.,0.,-44.5)); #45890=CARTESIAN_POINT('',(0.,0.,-43.25802110047)); #45891=CARTESIAN_POINT('',(1.0335,-1.26567246691879E-16,-43.879010550235)); #45892=CARTESIAN_POINT('Origin',(0.,0.,-50.5)); #45893=CARTESIAN_POINT('',(2.067,-2.53134493383758E-16,-50.5)); #45894=CARTESIAN_POINT('Origin',(0.,0.,56.3)); #45895=CARTESIAN_POINT('',(2.1,-2.57175827820944E-16,56.5)); #45896=CARTESIAN_POINT('Origin',(0.,0.,56.5)); #45897=CARTESIAN_POINT('',(2.5,3.06161699786838E-16,56.1)); #45898=CARTESIAN_POINT('',(2.3,2.81668763803891E-16,56.3)); #45899=CARTESIAN_POINT('Origin',(0.,0.,56.1)); #45900=CARTESIAN_POINT('Origin',(0.,0.,56.5)); #45901=CARTESIAN_POINT('',(2.5,-3.06161699786838E-16,56.5)); #45902=CARTESIAN_POINT('Origin',(0.,0.,56.5)); #45903=CARTESIAN_POINT('',(0.,0.,0.)); #45904=CARTESIAN_POINT('Origin',(62.,0.,-258.573475185778)); #45905=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,20.)); #45906=CARTESIAN_POINT('Origin',(62.,0.,20.)); #45907=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,-20.)); #45908=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,-258.573475185778)); #45909=CARTESIAN_POINT('Origin',(62.,0.,-20.)); #45910=CARTESIAN_POINT('Origin',(-130.,62.,-258.573475185778)); #45911=CARTESIAN_POINT('',(-135.2,62.,20.)); #45912=CARTESIAN_POINT('Origin',(-130.,62.,20.)); #45913=CARTESIAN_POINT('',(-135.2,62.,-20.)); #45914=CARTESIAN_POINT('',(-135.2,62.,-258.573475185778)); #45915=CARTESIAN_POINT('Origin',(-130.,62.,-20.)); #45916=CARTESIAN_POINT('Origin',(-30.,62.,-258.573475185778)); #45917=CARTESIAN_POINT('',(-35.2,62.,20.)); #45918=CARTESIAN_POINT('Origin',(-30.,62.,20.)); #45919=CARTESIAN_POINT('',(-35.2,62.,-20.)); #45920=CARTESIAN_POINT('',(-35.2,62.,-258.573475185778)); #45921=CARTESIAN_POINT('Origin',(-30.,62.,-20.)); #45922=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,-258.573475185778)); #45923=CARTESIAN_POINT('',(38.640620433566,43.840620433566,20.)); #45924=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,20.)); #45925=CARTESIAN_POINT('',(38.640620433566,43.840620433566,-20.)); #45926=CARTESIAN_POINT('',(38.640620433566,43.840620433566,-258.573475185778)); #45927=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,-20.)); #45928=CARTESIAN_POINT('Origin',(-54.3429065081804,55.8160874088899,-20.)); #45929=CARTESIAN_POINT('',(54.,0.,-20.)); #45930=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,-20.)); #45931=CARTESIAN_POINT('Origin',(0.,0.,-20.)); #45932=CARTESIAN_POINT('',(-165.,54.,-20.)); #45933=CARTESIAN_POINT('',(-165.,54.,-20.)); #45934=CARTESIAN_POINT('',(-173.,58.,-20.)); #45935=CARTESIAN_POINT('Origin',(-173.,48.,-20.)); #45936=CARTESIAN_POINT('',(-190.,58.,-20.)); #45937=CARTESIAN_POINT('',(-190.,58.,-20.)); #45938=CARTESIAN_POINT('',(-190.,76.9999999999999,-20.)); #45939=CARTESIAN_POINT('',(-190.,76.9999999999999,-20.)); #45940=CARTESIAN_POINT('',(-85.908759848747,77.,-20.)); #45941=CARTESIAN_POINT('',(-85.908759848747,77.,-20.)); #45942=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,-20.)); #45943=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,-20.)); #45944=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,-20.)); #45945=CARTESIAN_POINT('Origin',(0.,0.,-20.)); #45946=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,-20.)); #45947=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,-20.)); #45948=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274765,-20.)); #45949=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,-20.)); #45950=CARTESIAN_POINT('',(69.0836688259826,-10.,-20.)); #45951=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,-20.)); #45952=CARTESIAN_POINT('',(64.,-10.,-20.)); #45953=CARTESIAN_POINT('',(64.,-10.,-20.)); #45954=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,-20.)); #45955=CARTESIAN_POINT('Origin',(-165.,54.,0.)); #45956=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,20.)); #45957=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,0.)); #45958=CARTESIAN_POINT('',(-165.,54.,20.)); #45959=CARTESIAN_POINT('',(-165.,54.,20.)); #45960=CARTESIAN_POINT('',(-165.,54.,0.)); #45961=CARTESIAN_POINT('Origin',(-173.,48.,0.)); #45962=CARTESIAN_POINT('',(-173.,58.,20.)); #45963=CARTESIAN_POINT('Origin',(-173.,48.,20.)); #45964=CARTESIAN_POINT('',(-173.,58.,0.)); #45965=CARTESIAN_POINT('Origin',(-190.,58.,0.)); #45966=CARTESIAN_POINT('',(-190.,58.,20.)); #45967=CARTESIAN_POINT('',(-190.,58.,20.)); #45968=CARTESIAN_POINT('',(-190.,58.,0.)); #45969=CARTESIAN_POINT('Origin',(-190.,76.9999999999999,0.)); #45970=CARTESIAN_POINT('',(-190.,76.9999999999999,20.)); #45971=CARTESIAN_POINT('',(-190.,76.9999999999999,20.)); #45972=CARTESIAN_POINT('',(-190.,76.9999999999999,0.)); #45973=CARTESIAN_POINT('Origin',(-85.908759848747,77.,0.)); #45974=CARTESIAN_POINT('',(-85.908759848747,77.,20.)); #45975=CARTESIAN_POINT('',(-85.908759848747,77.,20.)); #45976=CARTESIAN_POINT('',(-85.908759848747,77.,0.)); #45977=CARTESIAN_POINT('Origin',(5.16197905706509,73.819739719227,0.)); #45978=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,20.)); #45979=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,20.)); #45980=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,0.)); #45981=CARTESIAN_POINT('Origin',(0.,0.,0.)); #45982=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,20.)); #45983=CARTESIAN_POINT('Origin',(0.,0.,20.)); #45984=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,0.)); #45985=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,0.)); #45986=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,20.)); #45987=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,20.)); #45988=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,0.)); #45989=CARTESIAN_POINT('Origin',(70.0798635240743,-9.08715574274766,0.)); #45990=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274765,20.)); #45991=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,20.)); #45992=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,0.)); #45993=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,0.)); #45994=CARTESIAN_POINT('',(69.0836688259826,-10.,20.)); #45995=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,20.)); #45996=CARTESIAN_POINT('',(69.0836688259826,-10.,0.)); #45997=CARTESIAN_POINT('Origin',(64.,-10.,0.)); #45998=CARTESIAN_POINT('',(64.,-10.,20.)); #45999=CARTESIAN_POINT('',(64.,-10.,20.)); #46000=CARTESIAN_POINT('',(64.,-10.,0.)); #46001=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,0.)); #46002=CARTESIAN_POINT('',(54.,0.,20.)); #46003=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,20.)); #46004=CARTESIAN_POINT('',(54.,4.97899625051478E-16,0.)); #46005=CARTESIAN_POINT('Origin',(0.,0.,0.)); #46006=CARTESIAN_POINT('Origin',(0.,0.,20.)); #46007=CARTESIAN_POINT('Origin',(-54.3429065081804,55.8160874088899,20.)); #46008=CARTESIAN_POINT('',(0.,0.,0.)); #46009=CARTESIAN_POINT('Origin',(62.,0.,-262.314228046599)); #46010=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,15.)); #46011=CARTESIAN_POINT('Origin',(62.,0.,15.)); #46012=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,-15.)); #46013=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,-262.314228046599)); #46014=CARTESIAN_POINT('Origin',(62.,0.,-15.)); #46015=CARTESIAN_POINT('Origin',(-130.,62.,-262.314228046599)); #46016=CARTESIAN_POINT('',(-135.2,62.,15.)); #46017=CARTESIAN_POINT('Origin',(-130.,62.,15.)); #46018=CARTESIAN_POINT('',(-135.2,62.,-15.)); #46019=CARTESIAN_POINT('',(-135.2,62.,-262.314228046599)); #46020=CARTESIAN_POINT('Origin',(-130.,62.,-15.)); #46021=CARTESIAN_POINT('Origin',(-30.,62.,-262.314228046599)); #46022=CARTESIAN_POINT('',(-35.2,62.,15.)); #46023=CARTESIAN_POINT('Origin',(-30.,62.,15.)); #46024=CARTESIAN_POINT('',(-35.2,62.,-15.)); #46025=CARTESIAN_POINT('',(-35.2,62.,-262.314228046599)); #46026=CARTESIAN_POINT('Origin',(-30.,62.,-15.)); #46027=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,-262.314228046599)); #46028=CARTESIAN_POINT('',(38.640620433566,43.840620433566,15.)); #46029=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,15.)); #46030=CARTESIAN_POINT('',(38.640620433566,43.840620433566,-15.)); #46031=CARTESIAN_POINT('',(38.640620433566,43.840620433566,-262.314228046599)); #46032=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,-15.)); #46033=CARTESIAN_POINT('Origin',(-54.3429065081804,55.8160874088899,-15.)); #46034=CARTESIAN_POINT('',(54.,0.,-15.)); #46035=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,-15.)); #46036=CARTESIAN_POINT('Origin',(0.,0.,-15.)); #46037=CARTESIAN_POINT('',(-165.,54.,-15.)); #46038=CARTESIAN_POINT('',(-165.,54.,-15.)); #46039=CARTESIAN_POINT('',(-173.,58.,-15.)); #46040=CARTESIAN_POINT('Origin',(-173.,48.,-15.)); #46041=CARTESIAN_POINT('',(-190.,58.,-15.)); #46042=CARTESIAN_POINT('',(-190.,58.,-15.)); #46043=CARTESIAN_POINT('',(-190.,76.9999999999999,-15.)); #46044=CARTESIAN_POINT('',(-190.,76.9999999999999,-15.)); #46045=CARTESIAN_POINT('',(-85.908759848747,77.,-15.)); #46046=CARTESIAN_POINT('',(-85.908759848747,77.,-15.)); #46047=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,-15.)); #46048=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,-15.)); #46049=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,-15.)); #46050=CARTESIAN_POINT('Origin',(0.,0.,-15.)); #46051=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,-15.)); #46052=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,-15.)); #46053=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274765,-15.)); #46054=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,-15.)); #46055=CARTESIAN_POINT('',(69.0836688259826,-10.,-15.)); #46056=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,-15.)); #46057=CARTESIAN_POINT('',(64.,-10.,-15.)); #46058=CARTESIAN_POINT('',(64.,-10.,-15.)); #46059=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,-15.)); #46060=CARTESIAN_POINT('Origin',(-165.,54.,0.)); #46061=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,15.)); #46062=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,0.)); #46063=CARTESIAN_POINT('',(-165.,54.,15.)); #46064=CARTESIAN_POINT('',(-165.,54.,15.)); #46065=CARTESIAN_POINT('',(-165.,54.,0.)); #46066=CARTESIAN_POINT('Origin',(-173.,48.,0.)); #46067=CARTESIAN_POINT('',(-173.,58.,15.)); #46068=CARTESIAN_POINT('Origin',(-173.,48.,15.)); #46069=CARTESIAN_POINT('',(-173.,58.,0.)); #46070=CARTESIAN_POINT('Origin',(-190.,58.,0.)); #46071=CARTESIAN_POINT('',(-190.,58.,15.)); #46072=CARTESIAN_POINT('',(-190.,58.,15.)); #46073=CARTESIAN_POINT('',(-190.,58.,0.)); #46074=CARTESIAN_POINT('Origin',(-190.,76.9999999999999,0.)); #46075=CARTESIAN_POINT('',(-190.,76.9999999999999,15.)); #46076=CARTESIAN_POINT('',(-190.,76.9999999999999,15.)); #46077=CARTESIAN_POINT('',(-190.,76.9999999999999,0.)); #46078=CARTESIAN_POINT('Origin',(-85.908759848747,77.,0.)); #46079=CARTESIAN_POINT('',(-85.908759848747,77.,15.)); #46080=CARTESIAN_POINT('',(-85.908759848747,77.,15.)); #46081=CARTESIAN_POINT('',(-85.908759848747,77.,0.)); #46082=CARTESIAN_POINT('Origin',(5.16197905706509,73.819739719227,0.)); #46083=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,15.)); #46084=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,15.)); #46085=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,0.)); #46086=CARTESIAN_POINT('Origin',(0.,0.,0.)); #46087=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,15.)); #46088=CARTESIAN_POINT('Origin',(0.,0.,15.)); #46089=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,0.)); #46090=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,0.)); #46091=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,15.)); #46092=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,15.)); #46093=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,0.)); #46094=CARTESIAN_POINT('Origin',(70.0798635240743,-9.08715574274766,0.)); #46095=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274765,15.)); #46096=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,15.)); #46097=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,0.)); #46098=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,0.)); #46099=CARTESIAN_POINT('',(69.0836688259826,-10.,15.)); #46100=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,15.)); #46101=CARTESIAN_POINT('',(69.0836688259826,-10.,0.)); #46102=CARTESIAN_POINT('Origin',(64.,-10.,0.)); #46103=CARTESIAN_POINT('',(64.,-10.,15.)); #46104=CARTESIAN_POINT('',(64.,-10.,15.)); #46105=CARTESIAN_POINT('',(64.,-10.,0.)); #46106=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,0.)); #46107=CARTESIAN_POINT('',(54.,0.,15.)); #46108=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,15.)); #46109=CARTESIAN_POINT('',(54.,4.97899625051478E-16,0.)); #46110=CARTESIAN_POINT('Origin',(0.,0.,0.)); #46111=CARTESIAN_POINT('Origin',(0.,0.,15.)); #46112=CARTESIAN_POINT('Origin',(-54.3429065081804,55.8160874088899,15.)); #46113=CARTESIAN_POINT('',(0.,0.,0.)); #46114=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13084205596651E-16, 0.)); #46115=CARTESIAN_POINT('',(2.23779328401008E-15,-6.15643546683196E-16,4.1)); #46116=CARTESIAN_POINT('Origin',(2.23779328401008E-15,-1.13538359032782E-16, 0.)); #46117=CARTESIAN_POINT('',(0.100000000000002,-6.02942925255592E-16,4.)); #46118=CARTESIAN_POINT('Origin',(0.100000000000002,-6.15189393247065E-16, 4.1)); #46119=CARTESIAN_POINT('',(0.100000000000002,-4.,-4.89858719658941E-16)); #46120=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13084205596651E-16, 0.)); #46121=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13084205596651E-16, 0.)); #46122=CARTESIAN_POINT('Origin',(14.375,-4.82538025889323E-17,0.)); #46123=CARTESIAN_POINT('',(24.2331875,4.,4.89842541528951E-16)); #46124=CARTESIAN_POINT('',(24.2331875,-4.,-4.89858719658941E-16)); #46125=CARTESIAN_POINT('Origin',(24.2331875,-3.48250531743302E-18,0.)); #46126=CARTESIAN_POINT('Origin',(24.2331875,-3.48250531743302E-18,0.)); #46127=CARTESIAN_POINT('',(14.375,-4.,-4.89858719658941E-16)); #46128=CARTESIAN_POINT('Origin',(2.22044604925031E-15,5.25,0.)); #46129=CARTESIAN_POINT('',(0.,-6.5,-7.9602041944578E-16)); #46130=CARTESIAN_POINT('Origin',(0.,-1.13538359032782E-16,0.)); #46131=CARTESIAN_POINT('Origin',(24.61659375,-1.74125265871651E-18,0.)); #46132=CARTESIAN_POINT('',(25.,3.2331875,-3.95938195559909E-16)); #46133=CARTESIAN_POINT('Origin',(25.,-1.34815096107107E-32,0.)); #46134=CARTESIAN_POINT('',(24.61659375,3.61659375,4.42904995975382E-16)); #46135=CARTESIAN_POINT('Origin',(25.,2.,0.)); #46136=CARTESIAN_POINT('Origin',(-4.2,-1.32612803350289E-16,0.)); #46137=CARTESIAN_POINT('',(-4.2,-9.28633222796069E-16,6.5)); #46138=CARTESIAN_POINT('',(-4.2,-6.5,-7.9602041944578E-16)); #46139=CARTESIAN_POINT('Origin',(-4.2,-1.32612803350289E-16,0.)); #46140=CARTESIAN_POINT('Origin',(-4.2,-1.32612803350289E-16,0.)); #46141=CARTESIAN_POINT('',(-5.,-8.34294706353329E-16,5.7)); #46142=CARTESIAN_POINT('Origin',(-4.2,-8.30661478864281E-16,5.7)); #46143=CARTESIAN_POINT('Origin',(-5.,-1.36246030839338E-16,0.)); #46144=CARTESIAN_POINT('Origin',(-5.,4.69337567297407,0.)); #46145=CARTESIAN_POINT('',(-5.,2.5,-1.44337567297407)); #46146=CARTESIAN_POINT('',(-5.,2.5,1.44337567297406)); #46147=CARTESIAN_POINT('',(-5.,2.5,0.721687836487029)); #46148=CARTESIAN_POINT('',(-5.,2.17279048735631E-16,2.88675134594813)); #46149=CARTESIAN_POINT('',(-5.,0.625,2.52590742770461)); #46150=CARTESIAN_POINT('',(-5.,-2.5,1.44337567297406)); #46151=CARTESIAN_POINT('',(-5.,-1.875,1.80421959121758)); #46152=CARTESIAN_POINT('',(-5.,-2.5,-1.44337567297406)); #46153=CARTESIAN_POINT('',(-5.,-2.5,-0.721687836487032)); #46154=CARTESIAN_POINT('',(-5.,-4.84390889740044E-16,-2.88675134594813)); #46155=CARTESIAN_POINT('',(-5.,-0.625000000000001,-2.52590742770461)); #46156=CARTESIAN_POINT('',(-5.,1.875,-1.80421959121758)); #46157=CARTESIAN_POINT('Origin',(-2.5,-1.2489219493606E-16,0.)); #46158=CARTESIAN_POINT('',(-2.5,-6.5,-7.9602041944578E-16)); #46159=CARTESIAN_POINT('Origin',(-5.,-4.84390889740044E-16,-2.88675134594813)); #46160=CARTESIAN_POINT('',(-1.19999999999999,-1.23174307213032E-15,-2.88675134594813)); #46161=CARTESIAN_POINT('',(-1.2,-2.5,-1.44337567297406)); #46162=CARTESIAN_POINT('Ctrl Pts',(-1.2,3.7196438063626E-15,-2.88675134594813)); #46163=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,-1.25,-2.1650635094611)); #46164=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,-1.44337567297406)); #46165=CARTESIAN_POINT('',(-3.1,-1.2490009027033E-15,-2.88675134594813)); #46166=CARTESIAN_POINT('',(-3.1,-2.5,-1.44337567297406)); #46167=CARTESIAN_POINT('Origin',(-5.,2.5,-1.44337567297407)); #46168=CARTESIAN_POINT('',(-1.2,2.5,-1.44337567297407)); #46169=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.5,-1.44337567297406)); #46170=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,1.25,-2.1650635094611)); #46171=CARTESIAN_POINT('Ctrl Pts',(-1.2,-5.99480765910753E-15,-2.88675134594813)); #46172=CARTESIAN_POINT('',(-3.1,2.5,-1.44337567297407)); #46173=CARTESIAN_POINT('Origin',(-5.,2.5,1.44337567297406)); #46174=CARTESIAN_POINT('',(-1.19999999999999,2.5,1.44337567297406)); #46175=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.5,1.44337567297407)); #46176=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,2.5,-2.4980018054066E-15)); #46177=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.5,-1.44337567297407)); #46178=CARTESIAN_POINT('',(-3.1,2.5,1.44337567297406)); #46179=CARTESIAN_POINT('Origin',(-5.,-2.27076718065563E-17,2.88675134594813)); #46180=CARTESIAN_POINT('',(-1.19999999999999,2.94813586729272E-16,2.88675134594813)); #46181=CARTESIAN_POINT('Ctrl Pts',(-1.2,-5.43969614679495E-15,2.88675134594813)); #46182=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333326,1.25,2.16506350946109)); #46183=CARTESIAN_POINT('Ctrl Pts',(-1.2,2.50000000000001,1.44337567297406)); #46184=CARTESIAN_POINT('',(-3.1,2.77555756156289E-16,2.88675134594813)); #46185=CARTESIAN_POINT('Origin',(-5.,-2.5,-1.44337567297406)); #46186=CARTESIAN_POINT('',(-0.976709006307393,-2.5,-2.17487887254876E-16)); #46187=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,-1.44337567297407)); #46188=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-0.66987298107781)); #46189=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-1.28814074722915E-16)); #46190=CARTESIAN_POINT('',(-1.2,-2.5,1.44337567297406)); #46191=CARTESIAN_POINT('',(-3.1,-2.5,1.44337567297406)); #46192=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,-1.28814074722915E-16)); #46193=CARTESIAN_POINT('Ctrl Pts',(-0.976709006307393,-2.5,0.66987298107781)); #46194=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.5,1.44337567297407)); #46195=CARTESIAN_POINT('Origin',(-5.,-2.5,1.44337567297406)); #46196=CARTESIAN_POINT('Ctrl Pts',(-1.2,-2.50000000000001,1.44337567297406)); #46197=CARTESIAN_POINT('Ctrl Pts',(-0.783333333333327,-1.25,2.1650635094611)); #46198=CARTESIAN_POINT('Ctrl Pts',(-1.2,6.21764561176921E-15,2.88675134594813)); #46199=CARTESIAN_POINT('Origin',(-0.366666666666662,-1.15203588298596E-16, 0.)); #46200=CARTESIAN_POINT('',(0.466666666666671,-1.11418976330837E-16,0.)); #46201=CARTESIAN_POINT('',(-0.366666666666662,-1.44337567297406,-1.76762539787484E-16)); #46202=CARTESIAN_POINT('',(0.,0.,0.)); #46203=CARTESIAN_POINT('Origin',(-1.77217988946604,-6.07154367108955,-5.1)); #46204=CARTESIAN_POINT('',(-3.45101994443191,-7.14108274276071,-4.1)); #46205=CARTESIAN_POINT('',(-4.13709619259156,-6.39247246967544,-5.1)); #46206=CARTESIAN_POINT('Ctrl Pts',(-3.45101994443191,-7.1410827427607,-4.1)); #46207=CARTESIAN_POINT('Ctrl Pts',(-3.56217137391205,-7.07399962948265, -4.1)); #46208=CARTESIAN_POINT('Ctrl Pts',(-3.67255643849486,-6.98925121899544, -4.12187443524311)); #46209=CARTESIAN_POINT('Ctrl Pts',(-3.85689643130422,-6.81303614000154, -4.22079346459741)); #46210=CARTESIAN_POINT('Ctrl Pts',(-3.93132154205584,-6.72219647843209, -4.29807711073976)); #46211=CARTESIAN_POINT('Ctrl Pts',(-4.03737100006191,-6.57391366177445, -4.47829763475649)); #46212=CARTESIAN_POINT('Ctrl Pts',(-4.07674662108206,-6.50670871646622, -4.59112559516066)); #46213=CARTESIAN_POINT('Ctrl Pts',(-4.12629097029266,-6.41578332594128, -4.83870509384092)); #46214=CARTESIAN_POINT('Ctrl Pts',(-4.13709619259155,-6.39247246967544, -4.97347910570925)); #46215=CARTESIAN_POINT('Ctrl Pts',(-4.13709619259155,-6.39247246967544, -5.1)); #46216=CARTESIAN_POINT('',(-4.22193292190685,-6.44651942694639,-5.1)); #46217=CARTESIAN_POINT('',(-2.30947949781285,-5.22815222527666,-5.1)); #46218=CARTESIAN_POINT('',(-3.5445665994691,-7.20067853468292,-4.1)); #46219=CARTESIAN_POINT('Ctrl Pts',(-4.22193292190685,-6.44651942694639, -5.1)); #46220=CARTESIAN_POINT('Ctrl Pts',(-4.22193292190685,-6.44651942694639, -4.97358085780188)); #46221=CARTESIAN_POINT('Ctrl Pts',(-4.21099116208968,-6.46969631340785, -4.8388962165657)); #46222=CARTESIAN_POINT('Ctrl Pts',(-4.1612008608691,-6.56030708182591,-4.5914438317527)); #46223=CARTESIAN_POINT('Ctrl Pts',(-4.12174969993969,-6.62735336095632, -4.47865593102901)); #46224=CARTESIAN_POINT('Ctrl Pts',(-4.01645521095564,-6.77552535492582, -4.29873794081312)); #46225=CARTESIAN_POINT('Ctrl Pts',(-3.94300415083145,-6.86642998876249, -4.22148903559218)); #46226=CARTESIAN_POINT('Ctrl Pts',(-3.76177048725448,-7.044456336478,-4.12222299763999)); #46227=CARTESIAN_POINT('Ctrl Pts',(-3.65353004815415,-7.13099634871801, -4.1)); #46228=CARTESIAN_POINT('Ctrl Pts',(-3.5445665994691,-7.20067853468291,-4.1)); #46229=CARTESIAN_POINT('',(-1.77217988946604,-6.07154367108955,-4.1)); #46230=CARTESIAN_POINT('Origin',(0.164249939138424,-5.07802583345803,-4.1)); #46231=CARTESIAN_POINT('',(-1.50006253147967,-7.20244374704448,-4.1)); #46232=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,-4.1)); #46233=CARTESIAN_POINT('',(1.11529870137411,-5.53627488432452,-4.1)); #46234=CARTESIAN_POINT('',(-0.426798078492762,-6.5186988820647,-4.1)); #46235=CARTESIAN_POINT('',(1.01287551271219,-5.48295674709468,-4.1)); #46236=CARTESIAN_POINT('',(1.41830020142566,-5.69400748151911,-4.1)); #46237=CARTESIAN_POINT('',(-1.55379249231435,-7.1181046024632,-4.1)); #46238=CARTESIAN_POINT('',(1.94301176074936,-4.89039460497114,-4.1)); #46239=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,-4.1)); #46240=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,0.)); #46241=CARTESIAN_POINT('',(-4.22193292190685,-6.44651942694639,-6.5)); #46242=CARTESIAN_POINT('',(-4.22193292190685,-6.44651942694639,0.)); #46243=CARTESIAN_POINT('',(-3.5445665994691,-7.20067853468291,-7.5)); #46244=CARTESIAN_POINT('Ctrl Pts',(-3.5445665994691,-7.20067853468291,-7.5)); #46245=CARTESIAN_POINT('Ctrl Pts',(-3.65353004815415,-7.13099634871801, -7.5)); #46246=CARTESIAN_POINT('Ctrl Pts',(-3.76177048725448,-7.044456336478,-7.47777700236001)); #46247=CARTESIAN_POINT('Ctrl Pts',(-3.94300415083145,-6.86642998876249, -7.37851096440782)); #46248=CARTESIAN_POINT('Ctrl Pts',(-4.01645521095564,-6.77552535492582, -7.30126205918688)); #46249=CARTESIAN_POINT('Ctrl Pts',(-4.12174969993969,-6.62735336095632, -7.12134406897099)); #46250=CARTESIAN_POINT('Ctrl Pts',(-4.1612008608691,-6.56030708182591,-7.0085561682473)); #46251=CARTESIAN_POINT('Ctrl Pts',(-4.21099116208968,-6.46969631340785, -6.7611037834343)); #46252=CARTESIAN_POINT('Ctrl Pts',(-4.22193292190686,-6.44651942694639, -6.62641914219812)); #46253=CARTESIAN_POINT('Ctrl Pts',(-4.22193292190686,-6.44651942694639, -6.5)); #46254=CARTESIAN_POINT('',(-1.50006253147967,-7.20244374704448,-7.5)); #46255=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,-7.5)); #46256=CARTESIAN_POINT('',(-1.50006253147967,-7.20244374704448,0.)); #46257=CARTESIAN_POINT('Origin',(-1.77217988946604,-6.07154367108955,5.1)); #46258=CARTESIAN_POINT('',(-3.5445665994691,-7.20067853468292,4.1)); #46259=CARTESIAN_POINT('',(-4.22193292190685,-6.44651942694639,5.1)); #46260=CARTESIAN_POINT('Ctrl Pts',(-3.5445665994691,-7.20067853468291,4.1)); #46261=CARTESIAN_POINT('Ctrl Pts',(-3.65353004815415,-7.13099634871801, 4.1)); #46262=CARTESIAN_POINT('Ctrl Pts',(-3.76177048725448,-7.044456336478,4.12222299763999)); #46263=CARTESIAN_POINT('Ctrl Pts',(-3.94300415083145,-6.86642998876249, 4.22148903559218)); #46264=CARTESIAN_POINT('Ctrl Pts',(-4.01645521095564,-6.77552535492582, 4.29873794081312)); #46265=CARTESIAN_POINT('Ctrl Pts',(-4.12174969993969,-6.62735336095632, 4.47865593102901)); #46266=CARTESIAN_POINT('Ctrl Pts',(-4.1612008608691,-6.56030708182591,4.5914438317527)); #46267=CARTESIAN_POINT('Ctrl Pts',(-4.21099116208968,-6.46969631340785, 4.8388962165657)); #46268=CARTESIAN_POINT('Ctrl Pts',(-4.22193292190685,-6.44651942694639, 4.97358085780188)); #46269=CARTESIAN_POINT('Ctrl Pts',(-4.22193292190685,-6.44651942694639, 5.1)); #46270=CARTESIAN_POINT('',(-4.13709619259156,-6.39247246967544,5.1)); #46271=CARTESIAN_POINT('',(-2.30947949781285,-5.22815222527666,5.1)); #46272=CARTESIAN_POINT('',(-3.45101994443191,-7.14108274276071,4.1)); #46273=CARTESIAN_POINT('Ctrl Pts',(-4.13709619259155,-6.39247246967544, 5.1)); #46274=CARTESIAN_POINT('Ctrl Pts',(-4.13709619259155,-6.39247246967544, 4.97347910570925)); #46275=CARTESIAN_POINT('Ctrl Pts',(-4.12629097029266,-6.41578332594128, 4.83870509384092)); #46276=CARTESIAN_POINT('Ctrl Pts',(-4.07674662108206,-6.50670871646622, 4.59112559516066)); #46277=CARTESIAN_POINT('Ctrl Pts',(-4.03737100006191,-6.57391366177445, 4.47829763475649)); #46278=CARTESIAN_POINT('Ctrl Pts',(-3.93132154205584,-6.72219647843209, 4.29807711073976)); #46279=CARTESIAN_POINT('Ctrl Pts',(-3.85689643130422,-6.81303614000154, 4.22079346459741)); #46280=CARTESIAN_POINT('Ctrl Pts',(-3.67255643849486,-6.98925121899544, 4.12187443524311)); #46281=CARTESIAN_POINT('Ctrl Pts',(-3.56217137391205,-7.07399962948265, 4.1)); #46282=CARTESIAN_POINT('Ctrl Pts',(-3.45101994443191,-7.1410827427607,4.1)); #46283=CARTESIAN_POINT('',(-1.77217988946604,-6.07154367108955,4.1)); #46284=CARTESIAN_POINT('Origin',(-4.13709619259156,-6.39247246967544,0.)); #46285=CARTESIAN_POINT('',(-4.22193292190685,-6.44651942694639,6.5)); #46286=CARTESIAN_POINT('',(-4.22193292190685,-6.44651942694639,0.)); #46287=CARTESIAN_POINT('',(-4.13709619259156,-6.39247246967544,6.5)); #46288=CARTESIAN_POINT('',(-1.85298048693257,-4.93733028135681,6.5)); #46289=CARTESIAN_POINT('',(-4.13709619259156,-6.39247246967544,0.)); #46290=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,0.)); #46291=CARTESIAN_POINT('',(-1.50006253147967,-7.20244374704448,4.1)); #46292=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,4.1)); #46293=CARTESIAN_POINT('',(-1.50006253147967,-7.20244374704448,7.5)); #46294=CARTESIAN_POINT('',(-1.50006253147967,-7.20244374704448,0.)); #46295=CARTESIAN_POINT('',(-3.5445665994691,-7.20067853468291,7.5)); #46296=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,7.5)); #46297=CARTESIAN_POINT('Ctrl Pts',(-4.22193292190685,-6.44651942694639, 6.5)); #46298=CARTESIAN_POINT('Ctrl Pts',(-4.22193292190685,-6.44651942694639, 6.62641914219812)); #46299=CARTESIAN_POINT('Ctrl Pts',(-4.21099116208968,-6.46969631340785, 6.7611037834343)); #46300=CARTESIAN_POINT('Ctrl Pts',(-4.1612008608691,-6.56030708182591,7.0085561682473)); #46301=CARTESIAN_POINT('Ctrl Pts',(-4.12174969993969,-6.62735336095632, 7.12134406897099)); #46302=CARTESIAN_POINT('Ctrl Pts',(-4.01645521095564,-6.77552535492582, 7.30126205918688)); #46303=CARTESIAN_POINT('Ctrl Pts',(-3.94300415083145,-6.86642998876249, 7.37851096440782)); #46304=CARTESIAN_POINT('Ctrl Pts',(-3.76177048725448,-7.044456336478,7.47777700236001)); #46305=CARTESIAN_POINT('Ctrl Pts',(-3.65353004815415,-7.13099634871801, 7.5)); #46306=CARTESIAN_POINT('Ctrl Pts',(-3.5445665994691,-7.20067853468291,7.5)); #46307=CARTESIAN_POINT('Origin',(-1.31568087858576,-5.7807217271697,-6.5)); #46308=CARTESIAN_POINT('',(-4.13709619259156,-6.39247246967544,-6.5)); #46309=CARTESIAN_POINT('',(-1.85298048693257,-4.93733028135681,-6.5)); #46310=CARTESIAN_POINT('',(-3.45101994443191,-7.1410827427607,-7.5)); #46311=CARTESIAN_POINT('Ctrl Pts',(-4.13709619259155,-6.39247246967544, -6.5)); #46312=CARTESIAN_POINT('Ctrl Pts',(-4.13709619259155,-6.39247246967544, -6.62652089429075)); #46313=CARTESIAN_POINT('Ctrl Pts',(-4.12629097029266,-6.41578332594128, -6.76129490615908)); #46314=CARTESIAN_POINT('Ctrl Pts',(-4.07674662108206,-6.50670871646622, -7.00887440483934)); #46315=CARTESIAN_POINT('Ctrl Pts',(-4.03737100006191,-6.57391366177445, -7.12170236524351)); #46316=CARTESIAN_POINT('Ctrl Pts',(-3.93132154205585,-6.72219647843209, -7.30192288926024)); #46317=CARTESIAN_POINT('Ctrl Pts',(-3.85689643130422,-6.81303614000154, -7.37920653540259)); #46318=CARTESIAN_POINT('Ctrl Pts',(-3.67255643849487,-6.98925121899544, -7.47812556475689)); #46319=CARTESIAN_POINT('Ctrl Pts',(-3.56217137391205,-7.07399962948265, -7.5)); #46320=CARTESIAN_POINT('Ctrl Pts',(-3.45101994443191,-7.1410827427607,-7.5)); #46321=CARTESIAN_POINT('',(-1.31568087858576,-5.7807217271697,-7.5)); #46322=CARTESIAN_POINT('Origin',(0.741435705682217,-3.96926229198526,-7.5)); #46323=CARTESIAN_POINT('',(-1.55379249231435,-7.1181046024632,-7.5)); #46324=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,-7.5)); #46325=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,-7.5)); #46326=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,-7.5)); #46327=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,-7.5)); #46328=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,-7.5)); #46329=CARTESIAN_POINT('',(5.15435463671843,-1.32553541714873,-7.5)); #46330=CARTESIAN_POINT('',(3.73654098684332,-4.04913009793458,-7.5)); #46331=CARTESIAN_POINT('',(5.24305572003625,-1.37171027847223,-7.5)); #46332=CARTESIAN_POINT('',(4.00409243554186,-0.726746815721082,-7.5)); #46333=CARTESIAN_POINT('',(4.43112186565127,-2.93142070102861,-7.5)); #46334=CARTESIAN_POINT('',(4.43112186565127,-2.93142070102861,-7.5)); #46335=CARTESIAN_POINT('',(3.34701706867393,-4.11451348201995,-7.5)); #46336=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,-7.5)); #46337=CARTESIAN_POINT('',(-1.50006253147967,-7.20244374704448,-7.5)); #46338=CARTESIAN_POINT('Origin',(-1.31568087858576,-5.7807217271697,6.5)); #46339=CARTESIAN_POINT('',(-3.45101994443191,-7.1410827427607,7.5)); #46340=CARTESIAN_POINT('',(-1.31568087858576,-5.7807217271697,7.5)); #46341=CARTESIAN_POINT('Ctrl Pts',(-3.45101994443191,-7.1410827427607,7.5)); #46342=CARTESIAN_POINT('Ctrl Pts',(-3.56217137391205,-7.07399962948265, 7.5)); #46343=CARTESIAN_POINT('Ctrl Pts',(-3.67255643849487,-6.98925121899544, 7.47812556475689)); #46344=CARTESIAN_POINT('Ctrl Pts',(-3.85689643130422,-6.81303614000154, 7.37920653540259)); #46345=CARTESIAN_POINT('Ctrl Pts',(-3.93132154205585,-6.72219647843209, 7.30192288926024)); #46346=CARTESIAN_POINT('Ctrl Pts',(-4.03737100006191,-6.57391366177445, 7.12170236524351)); #46347=CARTESIAN_POINT('Ctrl Pts',(-4.07674662108206,-6.50670871646622, 7.00887440483934)); #46348=CARTESIAN_POINT('Ctrl Pts',(-4.12629097029266,-6.41578332594128, 6.76129490615908)); #46349=CARTESIAN_POINT('Ctrl Pts',(-4.13709619259156,-6.39247246967544, 6.62652089429075)); #46350=CARTESIAN_POINT('Ctrl Pts',(-4.13709619259156,-6.39247246967544, 6.5)); #46351=CARTESIAN_POINT('Origin',(0.741435705682217,-3.96926229198526,7.5)); #46352=CARTESIAN_POINT('',(3.34701706867393,-4.11451348201995,7.5)); #46353=CARTESIAN_POINT('',(-1.50006253147967,-7.20244374704448,7.5)); #46354=CARTESIAN_POINT('',(4.43112186565127,-2.93142070102861,7.5)); #46355=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,7.5)); #46356=CARTESIAN_POINT('',(5.24305572003625,-1.37171027847223,7.5)); #46357=CARTESIAN_POINT('',(4.43112186565127,-2.93142070102861,7.5)); #46358=CARTESIAN_POINT('',(5.15435463671843,-1.32553541714873,7.5)); #46359=CARTESIAN_POINT('',(4.00409243554186,-0.726746815721082,7.5)); #46360=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,7.5)); #46361=CARTESIAN_POINT('',(3.73654098684332,-4.04913009793458,7.5)); #46362=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,7.5)); #46363=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,7.5)); #46364=CARTESIAN_POINT('',(-1.55379249231435,-7.1181046024632,7.5)); #46365=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,7.5)); #46366=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,7.5)); #46367=CARTESIAN_POINT('Origin',(4.00409243554186,-0.726746815721082,6.5)); #46368=CARTESIAN_POINT('',(5.61610324995347,-0.43852458397051,6.5)); #46369=CARTESIAN_POINT('Origin',(5.15435463671843,-1.32553541714873,6.5)); #46370=CARTESIAN_POINT('',(5.70480433327129,-0.484699445294013,6.5)); #46371=CARTESIAN_POINT('Origin',(5.24305572003625,-1.37171027847223,6.5)); #46372=CARTESIAN_POINT('',(4.46584104877689,0.16026401745714,6.5)); #46373=CARTESIAN_POINT('Origin',(5.70480433327129,-0.484699445294013,0.)); #46374=CARTESIAN_POINT('',(5.70480433327129,-0.484699445294013,-6.5)); #46375=CARTESIAN_POINT('',(5.70480433327129,-0.484699445294013,0.)); #46376=CARTESIAN_POINT('',(5.61610324995347,-0.43852458397051,-6.5)); #46377=CARTESIAN_POINT('',(4.46584104877689,0.16026401745714,-6.5)); #46378=CARTESIAN_POINT('',(5.61610324995347,-0.43852458397051,0.)); #46379=CARTESIAN_POINT('Origin',(4.43112186565127,-2.93142070102861,0.)); #46380=CARTESIAN_POINT('',(4.43112185967103,-2.93142071251653,1.4324901004714)); #46381=CARTESIAN_POINT('',(4.43112186565127,-2.93142070102861,0.)); #46382=CARTESIAN_POINT('',(4.43112185967103,-2.93142071251653,-1.4324901004714)); #46383=CARTESIAN_POINT('Origin',(2.65726348592005,-6.33897094427027,0.)); #46384=CARTESIAN_POINT('',(4.43112186565127,-2.93142070102861,0.)); #46385=CARTESIAN_POINT('Origin',(5.24305572003625,-1.37171027847223,-6.5)); #46386=CARTESIAN_POINT('Origin',(4.00409243554186,-0.726746815721082,-6.5)); #46387=CARTESIAN_POINT('Origin',(5.15435463671843,-1.32553541714873,-6.5)); #46388=CARTESIAN_POINT('Origin',(1.41830020142566,-5.69400748151911,0.)); #46389=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,-1.43249006573903)); #46390=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,1.43249006573903)); #46391=CARTESIAN_POINT('Origin',(2.56856240260222,-6.29279608294676,0.)); #46392=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,-3.36554002654151)); #46393=CARTESIAN_POINT('Ctrl Pts',(3.29328710783925,-4.03017433743866,-3.36554002654151)); #46394=CARTESIAN_POINT('Ctrl Pts',(3.37088541871413,-3.98073876129138,-3.3101012511836)); #46395=CARTESIAN_POINT('Ctrl Pts',(3.4452742234227,-3.92825847565136,-3.2495532552364)); #46396=CARTESIAN_POINT('Ctrl Pts',(3.66888574816941,-3.75559622981225,-3.04168191867515)); #46397=CARTESIAN_POINT('Ctrl Pts',(3.79871349125124,-3.63060628689553,-2.87692576430146)); #46398=CARTESIAN_POINT('Ctrl Pts',(4.11521351763872,-3.28294319609245,-2.36170267024405)); #46399=CARTESIAN_POINT('Ctrl Pts',(4.25549003141996,-3.05114238379365,-1.92627895754951)); #46400=CARTESIAN_POINT('Ctrl Pts',(4.33987558357754,-2.89012698022817,-1.44718836732815)); #46401=CARTESIAN_POINT('Ctrl Pts',(4.34115470281527,-2.88767795583085,-1.43984327810017)); #46402=CARTESIAN_POINT('Ctrl Pts',(4.34242078233344,-2.8852458397051,-1.43249006573903)); #46403=CARTESIAN_POINT('Origin',(1.01287551271219,-5.48295674709468,0.)); #46404=CARTESIAN_POINT('',(3.34701706867393,-4.11451348201994,-3.39978245377261)); #46405=CARTESIAN_POINT('Origin',(1.11529870137411,-5.53627488432452,0.)); #46406=CARTESIAN_POINT('Ctrl Pts',(3.34701706867393,-4.11451348201995,-3.39978245377261)); #46407=CARTESIAN_POINT('Ctrl Pts',(3.42753256773678,-4.06321945203293,-3.34405481673629)); #46408=CARTESIAN_POINT('Ctrl Pts',(3.50475552987208,-4.00871881443615,-3.28298352164616)); #46409=CARTESIAN_POINT('Ctrl Pts',(3.73585227363295,-3.83012416319038,-3.07359008561896)); #46410=CARTESIAN_POINT('Ctrl Pts',(3.86990560472546,-3.70101151778061,-2.90711364447748)); #46411=CARTESIAN_POINT('Ctrl Pts',(4.19463838979677,-3.34411747684658,-2.3878913414689)); #46412=CARTESIAN_POINT('Ctrl Pts',(4.33869664682507,-3.10696866137609,-1.94881082805571)); #46413=CARTESIAN_POINT('Ctrl Pts',(4.42652791096872,-2.94022014725204,-1.45890212242067)); #46414=CARTESIAN_POINT('Ctrl Pts',(4.42884580249452,-2.93579297762798,-1.44570912102118)); #46415=CARTESIAN_POINT('Ctrl Pts',(4.43112185967103,-2.93142071251653,-1.4324901004714)); #46416=CARTESIAN_POINT('',(3.34701706867393,-4.11451348201994,3.39978245377261)); #46417=CARTESIAN_POINT('Ctrl Pts',(4.43112185966915,-2.93142071252015,1.43249010048234)); #46418=CARTESIAN_POINT('Ctrl Pts',(4.42884580249324,-2.93579297763042,1.44570912102846)); #46419=CARTESIAN_POINT('Ctrl Pts',(4.42652791096807,-2.94022014725328,1.4589021224243)); #46420=CARTESIAN_POINT('Ctrl Pts',(4.33869664682507,-3.10696866137609,1.94881082805571)); #46421=CARTESIAN_POINT('Ctrl Pts',(4.19463838979677,-3.34411747684658,2.3878913414689)); #46422=CARTESIAN_POINT('Ctrl Pts',(3.86990560472546,-3.70101151778061,2.90711364447748)); #46423=CARTESIAN_POINT('Ctrl Pts',(3.73585227363295,-3.83012416319038,3.07359008561896)); #46424=CARTESIAN_POINT('Ctrl Pts',(3.50475552987208,-4.00871881443615,3.28298352164616)); #46425=CARTESIAN_POINT('Ctrl Pts',(3.42753256773678,-4.06321945203293,3.34405481673629)); #46426=CARTESIAN_POINT('Ctrl Pts',(3.34701706867393,-4.11451348201995,3.39978245377261)); #46427=CARTESIAN_POINT('',(1.11529870137411,-5.53627488432452,4.1)); #46428=CARTESIAN_POINT('Origin',(1.11529870137411,-5.53627488432452,0.)); #46429=CARTESIAN_POINT('',(1.01287551271219,-5.48295674709468,4.1)); #46430=CARTESIAN_POINT('',(1.41830020142566,-5.69400748151911,4.1)); #46431=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,3.36554002654151)); #46432=CARTESIAN_POINT('Origin',(1.01287551271219,-5.48295674709468,0.)); #46433=CARTESIAN_POINT('Ctrl Pts',(4.34242078233344,-2.8852458397051,1.43249006573903)); #46434=CARTESIAN_POINT('Ctrl Pts',(4.34115470281527,-2.88767795583085,1.43984327810017)); #46435=CARTESIAN_POINT('Ctrl Pts',(4.33987558357754,-2.89012698022817,1.44718836732815)); #46436=CARTESIAN_POINT('Ctrl Pts',(4.25549003141996,-3.05114238379365,1.92627895754951)); #46437=CARTESIAN_POINT('Ctrl Pts',(4.11521351763872,-3.28294319609245,2.36170267024405)); #46438=CARTESIAN_POINT('Ctrl Pts',(3.79871349125124,-3.63060628689553,2.87692576430146)); #46439=CARTESIAN_POINT('Ctrl Pts',(3.66888574816941,-3.75559622981225,3.04168191867515)); #46440=CARTESIAN_POINT('Ctrl Pts',(3.4452742234227,-3.92825847565136,3.2495532552364)); #46441=CARTESIAN_POINT('Ctrl Pts',(3.37088541871413,-3.98073876129138,3.3101012511836)); #46442=CARTESIAN_POINT('Ctrl Pts',(3.29328710783925,-4.03017433743866,3.36554002654151)); #46443=CARTESIAN_POINT('Origin',(4.46173171686588,-2.65605166691606,0.)); #46444=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,0.)); #46445=CARTESIAN_POINT('Origin',(0.164249939138424,-5.07802583345803,4.1)); #46446=CARTESIAN_POINT('',(-1.55379249231435,-7.1181046024632,4.1)); #46447=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,4.1)); #46448=CARTESIAN_POINT('',(1.94301176074936,-4.89039460497114,4.1)); #46449=CARTESIAN_POINT('',(-0.426798078492762,-6.5186988820647,4.1)); #46450=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,0.)); #46451=CARTESIAN_POINT('',(3.34701706867393,-4.11451348201995,0.)); #46452=CARTESIAN_POINT('Origin',(-1.50006253147967,-7.20244374704448,0.)); #46453=CARTESIAN_POINT('Origin',(-4.13709619259156,-6.39247246967544,0.)); #46454=CARTESIAN_POINT('',(-4.13709619259156,-6.39247246967544,0.)); #46455=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,0.)); #46456=CARTESIAN_POINT('',(-1.55379249231435,-7.1181046024632,0.)); #46457=CARTESIAN_POINT('Origin',(3.29328710783925,-4.03017433743866,0.)); #46458=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,0.)); #46459=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,0.)); #46460=CARTESIAN_POINT('',(3.34701706867393,-4.11451348201995,0.)); #46461=CARTESIAN_POINT('Origin',(-1.50006253147967,-7.20244374704448,0.)); #46462=CARTESIAN_POINT('Origin',(-2.52093178733864,-5.6,0.)); #46463=CARTESIAN_POINT('',(-1.55379249231435,-7.1181046024632,0.)); #46464=CARTESIAN_POINT('Origin',(3.29328710783925,-4.03017433743866,0.)); #46465=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,0.)); #46466=CARTESIAN_POINT('Origin',(4.34242078233344,-2.8852458397051,0.)); #46467=CARTESIAN_POINT('',(5.61610324995347,-0.43852458397051,8.)); #46468=CARTESIAN_POINT('',(5.61610324995347,-0.43852458397051,0.)); #46469=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,8.)); #46470=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,8.)); #46471=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,0.)); #46472=CARTESIAN_POINT('Origin',(4.34242078233344,-2.8852458397051,0.)); #46473=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,-8.)); #46474=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,0.)); #46475=CARTESIAN_POINT('',(5.61610324995347,-0.43852458397051,-8.)); #46476=CARTESIAN_POINT('',(4.34242078233344,-2.8852458397051,-8.)); #46477=CARTESIAN_POINT('',(5.61610324995347,-0.43852458397051,0.)); #46478=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,0.)); #46479=CARTESIAN_POINT('',(1.68138828279878,-4.5,8.)); #46480=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,8.)); #46481=CARTESIAN_POINT('',(1.68138828279878,-4.5,2.86680757855615)); #46482=CARTESIAN_POINT('',(1.68138828279878,-4.5,0.)); #46483=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,0.44711550559089)); #46484=CARTESIAN_POINT('Ctrl Pts',(1.68138828279878,-4.5,2.86680757855615)); #46485=CARTESIAN_POINT('Ctrl Pts',(1.7307833079063,-4.5,2.83783729918841)); #46486=CARTESIAN_POINT('Ctrl Pts',(1.77882746428232,-4.498777718948,2.80793768067878)); #46487=CARTESIAN_POINT('Ctrl Pts',(2.28129888051797,-4.47465056112984,2.47772126715748)); #46488=CARTESIAN_POINT('Ctrl Pts',(2.6637414436177,-4.34901661151285,2.06170098527028)); #46489=CARTESIAN_POINT('Ctrl Pts',(3.0544825974505,-4.17115940902331,1.33824682937447)); #46490=CARTESIAN_POINT('Ctrl Pts',(3.15497172638437,-4.11432579030954,1.08038435776601)); #46491=CARTESIAN_POINT('Ctrl Pts',(3.25279302741771,-4.05576889778151,0.693210633138941)); #46492=CARTESIAN_POINT('Ctrl Pts',(3.27652609616667,-4.0408522795163,0.570570905740088)); #46493=CARTESIAN_POINT('Ctrl Pts',(3.29328710783925,-4.03017433743866,0.447115505590886)); #46494=CARTESIAN_POINT('',(3.29328710783925,-4.03017433743866,-0.44711550559089)); #46495=CARTESIAN_POINT('Ctrl Pts',(3.29328710783925,-4.03017433743866,0.447115505590886)); #46496=CARTESIAN_POINT('Ctrl Pts',(3.31343868924574,-4.01733636421634,0.298686425367302)); #46497=CARTESIAN_POINT('Ctrl Pts',(3.3235,-4.01067104739559,0.149072685605501)); #46498=CARTESIAN_POINT('Ctrl Pts',(3.3235,-4.01067104739559,-0.149072685605501)); #46499=CARTESIAN_POINT('Ctrl Pts',(3.31343868924574,-4.01733636421634,-0.298686425367302)); #46500=CARTESIAN_POINT('Ctrl Pts',(3.29328710783925,-4.03017433743866,-0.447115505590886)); #46501=CARTESIAN_POINT('',(1.68138828279878,-4.5,-2.86680757855615)); #46502=CARTESIAN_POINT('Ctrl Pts',(3.29328710783925,-4.03017433743866,-0.447115505590886)); #46503=CARTESIAN_POINT('Ctrl Pts',(3.27652609616667,-4.0408522795163,-0.570570905740087)); #46504=CARTESIAN_POINT('Ctrl Pts',(3.25279302741771,-4.05576889778151,-0.693210633138941)); #46505=CARTESIAN_POINT('Ctrl Pts',(3.15497172638437,-4.11432579030954,-1.08038435776601)); #46506=CARTESIAN_POINT('Ctrl Pts',(3.0544825974505,-4.17115940902331,-1.33824682937447)); #46507=CARTESIAN_POINT('Ctrl Pts',(2.6637414436177,-4.34901661151285,-2.06170098527028)); #46508=CARTESIAN_POINT('Ctrl Pts',(2.28129888051797,-4.47465056112984,-2.47772126715748)); #46509=CARTESIAN_POINT('Ctrl Pts',(1.77882746428232,-4.498777718948,-2.80793768067878)); #46510=CARTESIAN_POINT('Ctrl Pts',(1.7307833079063,-4.5,-2.83783729918841)); #46511=CARTESIAN_POINT('Ctrl Pts',(1.68138828279878,-4.5,-2.86680757855615)); #46512=CARTESIAN_POINT('',(1.68138828279878,-4.5,-8.)); #46513=CARTESIAN_POINT('',(1.68138828279878,-4.5,0.)); #46514=CARTESIAN_POINT('Origin',(1.68138828279877,-1.5,-8.)); #46515=CARTESIAN_POINT('Origin',(0.,-19.3455154763894,0.)); #46516=CARTESIAN_POINT('',(-3.3235,1.,4.07011363696623E-16)); #46517=CARTESIAN_POINT('Origin',(0.,1.,0.)); #46518=CARTESIAN_POINT('',(-3.3235,-4.01067104739559,2.38200151367848E-16)); #46519=CARTESIAN_POINT('',(-3.3235,-19.3455154763894,4.07011363696623E-16)); #46520=CARTESIAN_POINT('',(-1.68138828279878,-4.5,-2.86680757855615)); #46521=CARTESIAN_POINT('Ctrl Pts',(-1.68138828279878,-4.5,-2.86680757855615)); #46522=CARTESIAN_POINT('Ctrl Pts',(-1.7307833079063,-4.5,-2.83783729918841)); #46523=CARTESIAN_POINT('Ctrl Pts',(-1.77882746428233,-4.498777718948,-2.80793768067878)); #46524=CARTESIAN_POINT('Ctrl Pts',(-2.28129888051797,-4.47465056112983, -2.47772126715748)); #46525=CARTESIAN_POINT('Ctrl Pts',(-2.6637414436177,-4.34901661151284,-2.06170098527028)); #46526=CARTESIAN_POINT('Ctrl Pts',(-3.0544825974505,-4.17115940902331,-1.33824682937446)); #46527=CARTESIAN_POINT('Ctrl Pts',(-3.15497172638437,-4.11432579030954, -1.08038435776601)); #46528=CARTESIAN_POINT('Ctrl Pts',(-3.28951971868772,-4.03378390176438, -0.547847509749369)); #46529=CARTESIAN_POINT('Ctrl Pts',(-3.3235,-4.01067104739559,-0.273063402418006)); #46530=CARTESIAN_POINT('Ctrl Pts',(-3.3235,-4.01067104739559,6.93889390390723E-17)); #46531=CARTESIAN_POINT('',(-0.499999999999999,-4.5,-3.28567378934672)); #46532=CARTESIAN_POINT('Origin',(0.,-4.5,0.)); #46533=CARTESIAN_POINT('',(1.25261729344293E-33,-4.,-3.3235)); #46534=CARTESIAN_POINT('Origin',(0.,-4.,0.)); #46535=CARTESIAN_POINT('',(0.499999999999999,-4.5,-3.28567378934672)); #46536=CARTESIAN_POINT('Origin',(0.,-4.,0.)); #46537=CARTESIAN_POINT('Origin',(0.,-4.5,0.)); #46538=CARTESIAN_POINT('',(0.499999999999999,-4.5,3.28567378934672)); #46539=CARTESIAN_POINT('Origin',(0.,-4.5,0.)); #46540=CARTESIAN_POINT('',(1.25261729344293E-33,-4.,3.3235)); #46541=CARTESIAN_POINT('Origin',(0.,-4.,0.)); #46542=CARTESIAN_POINT('',(-0.499999999999999,-4.5,3.28567378934672)); #46543=CARTESIAN_POINT('Origin',(0.,-4.,0.)); #46544=CARTESIAN_POINT('',(-1.68138828279878,-4.5,2.86680757855615)); #46545=CARTESIAN_POINT('Origin',(0.,-4.5,0.)); #46546=CARTESIAN_POINT('Ctrl Pts',(-3.3235,-4.01067104739559,6.93889390390723E-17)); #46547=CARTESIAN_POINT('Ctrl Pts',(-3.3235,-4.01067104739559,0.273063402418006)); #46548=CARTESIAN_POINT('Ctrl Pts',(-3.28951971868772,-4.03378390176438, 0.547847509749369)); #46549=CARTESIAN_POINT('Ctrl Pts',(-3.15497172638437,-4.11432579030954, 1.08038435776601)); #46550=CARTESIAN_POINT('Ctrl Pts',(-3.0544825974505,-4.17115940902331,1.33824682937446)); #46551=CARTESIAN_POINT('Ctrl Pts',(-2.6637414436177,-4.34901661151284,2.06170098527028)); #46552=CARTESIAN_POINT('Ctrl Pts',(-2.28129888051797,-4.47465056112983, 2.47772126715748)); #46553=CARTESIAN_POINT('Ctrl Pts',(-1.77882746428233,-4.498777718948,2.80793768067878)); #46554=CARTESIAN_POINT('Ctrl Pts',(-1.7307833079063,-4.5,2.83783729918841)); #46555=CARTESIAN_POINT('Ctrl Pts',(-1.68138828279878,-4.5,2.86680757855615)); #46556=CARTESIAN_POINT('Origin',(-1.68138828279878,-1.5,0.)); #46557=CARTESIAN_POINT('',(-1.68138828279877,-4.5,8.)); #46558=CARTESIAN_POINT('',(-1.68138828279878,-4.5,0.)); #46559=CARTESIAN_POINT('',(-4.34242078233344,-2.8852458397051,8.)); #46560=CARTESIAN_POINT('Origin',(-1.68138828279878,-1.5,8.)); #46561=CARTESIAN_POINT('',(-4.34242078233344,-2.8852458397051,-8.)); #46562=CARTESIAN_POINT('',(-4.34242078233344,-2.8852458397051,0.)); #46563=CARTESIAN_POINT('',(-1.68138828279877,-4.5,-8.)); #46564=CARTESIAN_POINT('Origin',(-1.68138828279878,-1.5,-8.)); #46565=CARTESIAN_POINT('',(-1.68138828279878,-4.5,0.)); #46566=CARTESIAN_POINT('Origin',(-1.68138828279878,-4.5,0.)); #46567=CARTESIAN_POINT('',(-0.499999999999999,-4.5,8.)); #46568=CARTESIAN_POINT('',(-0.499999999999999,-4.5,0.)); #46569=CARTESIAN_POINT('',(-1.68138828279878,-4.5,8.)); #46570=CARTESIAN_POINT('Origin',(-0.499999999999999,-4.5,0.)); #46571=CARTESIAN_POINT('',(0.,-4.,8.)); #46572=CARTESIAN_POINT('',(1.25261729344293E-33,-4.,0.)); #46573=CARTESIAN_POINT('',(-0.499999999999999,-4.5,8.)); #46574=CARTESIAN_POINT('Origin',(1.25261729344293E-33,-4.,0.)); #46575=CARTESIAN_POINT('',(0.499999999999999,-4.5,8.)); #46576=CARTESIAN_POINT('',(0.499999999999999,-4.5,0.)); #46577=CARTESIAN_POINT('',(1.25261729344293E-33,-4.,8.)); #46578=CARTESIAN_POINT('Origin',(0.499999999999999,-4.5,0.)); #46579=CARTESIAN_POINT('',(0.499999999999999,-4.5,8.)); #46580=CARTESIAN_POINT('Origin',(-4.83,5.23852944873328E-31,-8.)); #46581=CARTESIAN_POINT('',(-5.12,5.23852944873328E-31,-8.)); #46582=CARTESIAN_POINT('',(-4.83,0.183544303797468,-7.81645569620253)); #46583=CARTESIAN_POINT('Origin',(-4.83,-0.253465943339362,-8.25346594333936)); #46584=CARTESIAN_POINT('',(-5.29,-2.9738116731025E-18,-8.)); #46585=CARTESIAN_POINT('',(-2.415,3.46944695195361E-16,-8.)); #46586=CARTESIAN_POINT('',(-4.37,4.83409608638869E-16,-8.)); #46587=CARTESIAN_POINT('Origin',(-4.83,-0.395144724556487,-8.39514472455649)); #46588=CARTESIAN_POINT('',(-4.54,5.23852944873328E-31,-8.)); #46589=CARTESIAN_POINT('',(-2.415,3.46944695195361E-16,-8.)); #46590=CARTESIAN_POINT('Origin',(-4.83,-0.253465943339362,-8.25346594333936)); #46591=CARTESIAN_POINT('Origin',(-4.83,4.49746024440099E-16,-7.75)); #46592=CARTESIAN_POINT('',(-4.83,4.85722573273506E-16,-7.5)); #46593=CARTESIAN_POINT('',(-4.83,0.145,-7.75)); #46594=CARTESIAN_POINT('Origin',(-4.83,7.32510025885389E-31,-8.)); #46595=CARTESIAN_POINT('Origin',(-4.83,9.1667707681742E-31,-8.)); #46596=CARTESIAN_POINT('',(-4.83,-8.32667268468867E-16,-7.2)); #46597=CARTESIAN_POINT('',(-5.29,-2.97381167310299E-18,-8.)); #46598=CARTESIAN_POINT('',(-4.37,4.83409608638869E-16,-8.)); #46599=CARTESIAN_POINT('Origin',(0.,-1.75,-8.)); #46600=CARTESIAN_POINT('',(-4.3,5.55111512312578E-16,-8.)); #46601=CARTESIAN_POINT('',(-4.3,5.20417042793042E-16,-8.)); #46602=CARTESIAN_POINT('',(-4.,0.300000000000001,-8.)); #46603=CARTESIAN_POINT('Origin',(-4.3,0.300000000000001,-8.)); #46604=CARTESIAN_POINT('',(-4.,0.700000000000001,-8.)); #46605=CARTESIAN_POINT('',(-4.,0.700000000000001,-8.)); #46606=CARTESIAN_POINT('',(-3.7,1.,-8.)); #46607=CARTESIAN_POINT('Origin',(-3.7,0.7,-8.)); #46608=CARTESIAN_POINT('',(3.7,1.,-8.)); #46609=CARTESIAN_POINT('',(3.7,1.,-8.)); #46610=CARTESIAN_POINT('',(4.,0.700000000000001,-8.)); #46611=CARTESIAN_POINT('Origin',(3.7,0.7,-8.)); #46612=CARTESIAN_POINT('',(4.,0.300000000000001,-8.)); #46613=CARTESIAN_POINT('',(4.,0.300000000000001,-8.)); #46614=CARTESIAN_POINT('',(4.3,4.85722573273506E-16,-8.)); #46615=CARTESIAN_POINT('Origin',(4.3,0.300000000000001,-8.)); #46616=CARTESIAN_POINT('',(4.36,4.55984456542473E-16,-8.)); #46617=CARTESIAN_POINT('',(5.35,-3.46944695195361E-17,-8.)); #46618=CARTESIAN_POINT('',(4.53,5.23852944873328E-31,-8.)); #46619=CARTESIAN_POINT('',(2.41,3.46944695195361E-16,-8.)); #46620=CARTESIAN_POINT('',(5.11,5.23852944873328E-31,-8.)); #46621=CARTESIAN_POINT('Origin',(4.82,7.32510025885389E-31,-8.)); #46622=CARTESIAN_POINT('',(5.28,3.69778549322349E-31,-8.)); #46623=CARTESIAN_POINT('',(2.41,3.46944695195361E-16,-8.)); #46624=CARTESIAN_POINT('',(5.35,0.,-8.)); #46625=CARTESIAN_POINT('',(5.35,-3.46944695195361E-17,-8.)); #46626=CARTESIAN_POINT('Origin',(5.35,-0.3,-8.)); #46627=CARTESIAN_POINT('',(0.499999999999999,-4.5,-8.)); #46628=CARTESIAN_POINT('',(0.499999999999999,-4.5,-8.)); #46629=CARTESIAN_POINT('',(0.,-4.,-8.)); #46630=CARTESIAN_POINT('',(1.25261729344293E-33,-4.,-8.)); #46631=CARTESIAN_POINT('',(-0.499999999999999,-4.5,-8.)); #46632=CARTESIAN_POINT('',(-0.499999999999999,-4.5,-8.)); #46633=CARTESIAN_POINT('',(-1.68138828279878,-4.5,-8.)); #46634=CARTESIAN_POINT('',(-5.61610324995347,-0.43852458397051,-8.)); #46635=CARTESIAN_POINT('',(-5.61610324995347,-0.43852458397051,-8.)); #46636=CARTESIAN_POINT('',(-5.35,0.,-8.)); #46637=CARTESIAN_POINT('Origin',(-5.35,-0.3,-8.)); #46638=CARTESIAN_POINT('',(-4.3,5.20417042793042E-16,-8.)); #46639=CARTESIAN_POINT('Origin',(-4.3,5.20417042793042E-16,0.)); #46640=CARTESIAN_POINT('',(-5.35,0.,8.)); #46641=CARTESIAN_POINT('',(-5.35,-3.46944695195361E-17,0.)); #46642=CARTESIAN_POINT('',(-5.29,-2.9738116731025E-18,8.)); #46643=CARTESIAN_POINT('',(-4.3,5.20417042793042E-16,8.)); #46644=CARTESIAN_POINT('',(-4.83,-8.32667268468867E-16,7.2)); #46645=CARTESIAN_POINT('',(-5.29,-2.97381167310299E-18,8.)); #46646=CARTESIAN_POINT('',(-4.37,4.83409608638869E-16,8.)); #46647=CARTESIAN_POINT('',(-4.37,4.83409608638869E-16,8.)); #46648=CARTESIAN_POINT('',(-4.3,5.55111512312578E-16,8.)); #46649=CARTESIAN_POINT('',(-4.3,5.20417042793042E-16,8.)); #46650=CARTESIAN_POINT('',(-4.3,5.20417042793042E-16,0.)); #46651=CARTESIAN_POINT('Origin',(4.82,5.23852944873328E-31,-8.)); #46652=CARTESIAN_POINT('',(4.82,0.183544303797468,-7.81645569620253)); #46653=CARTESIAN_POINT('Origin',(4.82,-0.253465943339362,-8.25346594333936)); #46654=CARTESIAN_POINT('Origin',(4.82,-0.395144724556487,-8.39514472455649)); #46655=CARTESIAN_POINT('Origin',(4.82,-0.253465943339362,-8.25346594333936)); #46656=CARTESIAN_POINT('Origin',(4.82,4.49746024440099E-16,-7.75)); #46657=CARTESIAN_POINT('',(4.82,4.85722573273506E-16,-7.5)); #46658=CARTESIAN_POINT('',(4.82,0.145,-7.75)); #46659=CARTESIAN_POINT('Origin',(4.82,9.1667707681742E-31,-8.)); #46660=CARTESIAN_POINT('',(4.82,-8.32667268468867E-16,-7.2)); #46661=CARTESIAN_POINT('',(4.36,4.55984456542474E-16,-8.)); #46662=CARTESIAN_POINT('',(5.28,4.93038065763132E-31,-8.)); #46663=CARTESIAN_POINT('Origin',(-4.83,5.23852944873328E-31,8.)); #46664=CARTESIAN_POINT('Origin',(-4.83,-0.395144724556487,8.39514472455649)); #46665=CARTESIAN_POINT('',(-5.12,5.54667823983524E-31,8.)); #46666=CARTESIAN_POINT('',(-2.415,3.46944695195361E-16,8.)); #46667=CARTESIAN_POINT('',(-4.83,0.183544303797468,7.81645569620253)); #46668=CARTESIAN_POINT('Origin',(-4.83,-0.253465943339362,8.25346594333936)); #46669=CARTESIAN_POINT('',(-4.54,5.54667823983524E-31,8.)); #46670=CARTESIAN_POINT('Origin',(-4.83,-0.253465943339362,8.25346594333936)); #46671=CARTESIAN_POINT('',(-2.415,3.46944695195361E-16,8.)); #46672=CARTESIAN_POINT('Origin',(-4.83,9.1667707681742E-31,8.)); #46673=CARTESIAN_POINT('Origin',(-4.83,4.49746024440099E-16,7.75)); #46674=CARTESIAN_POINT('',(-4.83,4.85722573273506E-16,7.5)); #46675=CARTESIAN_POINT('',(-4.83,0.145,7.75)); #46676=CARTESIAN_POINT('Origin',(-4.83,7.32510025885389E-31,8.)); #46677=CARTESIAN_POINT('Origin',(4.82,5.23852944873328E-31,8.)); #46678=CARTESIAN_POINT('',(4.36,4.55984456542474E-16,8.)); #46679=CARTESIAN_POINT('',(5.28,5.23852944873328E-31,8.)); #46680=CARTESIAN_POINT('Origin',(4.82,-0.395144724556487,8.39514472455649)); #46681=CARTESIAN_POINT('',(4.53,5.54667823983524E-31,8.)); #46682=CARTESIAN_POINT('',(2.41,3.46944695195361E-16,8.)); #46683=CARTESIAN_POINT('',(4.82,0.183544303797468,7.81645569620253)); #46684=CARTESIAN_POINT('Origin',(4.82,-0.253465943339362,8.25346594333936)); #46685=CARTESIAN_POINT('',(5.11,5.54667823983524E-31,8.)); #46686=CARTESIAN_POINT('Origin',(4.82,-0.253465943339362,8.25346594333936)); #46687=CARTESIAN_POINT('',(2.41,3.46944695195361E-16,8.)); #46688=CARTESIAN_POINT('Origin',(4.82,9.1667707681742E-31,8.)); #46689=CARTESIAN_POINT('',(4.82,-8.32667268468867E-16,7.2)); #46690=CARTESIAN_POINT('',(5.28,4.93038065763132E-31,8.)); #46691=CARTESIAN_POINT('',(4.36,4.55984456542474E-16,8.)); #46692=CARTESIAN_POINT('Origin',(5.35,-3.46944695195361E-17,0.)); #46693=CARTESIAN_POINT('',(4.3,4.85722573273506E-16,8.)); #46694=CARTESIAN_POINT('',(4.3,4.85722573273506E-16,0.)); #46695=CARTESIAN_POINT('',(5.35,-3.46944695195361E-17,8.)); #46696=CARTESIAN_POINT('',(5.35,0.,8.)); #46697=CARTESIAN_POINT('',(5.35,-3.46944695195361E-17,8.)); #46698=CARTESIAN_POINT('',(5.35,-3.46944695195361E-17,0.)); #46699=CARTESIAN_POINT('Origin',(4.82,4.49746024440099E-16,7.75)); #46700=CARTESIAN_POINT('',(4.82,4.85722573273506E-16,7.5)); #46701=CARTESIAN_POINT('',(4.82,0.145,7.75)); #46702=CARTESIAN_POINT('Origin',(4.82,7.32510025885389E-31,8.)); #46703=CARTESIAN_POINT('Origin',(0.499999999999999,-4.5,0.)); #46704=CARTESIAN_POINT('',(0.499999999999999,-4.5,0.)); #46705=CARTESIAN_POINT('Origin',(1.25261729344293E-33,-4.,0.)); #46706=CARTESIAN_POINT('',(1.25261729344293E-33,-4.,0.)); #46707=CARTESIAN_POINT('Origin',(-0.499999999999999,-4.5,0.)); #46708=CARTESIAN_POINT('',(-0.499999999999999,-4.5,0.)); #46709=CARTESIAN_POINT('Origin',(-1.68138828279878,-4.5,0.)); #46710=CARTESIAN_POINT('Origin',(-5.61610324995347,-0.43852458397051,0.)); #46711=CARTESIAN_POINT('',(-5.61610324995347,-0.43852458397051,8.)); #46712=CARTESIAN_POINT('',(-5.61610324995347,-0.43852458397051,8.)); #46713=CARTESIAN_POINT('',(-5.61610324995347,-0.43852458397051,0.)); #46714=CARTESIAN_POINT('Origin',(-5.35,-0.3,0.)); #46715=CARTESIAN_POINT('Origin',(-5.35,-0.3,8.)); #46716=CARTESIAN_POINT('Origin',(-4.3,0.300000000000001,0.)); #46717=CARTESIAN_POINT('',(-4.,0.300000000000001,8.)); #46718=CARTESIAN_POINT('Origin',(-4.3,0.300000000000001,8.)); #46719=CARTESIAN_POINT('',(-4.,0.300000000000001,0.)); #46720=CARTESIAN_POINT('Origin',(-4.,0.700000000000001,0.)); #46721=CARTESIAN_POINT('',(-4.,0.700000000000001,8.)); #46722=CARTESIAN_POINT('',(-4.,0.700000000000001,8.)); #46723=CARTESIAN_POINT('',(-4.,0.700000000000001,0.)); #46724=CARTESIAN_POINT('Origin',(-3.7,0.7,0.)); #46725=CARTESIAN_POINT('',(-3.7,1.,8.)); #46726=CARTESIAN_POINT('Origin',(-3.7,0.7,8.)); #46727=CARTESIAN_POINT('',(-3.7,1.,0.)); #46728=CARTESIAN_POINT('Origin',(3.7,1.,0.)); #46729=CARTESIAN_POINT('',(3.7,1.,8.)); #46730=CARTESIAN_POINT('',(3.7,1.,8.)); #46731=CARTESIAN_POINT('',(3.7,1.,0.)); #46732=CARTESIAN_POINT('Origin',(3.7,0.7,0.)); #46733=CARTESIAN_POINT('',(4.,0.700000000000001,8.)); #46734=CARTESIAN_POINT('Origin',(3.7,0.7,8.)); #46735=CARTESIAN_POINT('',(4.,0.700000000000001,0.)); #46736=CARTESIAN_POINT('Origin',(4.,0.300000000000001,0.)); #46737=CARTESIAN_POINT('',(4.,0.300000000000001,8.)); #46738=CARTESIAN_POINT('',(4.,0.300000000000001,8.)); #46739=CARTESIAN_POINT('',(4.,0.300000000000001,0.)); #46740=CARTESIAN_POINT('Origin',(4.3,0.300000000000001,0.)); #46741=CARTESIAN_POINT('Origin',(4.3,0.300000000000001,8.)); #46742=CARTESIAN_POINT('Origin',(5.35,-0.3,0.)); #46743=CARTESIAN_POINT('Origin',(5.35,-0.3,8.)); #46744=CARTESIAN_POINT('Origin',(0.,-1.75,8.)); #46745=CARTESIAN_POINT('',(0.,0.,0.)); #46746=CARTESIAN_POINT('Origin',(62.,0.,-267.491617206896)); #46747=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,8.75)); #46748=CARTESIAN_POINT('Origin',(62.,0.,8.75)); #46749=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,-8.75)); #46750=CARTESIAN_POINT('',(56.8,-6.36816335556624E-16,-267.491617206896)); #46751=CARTESIAN_POINT('Origin',(62.,0.,-8.75)); #46752=CARTESIAN_POINT('Origin',(-130.,62.,-267.491617206896)); #46753=CARTESIAN_POINT('',(-135.2,62.,8.75)); #46754=CARTESIAN_POINT('Origin',(-130.,62.,8.75)); #46755=CARTESIAN_POINT('',(-135.2,62.,-8.75)); #46756=CARTESIAN_POINT('',(-135.2,62.,-267.491617206896)); #46757=CARTESIAN_POINT('Origin',(-130.,62.,-8.75)); #46758=CARTESIAN_POINT('Origin',(-30.,62.,-267.491617206896)); #46759=CARTESIAN_POINT('',(-35.2,62.,8.75)); #46760=CARTESIAN_POINT('Origin',(-30.,62.,8.75)); #46761=CARTESIAN_POINT('',(-35.2,62.,-8.75)); #46762=CARTESIAN_POINT('',(-35.2,62.,-267.491617206896)); #46763=CARTESIAN_POINT('Origin',(-30.,62.,-8.75)); #46764=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,-267.491617206896)); #46765=CARTESIAN_POINT('',(38.640620433566,43.840620433566,8.75)); #46766=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,8.75)); #46767=CARTESIAN_POINT('',(38.640620433566,43.840620433566,-8.75)); #46768=CARTESIAN_POINT('',(38.640620433566,43.840620433566,-267.491617206896)); #46769=CARTESIAN_POINT('Origin',(43.840620433566,43.840620433566,-8.75)); #46770=CARTESIAN_POINT('Origin',(-54.3429065081804,55.8160874088899,-8.75)); #46771=CARTESIAN_POINT('',(54.,0.,-8.75)); #46772=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,-8.75)); #46773=CARTESIAN_POINT('Origin',(0.,0.,-8.75)); #46774=CARTESIAN_POINT('',(-165.,54.,-8.75)); #46775=CARTESIAN_POINT('',(-165.,54.,-8.75)); #46776=CARTESIAN_POINT('',(-173.,58.,-8.75)); #46777=CARTESIAN_POINT('Origin',(-173.,48.,-8.75)); #46778=CARTESIAN_POINT('',(-190.,58.,-8.75)); #46779=CARTESIAN_POINT('',(-190.,58.,-8.75)); #46780=CARTESIAN_POINT('',(-190.,76.9999999999999,-8.75)); #46781=CARTESIAN_POINT('',(-190.,76.9999999999999,-8.75)); #46782=CARTESIAN_POINT('',(-85.908759848747,77.,-8.75)); #46783=CARTESIAN_POINT('',(-85.908759848747,77.,-8.75)); #46784=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,-8.75)); #46785=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,-8.75)); #46786=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,-8.75)); #46787=CARTESIAN_POINT('Origin',(0.,0.,-8.75)); #46788=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,-8.75)); #46789=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,-8.75)); #46790=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274765,-8.75)); #46791=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,-8.75)); #46792=CARTESIAN_POINT('',(69.0836688259826,-10.,-8.75)); #46793=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,-8.75)); #46794=CARTESIAN_POINT('',(64.,-10.,-8.75)); #46795=CARTESIAN_POINT('',(64.,-10.,-8.75)); #46796=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,-8.75)); #46797=CARTESIAN_POINT('Origin',(-165.,54.,0.)); #46798=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,8.75)); #46799=CARTESIAN_POINT('',(-6.61309271539571E-15,54.,0.)); #46800=CARTESIAN_POINT('',(-165.,54.,8.75)); #46801=CARTESIAN_POINT('',(-165.,54.,8.75)); #46802=CARTESIAN_POINT('',(-165.,54.,0.)); #46803=CARTESIAN_POINT('Origin',(-173.,48.,0.)); #46804=CARTESIAN_POINT('',(-173.,58.,8.75)); #46805=CARTESIAN_POINT('Origin',(-173.,48.,8.75)); #46806=CARTESIAN_POINT('',(-173.,58.,0.)); #46807=CARTESIAN_POINT('Origin',(-190.,58.,0.)); #46808=CARTESIAN_POINT('',(-190.,58.,8.75)); #46809=CARTESIAN_POINT('',(-190.,58.,8.75)); #46810=CARTESIAN_POINT('',(-190.,58.,0.)); #46811=CARTESIAN_POINT('Origin',(-190.,76.9999999999999,0.)); #46812=CARTESIAN_POINT('',(-190.,76.9999999999999,8.75)); #46813=CARTESIAN_POINT('',(-190.,76.9999999999999,8.75)); #46814=CARTESIAN_POINT('',(-190.,76.9999999999999,0.)); #46815=CARTESIAN_POINT('Origin',(-85.908759848747,77.,0.)); #46816=CARTESIAN_POINT('',(-85.908759848747,77.,8.75)); #46817=CARTESIAN_POINT('',(-85.908759848747,77.,8.75)); #46818=CARTESIAN_POINT('',(-85.908759848747,77.,0.)); #46819=CARTESIAN_POINT('Origin',(5.16197905706509,73.819739719227,0.)); #46820=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,8.75)); #46821=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,8.75)); #46822=CARTESIAN_POINT('',(5.16197905706509,73.819739719227,0.)); #46823=CARTESIAN_POINT('Origin',(0.,0.,0.)); #46824=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,8.75)); #46825=CARTESIAN_POINT('Origin',(0.,0.,8.75)); #46826=CARTESIAN_POINT('',(66.59776692033,32.2604625079275,0.)); #46827=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,0.)); #46828=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,8.75)); #46829=CARTESIAN_POINT('Origin',(21.5992757579449,10.4628527052738,8.75)); #46830=CARTESIAN_POINT('',(71.4090106625322,6.10506556789085,0.)); #46831=CARTESIAN_POINT('Origin',(70.0798635240743,-9.08715574274766,0.)); #46832=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274765,8.75)); #46833=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,8.75)); #46834=CARTESIAN_POINT('',(70.0798635240743,-9.08715574274766,0.)); #46835=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,0.)); #46836=CARTESIAN_POINT('',(69.0836688259826,-10.,8.75)); #46837=CARTESIAN_POINT('Origin',(69.0836688259826,-9.,8.75)); #46838=CARTESIAN_POINT('',(69.0836688259826,-10.,0.)); #46839=CARTESIAN_POINT('Origin',(64.,-10.,0.)); #46840=CARTESIAN_POINT('',(64.,-10.,8.75)); #46841=CARTESIAN_POINT('',(64.,-10.,8.75)); #46842=CARTESIAN_POINT('',(64.,-10.,0.)); #46843=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,0.)); #46844=CARTESIAN_POINT('',(54.,0.,8.75)); #46845=CARTESIAN_POINT('Origin',(64.,-3.33066907387547E-15,8.75)); #46846=CARTESIAN_POINT('',(54.,4.97899625051478E-16,0.)); #46847=CARTESIAN_POINT('Origin',(0.,0.,0.)); #46848=CARTESIAN_POINT('Origin',(0.,0.,8.75)); #46849=CARTESIAN_POINT('Origin',(-54.3429065081804,55.8160874088899,8.75)); #46850=CARTESIAN_POINT('',(0.,0.,0.)); #46851=CARTESIAN_POINT('Origin',(10.6917241644856,9.5,-6.08689656459914)); #46852=CARTESIAN_POINT('',(9.97026527671778,10.0000000000001,-6.08689656459914)); #46853=CARTESIAN_POINT('',(10.6917241644856,10.0000000000001,-6.08689656459914)); #46854=CARTESIAN_POINT('',(28.9708620822416,10.0000000000001,-6.08689656459916)); #46855=CARTESIAN_POINT('',(9.97026527671778,9.5,-6.08689656459914)); #46856=CARTESIAN_POINT('',(9.97026527671778,9.5,-6.08689656459914)); #46857=CARTESIAN_POINT('',(10.6917241644856,9.5,-6.08689656459914)); #46858=CARTESIAN_POINT('',(9.97026527671778,9.5,-6.08689656459914)); #46859=CARTESIAN_POINT('',(10.6917241644856,9.5,-6.08689656459914)); #46860=CARTESIAN_POINT('Origin',(9.43834219844848,9.5,-0.91440318280722)); #46861=CARTESIAN_POINT('',(9.43834219844848,10.0000000000001,-0.91440318280722)); #46862=CARTESIAN_POINT('',(11.2691342996518,10.0000000000001,-8.4697696102126)); #46863=CARTESIAN_POINT('',(9.43834219844848,9.5,-0.91440318280722)); #46864=CARTESIAN_POINT('',(10.6917241644856,9.5,-6.08689656459914)); #46865=CARTESIAN_POINT('',(9.43834219844848,9.5,-0.91440318280722)); #46866=CARTESIAN_POINT('Origin',(8.73522548579376,9.5,-0.91440318280722)); #46867=CARTESIAN_POINT('',(8.73522548579376,10.0000000000001,-0.91440318280722)); #46868=CARTESIAN_POINT('',(27.9926127428958,10.0000000000001,-0.91440318280722)); #46869=CARTESIAN_POINT('',(8.73522548579376,9.5,-0.91440318280722)); #46870=CARTESIAN_POINT('',(9.43834219844848,9.5,-0.91440318280722)); #46871=CARTESIAN_POINT('',(8.73522548579376,9.5,-0.91440318280722)); #46872=CARTESIAN_POINT('Origin',(9.97026527671778,9.5,-6.08689656459914)); #46873=CARTESIAN_POINT('',(11.1634745560045,10.0000000000001,-11.0841987936894)); #46874=CARTESIAN_POINT('',(8.73522548579376,9.5,-0.91440318280722)); #46875=CARTESIAN_POINT('Origin',(9.709961713751,9.5,-3.50458180425174)); #46876=CARTESIAN_POINT('Origin',(8.19107429078258,9.5,-3.27442971397942)); #46877=CARTESIAN_POINT('',(8.16661805729882,10.0000000000001,-3.29888594746306)); #46878=CARTESIAN_POINT('',(8.19107429078258,10.0000000000001,-3.27442971397942)); #46879=CARTESIAN_POINT('',(16.8369131465815,10.0000000000001,5.37140914181913)); #46880=CARTESIAN_POINT('',(8.16661805729882,9.5,-3.29888594746306)); #46881=CARTESIAN_POINT('',(8.16661805729882,9.5,-3.29888594746306)); #46882=CARTESIAN_POINT('',(8.19107429078258,9.5,-3.27442971397942)); #46883=CARTESIAN_POINT('',(8.19107429078258,9.5,-3.27442971397942)); #46884=CARTESIAN_POINT('',(8.19107429078258,9.5,-3.27442971397942)); #46885=CARTESIAN_POINT('Origin',(8.37449604190988,9.5,-2.94427056195012)); #46886=CARTESIAN_POINT('',(8.37449604190988,10.0000000000001,-2.94427056195012)); #46887=CARTESIAN_POINT('',(11.9387874298332,10.0000000000001,3.47145393631249)); #46888=CARTESIAN_POINT('',(8.37449604190988,9.5,-2.94427056195012)); #46889=CARTESIAN_POINT('',(8.37449604190988,9.5,-2.94427056195012)); #46890=CARTESIAN_POINT('',(8.37449604190988,9.5,-2.94427056195012)); #46891=CARTESIAN_POINT('Origin',(8.44175068399,9.5,-2.54685676784082)); #46892=CARTESIAN_POINT('',(8.44175068399,10.0000000000001,-2.54685676784082)); #46893=CARTESIAN_POINT('',(8.55398615985814,10.0000000000001,-1.88364713771205)); #46894=CARTESIAN_POINT('',(8.44175068399,9.5,-2.54685676784082)); #46895=CARTESIAN_POINT('',(8.44175068399,9.5,-2.54685676784082)); #46896=CARTESIAN_POINT('',(8.44175068399,9.5,-2.54685676784082)); #46897=CARTESIAN_POINT('Origin',(8.44786474236071,9.5,-2.50405835924447)); #46898=CARTESIAN_POINT('',(8.44786474236071,10.0000000000001,-2.50405835924447)); #46899=CARTESIAN_POINT('',(8.46867018008288,10.0000000000001,-2.35842029519127)); #46900=CARTESIAN_POINT('',(8.44786474236071,9.5,-2.50405835924447)); #46901=CARTESIAN_POINT('',(8.44786474236071,9.5,-2.50405835924447)); #46902=CARTESIAN_POINT('',(8.44786474236071,9.5,-2.50405835924447)); #46903=CARTESIAN_POINT('Origin',(8.39895227539364,9.5,-2.11275862350605)); #46904=CARTESIAN_POINT('',(8.39895227539364,10.0000000000001,-2.11275862350605)); #46905=CARTESIAN_POINT('',(9.04471365029031,10.0000000000001,-7.27884962267884)); #46906=CARTESIAN_POINT('',(8.39895227539364,9.5,-2.11275862350605)); #46907=CARTESIAN_POINT('',(8.39895227539364,9.5,-2.11275862350605)); #46908=CARTESIAN_POINT('',(8.39895227539364,9.5,-2.11275862350605)); #46909=CARTESIAN_POINT('Origin',(8.2583289328627,9.5,-1.75814323799311)); #46910=CARTESIAN_POINT('',(8.2583289328627,10.0000000000001,-1.75814323799311)); #46911=CARTESIAN_POINT('',(11.9341227827258,10.0000000000001,-11.0275364246042)); #46912=CARTESIAN_POINT('',(8.2583289328627,9.5,-1.75814323799311)); #46913=CARTESIAN_POINT('',(8.2583289328627,9.5,-1.75814323799311)); #46914=CARTESIAN_POINT('',(8.2583289328627,9.5,-1.75814323799311)); #46915=CARTESIAN_POINT('Origin',(8.02599471476788,9.5,-1.44021220270569)); #46916=CARTESIAN_POINT('',(8.02599471476788,10.0000000000001,-1.44021220270569)); #46917=CARTESIAN_POINT('',(16.356226672257,10.0000000000001,-12.8394769866378)); #46918=CARTESIAN_POINT('',(8.02599471476788,9.5,-1.44021220270569)); #46919=CARTESIAN_POINT('',(8.02599471476788,9.5,-1.44021220270569)); #46920=CARTESIAN_POINT('',(8.02599471476788,9.5,-1.44021220270569)); #46921=CARTESIAN_POINT('Origin',(7.96485413105848,9.5,-1.37907161899658)); #46922=CARTESIAN_POINT('',(7.96485413105848,10.0000000000001,-1.37907161899658)); #46923=CARTESIAN_POINT('',(19.3788726935445,10.0000000000001,-12.7930901814826)); #46924=CARTESIAN_POINT('',(7.96485413105848,9.5,-1.37907161899658)); #46925=CARTESIAN_POINT('',(7.96485413105848,9.5,-1.37907161899658)); #46926=CARTESIAN_POINT('',(7.96485413105848,9.5,-1.37907161899658)); #46927=CARTESIAN_POINT('Origin',(7.65915121251283,9.5,-1.14673740090187)); #46928=CARTESIAN_POINT('',(7.65915121251283,10.0000000000001,-1.14673740090187)); #46929=CARTESIAN_POINT('',(21.797503254475,10.0000000000001,-11.8918849527937)); #46930=CARTESIAN_POINT('',(7.65915121251283,9.5,-1.14673740090187)); #46931=CARTESIAN_POINT('',(7.65915121251283,9.5,-1.14673740090187)); #46932=CARTESIAN_POINT('',(7.65915121251283,9.5,-1.14673740090187)); #46933=CARTESIAN_POINT('Origin',(7.31064988537082,9.5,-0.993885941629046)); #46934=CARTESIAN_POINT('',(7.31064988537082,10.0000000000001,-0.993885941629046)); #46935=CARTESIAN_POINT('',(25.3011520866617,10.0000000000001,-8.88445708254685)); #46936=CARTESIAN_POINT('',(7.31064988537082,9.5,-0.993885941629046)); #46937=CARTESIAN_POINT('',(7.31064988537082,9.5,-0.993885941629046)); #46938=CARTESIAN_POINT('',(7.31064988537082,9.5,-0.993885941629046)); #46939=CARTESIAN_POINT('Origin',(6.91935014963247,9.5,-0.920517241178104)); #46940=CARTESIAN_POINT('',(6.91935014963247,10.0000000000001,-0.920517241178104)); #46941=CARTESIAN_POINT('',(27.018334176269,10.0000000000001,-4.68907674617184)); #46942=CARTESIAN_POINT('',(6.91935014963247,9.5,-0.920517241178104)); #46943=CARTESIAN_POINT('',(6.91935014963247,9.5,-0.920517241178104)); #46944=CARTESIAN_POINT('',(6.91935014963247,9.5,-0.920517241178104)); #46945=CARTESIAN_POINT('Origin',(6.76649869035964,9.5,-0.91440318280722)); #46946=CARTESIAN_POINT('',(6.76649869035964,10.0000000000001,-0.91440318280722)); #46947=CARTESIAN_POINT('',(27.1124078269036,10.0000000000001,-1.72823954826536)); #46948=CARTESIAN_POINT('',(6.76649869035964,9.5,-0.91440318280722)); #46949=CARTESIAN_POINT('',(6.76649869035964,9.5,-0.91440318280722)); #46950=CARTESIAN_POINT('',(6.76649869035964,9.5,-0.91440318280722)); #46951=CARTESIAN_POINT('Origin',(6.35685677950869,9.5,-0.957201591403575)); #46952=CARTESIAN_POINT('',(6.35685677950869,10.0000000000001,-0.957201591403575)); #46953=CARTESIAN_POINT('',(26.2316361386047,10.0000000000001,1.11926789387326)); #46954=CARTESIAN_POINT('',(6.35685677950869,9.5,-0.957201591403575)); #46955=CARTESIAN_POINT('',(6.35685677950869,9.5,-0.957201591403575)); #46956=CARTESIAN_POINT('',(6.35685677950869,9.5,-0.957201591403575)); #46957=CARTESIAN_POINT('Origin',(5.99001327725409,9.5,-1.07948275882187)); #46958=CARTESIAN_POINT('',(5.99001327725409,10.0000000000001,-1.07948275882187)); #46959=CARTESIAN_POINT('',(23.5564297163096,10.0000000000001,4.77598938753282)); #46960=CARTESIAN_POINT('',(5.99001327725409,9.5,-1.07948275882187)); #46961=CARTESIAN_POINT('',(5.99001327725409,9.5,-1.07948275882187)); #46962=CARTESIAN_POINT('',(5.99001327725409,9.5,-1.07948275882187)); #46963=CARTESIAN_POINT('Origin',(5.67208224196657,9.5,-1.28124668506199)); #46964=CARTESIAN_POINT('',(5.67208224196657,10.0000000000001,-1.28124668506199)); #46965=CARTESIAN_POINT('',(19.0290963375751,10.0000000000001,7.1953199525353)); #46966=CARTESIAN_POINT('',(5.67208224196657,9.5,-1.28124668506199)); #46967=CARTESIAN_POINT('',(5.67208224196657,9.5,-1.28124668506199)); #46968=CARTESIAN_POINT('',(5.67208224196657,9.5,-1.28124668506199)); #46969=CARTESIAN_POINT('Origin',(5.64151195011209,9.5,-1.30570291854563)); #46970=CARTESIAN_POINT('',(5.64151195011209,10.0000000000001,-1.30570291854563)); #46971=CARTESIAN_POINT('',(16.7552468234931,10.0000000000001,7.58528498016767)); #46972=CARTESIAN_POINT('',(5.64151195011209,9.5,-1.30570291854563)); #46973=CARTESIAN_POINT('',(5.64151195011209,9.5,-1.30570291854563)); #46974=CARTESIAN_POINT('',(5.64151195011209,9.5,-1.30570291854563)); #46975=CARTESIAN_POINT('Origin',(5.38472149853351,9.5,-1.59306366197846)); #46976=CARTESIAN_POINT('',(5.38472149853351,10.0000000000001,-1.59306366197846)); #46977=CARTESIAN_POINT('',(13.1492016074376,10.0000000000001,7.09575931703069)); #46978=CARTESIAN_POINT('',(5.38472149853351,9.5,-1.59306366197846)); #46979=CARTESIAN_POINT('',(5.38472149853351,9.5,-1.59306366197846)); #46980=CARTESIAN_POINT('',(5.38472149853351,9.5,-1.59306366197846)); #46981=CARTESIAN_POINT('Origin',(5.21352786414809,9.5,-1.93545093074958)); #46982=CARTESIAN_POINT('',(5.21352786414809,10.0000000000001,-1.93545093074958)); #46983=CARTESIAN_POINT('',(8.25426526388174,10.0000000000001,4.14602386871894)); #46984=CARTESIAN_POINT('',(5.21352786414809,9.5,-1.93545093074958)); #46985=CARTESIAN_POINT('',(5.21352786414809,9.5,-1.93545093074958)); #46986=CARTESIAN_POINT('',(5.21352786414809,9.5,-1.93545093074958)); #46987=CARTESIAN_POINT('Origin',(5.12793104695538,9.5,-2.326750666488)); #46988=CARTESIAN_POINT('',(5.12793104695538,10.0000000000001,-2.326750666488)); #46989=CARTESIAN_POINT('',(5.52363166577834,10.0000000000001,-0.51783355187051)); #46990=CARTESIAN_POINT('',(5.12793104695538,9.5,-2.326750666488)); #46991=CARTESIAN_POINT('',(5.12793104695538,9.5,-2.326750666488)); #46992=CARTESIAN_POINT('',(5.12793104695538,9.5,-2.326750666488)); #46993=CARTESIAN_POINT('Origin',(6.05115386096304,9.5,-2.44291777553529)); #46994=CARTESIAN_POINT('',(6.05115386096304,10.0000000000001,-2.44291777553529)); #46995=CARTESIAN_POINT('',(26.658202253117,10.0000000000001,-5.03585763944767)); #46996=CARTESIAN_POINT('',(6.05115386096304,9.5,-2.44291777553529)); #46997=CARTESIAN_POINT('',(6.05115386096304,9.5,-2.44291777553529)); #46998=CARTESIAN_POINT('',(6.05115386096304,9.5,-2.44291777553529)); #46999=CARTESIAN_POINT('Origin',(6.17343502838139,9.5,-2.05161803979694)); #47000=CARTESIAN_POINT('',(6.17343502838139,10.0000000000001,-2.05161803979694)); #47001=CARTESIAN_POINT('',(7.18952678545293,10.0000000000001,1.19987558283142)); #47002=CARTESIAN_POINT('',(6.17343502838139,9.5,-2.05161803979694)); #47003=CARTESIAN_POINT('',(6.17343502838139,9.5,-2.05161803979694)); #47004=CARTESIAN_POINT('',(6.17343502838139,9.5,-2.05161803979694)); #47005=CARTESIAN_POINT('Origin',(6.28960213742857,9.5,-1.89876658052411)); #47006=CARTESIAN_POINT('',(6.28960213742857,10.0000000000001,-1.89876658052411)); #47007=CARTESIAN_POINT('',(12.3785310188368,10.0000000000001,6.11298194764429)); #47008=CARTESIAN_POINT('',(6.28960213742857,9.5,-1.89876658052411)); #47009=CARTESIAN_POINT('',(6.28960213742857,9.5,-1.89876658052411)); #47010=CARTESIAN_POINT('',(6.28960213742857,9.5,-1.89876658052411)); #47011=CARTESIAN_POINT('Origin',(6.63198940619987,9.5,-1.72145888776764)); #47012=CARTESIAN_POINT('',(6.63198940619987,10.0000000000001,-1.72145888776764)); #47013=CARTESIAN_POINT('',(21.415460672968,10.0000000000001,5.9342673039529)); #47014=CARTESIAN_POINT('',(6.63198940619987,9.5,-1.72145888776764)); #47015=CARTESIAN_POINT('',(6.63198940619987,9.5,-1.72145888776764)); #47016=CARTESIAN_POINT('',(6.63198940619987,9.5,-1.72145888776764)); #47017=CARTESIAN_POINT('Origin',(6.76038463198893,9.5,-1.70923077102582)); #47018=CARTESIAN_POINT('',(6.76038463198893,10.0000000000001,-1.70923077102582)); #47019=CARTESIAN_POINT('',(26.5381465080286,10.0000000000001,0.174365598120403)); #47020=CARTESIAN_POINT('',(6.76038463198893,9.5,-1.70923077102582)); #47021=CARTESIAN_POINT('',(6.76038463198893,9.5,-1.70923077102582)); #47022=CARTESIAN_POINT('',(6.76038463198893,9.5,-1.70923077102582)); #47023=CARTESIAN_POINT('Origin',(7.12722813424353,9.5,-1.81928382170223)); #47024=CARTESIAN_POINT('',(7.12722813424353,10.0000000000001,-1.81928382170223)); #47025=CARTESIAN_POINT('',(26.348322959585,10.0000000000001,-7.58561226930465)); #47026=CARTESIAN_POINT('',(7.12722813424353,9.5,-1.81928382170223)); #47027=CARTESIAN_POINT('',(7.12722813424353,9.5,-1.81928382170223)); #47028=CARTESIAN_POINT('',(7.12722813424353,9.5,-1.81928382170223)); #47029=CARTESIAN_POINT('Origin',(7.26173741840376,9.5,-1.93545093074958)); #47030=CARTESIAN_POINT('',(7.26173741840376,10.0000000000001,-1.93545093074958)); #47031=CARTESIAN_POINT('',(20.1521519748781,10.0000000000001,-13.0680816840663)); #47032=CARTESIAN_POINT('',(7.26173741840376,9.5,-1.93545093074958)); #47033=CARTESIAN_POINT('',(7.26173741840376,9.5,-1.93545093074958)); #47034=CARTESIAN_POINT('',(7.26173741840376,9.5,-1.93545093074958)); #47035=CARTESIAN_POINT('Origin',(7.43293105278917,9.5,-2.25949602440794)); #47036=CARTESIAN_POINT('',(7.43293105278917,10.0000000000001,-2.25949602440794)); #47037=CARTESIAN_POINT('',(12.9108728777805,10.0000000000001,-12.6284573359994)); #47038=CARTESIAN_POINT('',(7.43293105278917,9.5,-2.25949602440794)); #47039=CARTESIAN_POINT('',(7.43293105278917,9.5,-2.25949602440794)); #47040=CARTESIAN_POINT('',(7.43293105278917,9.5,-2.25949602440794)); #47041=CARTESIAN_POINT('Origin',(7.46350134464365,9.5,-2.54074270946994)); #47042=CARTESIAN_POINT('',(7.46350134464365,10.0000000000001,-2.54074270946994)); #47043=CARTESIAN_POINT('',(7.97559654389807,10.0000000000001,-7.25201854260895)); #47044=CARTESIAN_POINT('',(7.46350134464365,9.5,-2.54074270946994)); #47045=CARTESIAN_POINT('',(7.46350134464365,9.5,-2.54074270946994)); #47046=CARTESIAN_POINT('',(7.46350134464365,9.5,-2.54074270946994)); #47047=CARTESIAN_POINT('Origin',(7.38401858582211,9.5,-2.93815650357924)); #47048=CARTESIAN_POINT('',(7.38401858582211,10.0000000000001,-2.93815650357924)); #47049=CARTESIAN_POINT('',(7.68799481528504,10.0000000000001,-1.41827535626395)); #47050=CARTESIAN_POINT('',(7.38401858582211,9.5,-2.93815650357924)); #47051=CARTESIAN_POINT('',(7.38401858582211,9.5,-2.93815650357924)); #47052=CARTESIAN_POINT('',(7.38401858582211,9.5,-2.93815650357924)); #47053=CARTESIAN_POINT('Origin',(7.26785147677447,9.5,-3.11546419633571)); #47054=CARTESIAN_POINT('',(7.26785147677447,10.0000000000001,-3.11546419633571)); #47055=CARTESIAN_POINT('',(12.2095845837071,10.0000000000001,4.42718107213968)); #47056=CARTESIAN_POINT('',(7.26785147677447,9.5,-3.11546419633571)); #47057=CARTESIAN_POINT('',(7.26785147677447,9.5,-3.11546419633571)); #47058=CARTESIAN_POINT('',(7.26785147677447,9.5,-3.11546419633571)); #47059=CARTESIAN_POINT('Origin',(6.94380638311623,9.5,-3.31111406420489)); #47060=CARTESIAN_POINT('',(6.94380638311623,10.0000000000001,-3.31111406420489)); #47061=CARTESIAN_POINT('',(20.7308853451191,10.0000000000001,5.0131600260605)); #47062=CARTESIAN_POINT('',(6.94380638311623,9.5,-3.31111406420489)); #47063=CARTESIAN_POINT('',(6.94380638311623,9.5,-3.31111406420489)); #47064=CARTESIAN_POINT('',(6.94380638311623,9.5,-3.31111406420489)); #47065=CARTESIAN_POINT('Origin',(6.79706898221457,9.5,-3.32945623931765)); #47066=CARTESIAN_POINT('',(6.79706898221457,10.0000000000001,-3.32945623931765)); #47067=CARTESIAN_POINT('',(26.440324636465,10.0000000000001,-0.874049282532582)); #47068=CARTESIAN_POINT('',(6.79706898221457,9.5,-3.32945623931765)); #47069=CARTESIAN_POINT('',(6.79706898221457,9.5,-3.32945623931765)); #47070=CARTESIAN_POINT('',(6.79706898221457,9.5,-3.32945623931765)); #47071=CARTESIAN_POINT('Origin',(6.40576924647621,9.5,-3.26831565560848)); #47072=CARTESIAN_POINT('',(6.40576924647621,10.0000000000001,-3.26831565560848)); #47073=CARTESIAN_POINT('',(26.682967428892,10.0000000000001,-6.43662787161343)); #47074=CARTESIAN_POINT('',(6.40576924647621,9.5,-3.26831565560848)); #47075=CARTESIAN_POINT('',(6.40576924647621,9.5,-3.26831565560848)); #47076=CARTESIAN_POINT('',(6.40576924647621,9.5,-3.26831565560848)); #47077=CARTESIAN_POINT('Origin',(6.8592258445583,9.5,-3.45386902406726)); #47078=CARTESIAN_POINT('',(6.35074272113798,9.5,-5.11476128362408)); #47079=CARTESIAN_POINT('',(6.71147216502186,9.5,-5.23092839267137)); #47080=CARTESIAN_POINT('',(6.35074272113798,9.5,-5.11476128362408)); #47081=CARTESIAN_POINT('',(6.76038463198893,9.5,-5.23092839267137)); #47082=CARTESIAN_POINT('',(6.71147216502186,9.5,-5.23092839267137)); #47083=CARTESIAN_POINT('',(7.10888595913093,9.5,-5.08419099176949)); #47084=CARTESIAN_POINT('',(6.76038463198893,9.5,-5.23092839267137)); #47085=CARTESIAN_POINT('',(7.13945625098541,9.5,-5.04750664154402)); #47086=CARTESIAN_POINT('',(7.10888595913093,9.5,-5.08419099176949)); #47087=CARTESIAN_POINT('',(7.26173741840376,9.5,-4.68066313928925)); #47088=CARTESIAN_POINT('',(7.13945625098541,9.5,-5.04750664154402)); #47089=CARTESIAN_POINT('',(7.24339524329116,9.5,-4.52781168001648)); #47090=CARTESIAN_POINT('',(7.26173741840376,9.5,-4.68066313928925)); #47091=CARTESIAN_POINT('',(7.0538594337927,9.5,-4.20988064472901)); #47092=CARTESIAN_POINT('',(7.24339524329116,9.5,-4.52781168001648)); #47093=CARTESIAN_POINT('',(6.8704376826654,9.5,-4.0998275940526)); #47094=CARTESIAN_POINT('',(7.0538594337927,9.5,-4.20988064472901)); #47095=CARTESIAN_POINT('',(6.46079577181399,9.5,-4.03868701034348)); #47096=CARTESIAN_POINT('',(6.8704376826654,9.5,-4.0998275940526)); #47097=CARTESIAN_POINT('',(6.35685677950869,9.5,-3.25608753886665)); #47098=CARTESIAN_POINT('',(6.46079577181399,9.5,-4.03868701034348)); #47099=CARTESIAN_POINT('',(6.35685677950869,9.5,-3.25608753886665)); #47100=CARTESIAN_POINT('',(7.87314325549505,9.5,-3.54956234067048)); #47101=CARTESIAN_POINT('',(8.16661805729882,9.5,-3.29888594746306)); #47102=CARTESIAN_POINT('',(7.50018569486929,9.5,-3.69018568320148)); #47103=CARTESIAN_POINT('',(7.87314325549505,9.5,-3.54956234067048)); #47104=CARTESIAN_POINT('',(7.69583556273847,9.5,-3.80635279224877)); #47105=CARTESIAN_POINT('',(7.50018569486929,9.5,-3.69018568320148)); #47106=CARTESIAN_POINT('',(7.98931036454224,9.5,-4.07537136056895)); #47107=CARTESIAN_POINT('',(7.69583556273847,9.5,-3.80635279224877)); #47108=CARTESIAN_POINT('',(8.16050399892765,9.5,-4.38718833748548)); #47109=CARTESIAN_POINT('',(7.98931036454224,9.5,-4.07537136056895)); #47110=CARTESIAN_POINT('',(8.22164458263706,9.5,-4.74180372299837)); #47111=CARTESIAN_POINT('',(8.16050399892765,9.5,-4.38718833748548)); #47112=CARTESIAN_POINT('',(8.20941646589518,9.5,-4.88242706552937)); #47113=CARTESIAN_POINT('',(8.22164458263706,9.5,-4.74180372299837)); #47114=CARTESIAN_POINT('',(8.10547747358987,9.5,-5.2431565094132)); #47115=CARTESIAN_POINT('',(8.20941646589518,9.5,-4.88242706552937)); #47116=CARTESIAN_POINT('',(7.86702919712434,9.5,-5.57331566144249)); #47117=CARTESIAN_POINT('',(8.10547747358987,9.5,-5.2431565094132)); #47118=CARTESIAN_POINT('',(7.81200267178565,9.5,-5.62834218678073)); #47119=CARTESIAN_POINT('',(7.86702919712434,9.5,-5.57331566144249)); #47120=CARTESIAN_POINT('',(7.50629975324,9.5,-5.84844828813356)); #47121=CARTESIAN_POINT('',(7.81200267178565,9.5,-5.62834218678073)); #47122=CARTESIAN_POINT('',(7.15168436772729,9.5,-5.97684351392273)); #47123=CARTESIAN_POINT('',(7.50629975324,9.5,-5.84844828813356)); #47124=CARTESIAN_POINT('',(6.73592839850517,9.5,-6.01964192251909)); #47125=CARTESIAN_POINT('',(7.15168436772729,9.5,-5.97684351392273)); #47126=CARTESIAN_POINT('',(6.36297083787986,9.5,-5.98907163066456)); #47127=CARTESIAN_POINT('',(6.73592839850517,9.5,-6.01964192251909)); #47128=CARTESIAN_POINT('',(5.99612733562481,9.5,-5.86679046324626)); #47129=CARTESIAN_POINT('',(6.36297083787986,9.5,-5.98907163066456)); #47130=CARTESIAN_POINT('',(5.74545094241739,9.5,-5.72005306234438)); #47131=CARTESIAN_POINT('',(5.99612733562481,9.5,-5.86679046324626)); #47132=CARTESIAN_POINT('',(5.47643237409739,9.5,-5.43880637728243)); #47133=CARTESIAN_POINT('',(5.74545094241739,9.5,-5.72005306234438)); #47134=CARTESIAN_POINT('',(5.31746685645385,9.5,-5.13310345873679)); #47135=CARTESIAN_POINT('',(5.47643237409739,9.5,-5.43880637728243)); #47136=CARTESIAN_POINT('',(5.1951856890355,9.5,-4.71734748951472)); #47137=CARTESIAN_POINT('',(5.31746685645385,9.5,-5.13310345873679)); #47138=CARTESIAN_POINT('',(6.08172415281752,9.5,-4.56449603024196)); #47139=CARTESIAN_POINT('',(5.1951856890355,9.5,-4.71734748951472)); #47140=CARTESIAN_POINT('',(6.11229444467199,9.5,-4.74180372299837)); #47141=CARTESIAN_POINT('',(6.08172415281752,9.5,-4.56449603024196)); #47142=CARTESIAN_POINT('',(6.28960213742857,9.5,-5.06584881665678)); #47143=CARTESIAN_POINT('',(6.11229444467199,9.5,-4.74180372299837)); #47144=CARTESIAN_POINT('',(6.28960213742857,9.5,-5.06584881665678)); #47145=CARTESIAN_POINT('Origin',(6.35685677950869,9.5,-3.25608753886665)); #47146=CARTESIAN_POINT('',(6.35685677950869,10.0000000000001,-3.25608753886665)); #47147=CARTESIAN_POINT('',(26.1293844669317,10.0000000000001,-8.19921946072231)); #47148=CARTESIAN_POINT('',(6.35685677950869,9.5,-3.25608753886665)); #47149=CARTESIAN_POINT('Origin',(6.46079577181399,9.5,-4.03868701034348)); #47150=CARTESIAN_POINT('',(6.46079577181399,10.0000000000001,-4.03868701034348)); #47151=CARTESIAN_POINT('',(7.05648632202792,10.0000000000001,-8.52388644725004)); #47152=CARTESIAN_POINT('',(6.46079577181399,9.5,-4.03868701034348)); #47153=CARTESIAN_POINT('Origin',(6.8704376826654,9.5,-4.0998275940526)); #47154=CARTESIAN_POINT('',(6.8704376826654,10.0000000000001,-4.0998275940526)); #47155=CARTESIAN_POINT('',(26.8867234480276,10.0000000000001,-7.08733293216612)); #47156=CARTESIAN_POINT('',(6.8704376826654,9.5,-4.0998275940526)); #47157=CARTESIAN_POINT('Origin',(7.0538594337927,9.5,-4.20988064472901)); #47158=CARTESIAN_POINT('',(7.0538594337927,10.0000000000001,-4.20988064472901)); #47159=CARTESIAN_POINT('',(22.6127609703253,10.0000000000001,-13.5452215666486)); #47160=CARTESIAN_POINT('',(7.0538594337927,9.5,-4.20988064472901)); #47161=CARTESIAN_POINT('Origin',(7.24339524329116,9.5,-4.52781168001648)); #47162=CARTESIAN_POINT('',(7.24339524329116,10.0000000000001,-4.52781168001648)); #47163=CARTESIAN_POINT('',(13.1970807471121,10.0000000000001,-14.5146389767489)); #47164=CARTESIAN_POINT('',(7.24339524329116,9.5,-4.52781168001648)); #47165=CARTESIAN_POINT('Origin',(7.26173741840376,9.5,-4.68066313928925)); #47166=CARTESIAN_POINT('',(7.26173741840376,10.0000000000001,-4.68066313928925)); #47167=CARTESIAN_POINT('',(7.7271117305404,10.0000000000001,-8.55878240708837)); #47168=CARTESIAN_POINT('',(7.26173741840376,9.5,-4.68066313928925)); #47169=CARTESIAN_POINT('Origin',(7.13945625098541,9.5,-5.04750664154402)); #47170=CARTESIAN_POINT('',(7.13945625098541,10.0000000000001,-5.04750664154402)); #47171=CARTESIAN_POINT('',(8.73960943466727,10.0000000000001,-0.247047090498455)); #47172=CARTESIAN_POINT('',(7.13945625098541,9.5,-5.04750664154402)); #47173=CARTESIAN_POINT('Origin',(7.10888595913093,9.5,-5.08419099176949)); #47174=CARTESIAN_POINT('',(7.10888595913093,10.0000000000001,-5.08419099176949)); #47175=CARTESIAN_POINT('',(14.6789972769657,10.0000000000001,3.99994258962366)); #47176=CARTESIAN_POINT('',(7.10888595913093,9.5,-5.08419099176949)); #47177=CARTESIAN_POINT('Origin',(6.76038463198893,9.5,-5.23092839267137)); #47178=CARTESIAN_POINT('',(6.76038463198893,10.0000000000001,-5.23092839267137)); #47179=CARTESIAN_POINT('',(23.5060931773273,10.0000000000001,1.81989625799686)); #47180=CARTESIAN_POINT('',(6.76038463198893,9.5,-5.23092839267137)); #47181=CARTESIAN_POINT('Origin',(6.71147216502186,9.5,-5.23092839267137)); #47182=CARTESIAN_POINT('',(6.71147216502186,10.0000000000001,-5.23092839267137)); #47183=CARTESIAN_POINT('',(26.9807360825103,10.0000000000001,-5.23092839267137)); #47184=CARTESIAN_POINT('',(6.71147216502186,9.5,-5.23092839267137)); #47185=CARTESIAN_POINT('Origin',(6.35074272113798,9.5,-5.11476128362408)); #47186=CARTESIAN_POINT('',(6.35074272113798,10.0000000000001,-5.11476128362408)); #47187=CARTESIAN_POINT('',(25.263342563509,10.0000000000001,-11.205259537945)); #47188=CARTESIAN_POINT('',(6.35074272113798,9.5,-5.11476128362408)); #47189=CARTESIAN_POINT('Origin',(6.28960213742857,9.5,-5.06584881665678)); #47190=CARTESIAN_POINT('',(6.28960213742857,10.0000000000001,-5.06584881665678)); #47191=CARTESIAN_POINT('',(19.4321993353688,10.0000000000001,-15.5799265750092)); #47192=CARTESIAN_POINT('',(6.28960213742857,9.5,-5.06584881665678)); #47193=CARTESIAN_POINT('Origin',(6.11229444467199,9.5,-4.74180372299837)); #47194=CARTESIAN_POINT('',(6.11229444467199,10.0000000000001,-4.74180372299837)); #47195=CARTESIAN_POINT('',(11.4849530816273,10.0000000000001,-14.5608005422632)); #47196=CARTESIAN_POINT('',(6.11229444467199,9.5,-4.74180372299837)); #47197=CARTESIAN_POINT('Origin',(6.08172415281752,9.5,-4.56449603024196)); #47198=CARTESIAN_POINT('',(6.08172415281752,10.0000000000001,-4.56449603024196)); #47199=CARTESIAN_POINT('',(6.94263926355507,10.0000000000001,-9.55780367251355)); #47200=CARTESIAN_POINT('',(6.08172415281752,9.5,-4.56449603024196)); #47201=CARTESIAN_POINT('Origin',(5.1951856890355,9.5,-4.71734748951472)); #47202=CARTESIAN_POINT('',(5.1951856890355,10.0000000000001,-4.71734748951472)); #47203=CARTESIAN_POINT('',(25.3616777337816,10.0000000000001,-1.24036610249055)); #47204=CARTESIAN_POINT('',(5.1951856890355,9.5,-4.71734748951472)); #47205=CARTESIAN_POINT('Origin',(5.31746685645385,9.5,-5.13310345873679)); #47206=CARTESIAN_POINT('',(5.31746685645385,10.0000000000001,-5.13310345873679)); #47207=CARTESIAN_POINT('',(7.34095337651252,10.0000000000001,-12.0129576269335)); #47208=CARTESIAN_POINT('',(5.31746685645385,9.5,-5.13310345873679)); #47209=CARTESIAN_POINT('Origin',(5.47643237409739,9.5,-5.43880637728243)); #47210=CARTESIAN_POINT('',(5.47643237409739,10.0000000000001,-5.43880637728243)); #47211=CARTESIAN_POINT('',(10.3951167923333,10.0000000000001,-14.8978148738917)); #47212=CARTESIAN_POINT('',(5.47643237409739,9.5,-5.43880637728243)); #47213=CARTESIAN_POINT('Origin',(5.74545094241739,9.5,-5.72005306234438)); #47214=CARTESIAN_POINT('',(5.74545094241739,10.0000000000001,-5.72005306234438)); #47215=CARTESIAN_POINT('',(16.1676399327057,10.0000000000001,-16.6159779158272)); #47216=CARTESIAN_POINT('',(5.74545094241739,9.5,-5.72005306234438)); #47217=CARTESIAN_POINT('Origin',(5.99612733562481,9.5,-5.86679046324626)); #47218=CARTESIAN_POINT('',(5.99612733562481,10.0000000000001,-5.86679046324626)); #47219=CARTESIAN_POINT('',(21.7694632091257,10.0000000000001,-15.0999626818798)); #47220=CARTESIAN_POINT('',(5.99612733562481,9.5,-5.86679046324626)); #47221=CARTESIAN_POINT('Origin',(6.36297083787986,9.5,-5.98907163066456)); #47222=CARTESIAN_POINT('',(6.36297083787986,10.0000000000001,-5.98907163066456)); #47223=CARTESIAN_POINT('',(25.0262732162328,10.0000000000001,-12.2101724234507)); #47224=CARTESIAN_POINT('',(6.36297083787986,9.5,-5.98907163066456)); #47225=CARTESIAN_POINT('Origin',(6.73592839850517,9.5,-6.01964192251909)); #47226=CARTESIAN_POINT('',(6.73592839850517,10.0000000000001,-6.01964192251909)); #47227=CARTESIAN_POINT('',(26.9282161244514,10.0000000000001,-7.67474747382448)); #47228=CARTESIAN_POINT('',(6.73592839850517,9.5,-6.01964192251909)); #47229=CARTESIAN_POINT('Origin',(7.15168436772729,9.5,-5.97684351392273)); #47230=CARTESIAN_POINT('',(7.15168436772729,10.0000000000001,-5.97684351392273)); #47231=CARTESIAN_POINT('',(26.9003029207179,10.0000000000001,-3.94389748641061)); #47232=CARTESIAN_POINT('',(7.15168436772729,9.5,-5.97684351392273)); #47233=CARTESIAN_POINT('Origin',(7.50629975324,9.5,-5.84844828813356)); #47234=CARTESIAN_POINT('',(7.50629975324,10.0000000000001,-5.84844828813356)); #47235=CARTESIAN_POINT('',(24.7706516119824,10.0000000000001,0.402437729688501)); #47236=CARTESIAN_POINT('',(7.50629975324,9.5,-5.84844828813356)); #47237=CARTESIAN_POINT('Origin',(7.81200267178565,9.5,-5.62834218678073)); #47238=CARTESIAN_POINT('',(7.81200267178565,10.0000000000001,-5.62834218678073)); #47239=CARTESIAN_POINT('',(20.2956709089758,10.0000000000001,3.35989894399454)); #47240=CARTESIAN_POINT('',(7.81200267178565,9.5,-5.62834218678073)); #47241=CARTESIAN_POINT('Origin',(7.86702919712434,9.5,-5.57331566144249)); #47242=CARTESIAN_POINT('',(7.86702919712434,10.0000000000001,-5.57331566144249)); #47243=CARTESIAN_POINT('',(17.1686008132028,10.0000000000001,3.72825595463638)); #47244=CARTESIAN_POINT('',(7.86702919712434,9.5,-5.57331566144249)); #47245=CARTESIAN_POINT('Origin',(8.10547747358987,9.5,-5.2431565094132)); #47246=CARTESIAN_POINT('',(8.10547747358987,10.0000000000001,-5.2431565094132)); #47247=CARTESIAN_POINT('',(14.2199023520516,10.0000000000001,3.22297024538209)); #47248=CARTESIAN_POINT('',(8.10547747358987,9.5,-5.2431565094132)); #47249=CARTESIAN_POINT('Origin',(8.20941646589518,9.5,-4.88242706552937)); #47250=CARTESIAN_POINT('',(8.20941646589518,10.0000000000001,-4.88242706552937)); #47251=CARTESIAN_POINT('',(9.32434391789548,10.0000000000001,-1.01297296741042)); #47252=CARTESIAN_POINT('',(8.20941646589518,9.5,-4.88242706552937)); #47253=CARTESIAN_POINT('Origin',(8.22164458263706,9.5,-4.74180372299837)); #47254=CARTESIAN_POINT('',(8.22164458263706,10.0000000000001,-4.74180372299837)); #47255=CARTESIAN_POINT('',(8.23828284992351,10.0000000000001,-4.5504636492048)); #47256=CARTESIAN_POINT('',(8.22164458263706,9.5,-4.74180372299837)); #47257=CARTESIAN_POINT('Origin',(8.16050399892765,9.5,-4.38718833748548)); #47258=CARTESIAN_POINT('',(8.16050399892765,10.0000000000001,-4.38718833748548)); #47259=CARTESIAN_POINT('',(9.00625751572398,10.0000000000001,-9.29255873490503)); #47260=CARTESIAN_POINT('',(8.16050399892765,9.5,-4.38718833748548)); #47261=CARTESIAN_POINT('Origin',(7.98931036454224,9.5,-4.07537136056895)); #47262=CARTESIAN_POINT('',(7.98931036454224,10.0000000000001,-4.07537136056895)); #47263=CARTESIAN_POINT('',(13.3109869334198,10.0000000000001,-13.7684251110256)); #47264=CARTESIAN_POINT('',(7.98931036454224,9.5,-4.07537136056895)); #47265=CARTESIAN_POINT('Origin',(7.69583556273847,9.5,-3.80635279224877)); #47266=CARTESIAN_POINT('',(7.69583556273847,10.0000000000001,-3.80635279224877)); #47267=CARTESIAN_POINT('',(19.4248187898866,10.0000000000001,-14.5579207504682)); #47268=CARTESIAN_POINT('',(7.69583556273847,9.5,-3.80635279224877)); #47269=CARTESIAN_POINT('Origin',(7.50018569486929,9.5,-3.69018568320148)); #47270=CARTESIAN_POINT('',(7.50018569486929,10.0000000000001,-3.69018568320148)); #47271=CARTESIAN_POINT('',(23.0858091436312,10.0000000000001,-12.9441496059017)); #47272=CARTESIAN_POINT('',(7.50018569486929,9.5,-3.69018568320148)); #47273=CARTESIAN_POINT('Origin',(7.87314325549505,9.5,-3.54956234067048)); #47274=CARTESIAN_POINT('',(7.87314325549505,10.0000000000001,-3.54956234067048)); #47275=CARTESIAN_POINT('',(24.4176220684658,10.0000000000001,2.68851983471268)); #47276=CARTESIAN_POINT('',(7.87314325549505,9.5,-3.54956234067048)); #47277=CARTESIAN_POINT('Origin',(8.16661805729882,9.5,-3.29888594746306)); #47278=CARTESIAN_POINT('',(18.3659053271344,10.0000000000001,5.41300526218764)); #47279=CARTESIAN_POINT('Origin',(-1.87877984611032,9.5,-2.85255968638647)); #47280=CARTESIAN_POINT('',(-1.87877984611032,10.0000000000001,-2.85255968638647)); #47281=CARTESIAN_POINT('',(-0.729336872379008,10.0000000000001,-2.85255968638647)); #47282=CARTESIAN_POINT('',(22.6856100769442,10.0000000000001,-2.85255968638647)); #47283=CARTESIAN_POINT('',(-1.87877984611032,9.5,-2.85255968638647)); #47284=CARTESIAN_POINT('',(-1.87877984611032,9.5,-2.85255968638647)); #47285=CARTESIAN_POINT('',(-0.729336872379008,9.5,-2.85255968638647)); #47286=CARTESIAN_POINT('',(-1.30124012936221,9.5,-2.85255968638647)); #47287=CARTESIAN_POINT('',(-0.729336872379008,9.5,-2.85255968638647)); #47288=CARTESIAN_POINT('Origin',(-2.7714323682635,9.5,-2.00881963120052)); #47289=CARTESIAN_POINT('',(-0.729336872379008,10.0000000000001,-2.00881963120052)); #47290=CARTESIAN_POINT('',(-2.7714323682635,10.0000000000001,-2.00881963120052)); #47291=CARTESIAN_POINT('',(22.2392838158671,10.0000000000001,-2.00881963120052)); #47292=CARTESIAN_POINT('',(-0.729336872379008,9.5,-2.00881963120052)); #47293=CARTESIAN_POINT('',(-0.729336872379008,9.5,-2.00881963120052)); #47294=CARTESIAN_POINT('',(-2.7714323682635,9.5,-2.00881963120052)); #47295=CARTESIAN_POINT('',(-2.7714323682635,9.5,-2.00881963120052)); #47296=CARTESIAN_POINT('',(-2.7714323682635,9.5,-2.00881963120052)); #47297=CARTESIAN_POINT('Origin',(-2.7714323682635,9.5,-2.84644562801553)); #47298=CARTESIAN_POINT('',(-2.7714323682635,10.0000000000001,-2.84644562801553)); #47299=CARTESIAN_POINT('',(-2.7714323682635,10.0000000000001,-5.29822281400819)); #47300=CARTESIAN_POINT('',(-2.7714323682635,9.5,-2.84644562801553)); #47301=CARTESIAN_POINT('',(-2.7714323682635,9.5,-2.84644562801553)); #47302=CARTESIAN_POINT('',(-2.7714323682635,9.5,-2.84644562801553)); #47303=CARTESIAN_POINT('Origin',(-0.607055704960658,9.5,-6.01964192251909)); #47304=CARTESIAN_POINT('',(-0.607055704960658,10.0000000000001,-6.01964192251909)); #47305=CARTESIAN_POINT('',(7.39336813639056,10.0000000000001,-17.7490768763647)); #47306=CARTESIAN_POINT('',(-0.607055704960658,9.5,-6.01964192251909)); #47307=CARTESIAN_POINT('',(-0.607055704960658,9.5,-6.01964192251909)); #47308=CARTESIAN_POINT('',(-0.607055704960658,9.5,-6.01964192251909)); #47309=CARTESIAN_POINT('Origin',(0.199999999999818,9.5,-6.01964192251909)); #47310=CARTESIAN_POINT('',(0.199999999999818,10.0000000000001,-6.01964192251909)); #47311=CARTESIAN_POINT('',(23.724999999999,10.0000000000001,-6.01964192251909)); #47312=CARTESIAN_POINT('',(0.199999999999818,9.5,-6.01964192251909)); #47313=CARTESIAN_POINT('',(0.199999999999818,9.5,-6.01964192251909)); #47314=CARTESIAN_POINT('',(0.199999999999818,9.5,-6.01964192251909)); #47315=CARTESIAN_POINT('Origin',(0.199999999999818,9.5,-2.85255968638647)); #47316=CARTESIAN_POINT('',(0.199999999999818,10.0000000000001,-2.85255968638647)); #47317=CARTESIAN_POINT('',(0.199999999999818,10.0000000000001,-5.30127984319366)); #47318=CARTESIAN_POINT('',(0.199999999999818,9.5,-2.85255968638647)); #47319=CARTESIAN_POINT('',(0.199999999999818,9.5,-2.85255968638647)); #47320=CARTESIAN_POINT('',(0.199999999999818,9.5,-2.85255968638647)); #47321=CARTESIAN_POINT('Origin',(-0.729336872379008,9.5,-2.85255968638647)); #47322=CARTESIAN_POINT('',(-0.729336872379008,10.0000000000001,-4.55838197187102)); #47323=CARTESIAN_POINT('',(-0.729336872379008,10.0000000000001,-5.30127984319366)); #47324=CARTESIAN_POINT('',(-0.729336872379008,9.5,-4.55838197187102)); #47325=CARTESIAN_POINT('',(-0.729336872379008,9.5,-3.05116151885851)); #47326=CARTESIAN_POINT('',(-0.729336872379008,9.5,-4.55838197187102)); #47327=CARTESIAN_POINT('Origin',(-0.729336872379008,9.5,-4.55838197187102)); #47328=CARTESIAN_POINT('',(7.50138465091823,10.0000000000001,-16.7731229559131)); #47329=CARTESIAN_POINT('',(-1.03167573729297,9.5,-4.10969823085456)); #47330=CARTESIAN_POINT('Origin',(0.817519895461828,9.5,-2.85255968638647)); #47331=CARTESIAN_POINT('',(0.817519895461828,10.0000000000001,-2.85255968638647)); #47332=CARTESIAN_POINT('',(24.0337599477302,10.0000000000001,-2.85255968638647)); #47333=CARTESIAN_POINT('',(0.817519895461828,9.5,-2.85255968638647)); #47334=CARTESIAN_POINT('',(0.817519895461828,9.5,-2.85255968638647)); #47335=CARTESIAN_POINT('',(0.817519895461828,9.5,-2.85255968638647)); #47336=CARTESIAN_POINT('Origin',(0.817519895461828,9.5,-2.00881963120052)); #47337=CARTESIAN_POINT('',(0.817519895461828,10.0000000000001,-2.00881963120052)); #47338=CARTESIAN_POINT('',(0.817519895461828,10.0000000000001,-4.87940981560068)); #47339=CARTESIAN_POINT('',(0.817519895461828,9.5,-2.00881963120052)); #47340=CARTESIAN_POINT('',(0.817519895461828,9.5,-2.00881963120052)); #47341=CARTESIAN_POINT('',(0.817519895461828,9.5,-2.00881963120052)); #47342=CARTESIAN_POINT('Origin',(0.199999999999818,9.5,-2.00881963120052)); #47343=CARTESIAN_POINT('',(0.199999999999818,10.0000000000001,-2.00881963120052)); #47344=CARTESIAN_POINT('',(23.724999999999,10.0000000000001,-2.00881963120052)); #47345=CARTESIAN_POINT('',(0.199999999999818,9.5,-2.00881963120052)); #47346=CARTESIAN_POINT('',(0.199999999999818,9.5,-2.00881963120052)); #47347=CARTESIAN_POINT('',(0.199999999999818,9.5,-2.00881963120052)); #47348=CARTESIAN_POINT('Origin',(0.199999999999818,9.5,-0.999999999999986)); #47349=CARTESIAN_POINT('',(0.199999999999818,10.0000000000001,-0.999999999999986)); #47350=CARTESIAN_POINT('',(0.199999999999818,10.0000000000001,-4.37500000000041)); #47351=CARTESIAN_POINT('',(0.199999999999818,9.5,-0.999999999999986)); #47352=CARTESIAN_POINT('',(0.199999999999818,9.5,-0.999999999999986)); #47353=CARTESIAN_POINT('',(0.199999999999818,9.5,-0.999999999999986)); #47354=CARTESIAN_POINT('Origin',(-0.729336872379008,9.5,-0.999999999999986)); #47355=CARTESIAN_POINT('',(-0.729336872379008,10.0000000000001,-0.999999999999986)); #47356=CARTESIAN_POINT('',(23.2603315638094,10.0000000000001,-0.999999999999986)); #47357=CARTESIAN_POINT('',(-0.729336872379008,9.5,-0.999999999999986)); #47358=CARTESIAN_POINT('',(-0.729336872379008,9.5,-0.999999999999986)); #47359=CARTESIAN_POINT('',(-0.729336872379008,9.5,-0.999999999999986)); #47360=CARTESIAN_POINT('Origin',(-0.729336872379008,9.5,-2.00881963120052)); #47361=CARTESIAN_POINT('',(-0.729336872379008,10.0000000000001,-4.87940981560068)); #47362=CARTESIAN_POINT('',(-0.729336872379008,9.5,-2.00881963120052)); #47363=CARTESIAN_POINT('Origin',(-0.723700412614108,9.5,-3.24976335133054)); #47364=CARTESIAN_POINT('Origin',(-5.29653847545024,9.5,-4.36273210400184)); #47365=CARTESIAN_POINT('',(-4.99694961527575,10.0000000000001,-4.61340849720925)); #47366=CARTESIAN_POINT('',(-5.29653847545024,10.0000000000001,-4.36273210400184)); #47367=CARTESIAN_POINT('',(10.990730030504,10.0000000000001,-17.9908547314333)); #47368=CARTESIAN_POINT('',(-4.99694961527575,9.5,-4.61340849720925)); #47369=CARTESIAN_POINT('',(-4.99694961527575,9.5,-4.61340849720925)); #47370=CARTESIAN_POINT('',(-5.29653847545024,9.5,-4.36273210400184)); #47371=CARTESIAN_POINT('',(-5.29653847545024,9.5,-4.36273210400184)); #47372=CARTESIAN_POINT('',(-5.29653847545024,9.5,-4.36273210400184)); #47373=CARTESIAN_POINT('Origin',(-5.63281168585081,9.5,-4.14874006101989)); #47374=CARTESIAN_POINT('',(-5.63281168585081,10.0000000000001,-4.14874006101989)); #47375=CARTESIAN_POINT('',(14.0028272238233,10.0000000000001,-16.6441466399038)); #47376=CARTESIAN_POINT('',(-5.63281168585081,9.5,-4.14874006101989)); #47377=CARTESIAN_POINT('',(-5.63281168585081,9.5,-4.14874006101989)); #47378=CARTESIAN_POINT('',(-5.63281168585081,9.5,-4.14874006101989)); #47379=CARTESIAN_POINT('Origin',(-5.98742707136353,9.5,-3.97754642663436)); #47380=CARTESIAN_POINT('',(-5.98742707136353,10.0000000000001,-3.97754642663436)); #47381=CARTESIAN_POINT('',(16.3386540847623,10.0000000000001,-14.7556545709691)); #47382=CARTESIAN_POINT('',(-5.98742707136353,9.5,-3.97754642663436)); #47383=CARTESIAN_POINT('',(-5.98742707136353,9.5,-3.97754642663436)); #47384=CARTESIAN_POINT('',(-5.98742707136353,9.5,-3.97754642663436)); #47385=CARTESIAN_POINT('Origin',(-6.23198940619977,9.5,-3.88583555107066)); #47386=CARTESIAN_POINT('',(-6.23198940619977,10.0000000000001,-3.88583555107066)); #47387=CARTESIAN_POINT('',(17.8473754483948,10.0000000000001,-12.9155973715463)); #47388=CARTESIAN_POINT('',(-6.23198940619977,9.5,-3.88583555107066)); #47389=CARTESIAN_POINT('',(-6.23198940619977,9.5,-3.88583555107066)); #47390=CARTESIAN_POINT('',(-6.23198940619977,9.5,-3.88583555107066)); #47391=CARTESIAN_POINT('Origin',(-6.23198940619977,9.5,-4.75403183974025)); #47392=CARTESIAN_POINT('',(-6.23198940619977,10.0000000000001,-4.75403183974025)); #47393=CARTESIAN_POINT('',(-6.23198940619977,10.0000000000001,-6.25201591987055)); #47394=CARTESIAN_POINT('',(-6.23198940619977,9.5,-4.75403183974025)); #47395=CARTESIAN_POINT('',(-6.23198940619977,9.5,-4.75403183974025)); #47396=CARTESIAN_POINT('',(-6.23198940619977,9.5,-4.75403183974025)); #47397=CARTESIAN_POINT('Origin',(-5.90183025417082,9.5,-4.90076924064214)); #47398=CARTESIAN_POINT('',(-5.90183025417082,10.0000000000001,-4.90076924064214)); #47399=CARTESIAN_POINT('',(16.8191520031737,10.0000000000001,-14.998983577238)); #47400=CARTESIAN_POINT('',(-5.90183025417082,9.5,-4.90076924064214)); #47401=CARTESIAN_POINT('',(-5.90183025417082,9.5,-4.90076924064214)); #47402=CARTESIAN_POINT('',(-5.90183025417082,9.5,-4.90076924064214)); #47403=CARTESIAN_POINT('Origin',(-5.55332892702882,9.5,-5.12087534199496)); #47404=CARTESIAN_POINT('',(-5.55332892702882,10.0000000000001,-5.12087534199496)); #47405=CARTESIAN_POINT('',(13.9134454934492,10.0000000000001,-17.4156802391381)); #47406=CARTESIAN_POINT('',(-5.55332892702882,9.5,-5.12087534199496)); #47407=CARTESIAN_POINT('',(-5.55332892702882,9.5,-5.12087534199496)); #47408=CARTESIAN_POINT('',(-5.55332892702882,9.5,-5.12087534199496)); #47409=CARTESIAN_POINT('Origin',(-5.41881964286859,9.5,-5.22481433430049)); #47410=CARTESIAN_POINT('',(-5.41881964286859,10.0000000000001,-5.22481433430049)); #47411=CARTESIAN_POINT('',(11.6808751476324,10.0000000000001,-18.4382148542366)); #47412=CARTESIAN_POINT('',(-5.41881964286859,9.5,-5.22481433430049)); #47413=CARTESIAN_POINT('',(-5.41881964286859,9.5,-5.22481433430049)); #47414=CARTESIAN_POINT('',(-5.41881964286859,9.5,-5.22481433430049)); #47415=CARTESIAN_POINT('Origin',(-5.1192307826941,9.5,-5.50606101936244)); #47416=CARTESIAN_POINT('',(-5.1192307826941,10.0000000000001,-5.50606101936244)); #47417=CARTESIAN_POINT('',(9.35900273198559,10.0000000000001,-19.0978720739582)); #47418=CARTESIAN_POINT('',(-5.1192307826941,9.5,-5.50606101936244)); #47419=CARTESIAN_POINT('',(-5.1192307826941,9.5,-5.50606101936244)); #47420=CARTESIAN_POINT('',(-5.1192307826941,9.5,-5.50606101936244)); #47421=CARTESIAN_POINT('Origin',(-4.89912468134116,9.5,-5.82399205464991)); #47422=CARTESIAN_POINT('',(-4.89912468134116,10.0000000000001,-5.82399205464991)); #47423=CARTESIAN_POINT('',(3.99971937624605,10.0000000000001,-18.6778779156113)); #47424=CARTESIAN_POINT('',(-4.89912468134116,9.5,-5.82399205464991)); #47425=CARTESIAN_POINT('',(-4.89912468134116,9.5,-5.82399205464991)); #47426=CARTESIAN_POINT('',(-4.89912468134116,9.5,-5.82399205464991)); #47427=CARTESIAN_POINT('Origin',(-4.81352786414846,9.5,-6.01964192251909)); #47428=CARTESIAN_POINT('',(-4.81352786414846,10.0000000000001,-6.01964192251909)); #47429=CARTESIAN_POINT('',(-0.313670536244899,10.0000000000001,-16.3050301005843)); #47430=CARTESIAN_POINT('',(-4.81352786414846,9.5,-6.01964192251909)); #47431=CARTESIAN_POINT('',(-4.81352786414846,9.5,-6.01964192251909)); #47432=CARTESIAN_POINT('',(-4.81352786414846,9.5,-6.01964192251909)); #47433=CARTESIAN_POINT('Origin',(-4.03704245104245,9.5,-6.01964192251909)); #47434=CARTESIAN_POINT('',(-4.03704245104245,10.0000000000001,-6.01964192251909)); #47435=CARTESIAN_POINT('',(21.6064787744776,10.0000000000001,-6.01964192251909)); #47436=CARTESIAN_POINT('',(-4.03704245104245,9.5,-6.01964192251909)); #47437=CARTESIAN_POINT('',(-4.03704245104245,9.5,-6.01964192251909)); #47438=CARTESIAN_POINT('',(-4.03704245104245,9.5,-6.01964192251909)); #47439=CARTESIAN_POINT('Origin',(-4.03704245104245,9.5,-0.999999999999986)); #47440=CARTESIAN_POINT('',(-4.03704245104245,10.0000000000001,-0.999999999999986)); #47441=CARTESIAN_POINT('',(-4.03704245104245,10.0000000000001,-4.37500000000041)); #47442=CARTESIAN_POINT('',(-4.03704245104245,9.5,-0.999999999999986)); #47443=CARTESIAN_POINT('',(-4.03704245104245,9.5,-0.999999999999986)); #47444=CARTESIAN_POINT('',(-4.03704245104245,9.5,-0.999999999999986)); #47445=CARTESIAN_POINT('Origin',(-4.99694961527575,9.5,-0.999999999999986)); #47446=CARTESIAN_POINT('',(-4.99694961527575,10.0000000000001,-0.999999999999986)); #47447=CARTESIAN_POINT('',(21.126525192361,10.0000000000001,-0.999999999999986)); #47448=CARTESIAN_POINT('',(-4.99694961527575,9.5,-0.999999999999986)); #47449=CARTESIAN_POINT('',(-4.99694961527575,9.5,-0.999999999999986)); #47450=CARTESIAN_POINT('',(-4.99694961527575,9.5,-0.999999999999986)); #47451=CARTESIAN_POINT('Origin',(-4.99694961527575,9.5,-4.61340849720925)); #47452=CARTESIAN_POINT('',(-4.99694961527575,10.0000000000001,-6.18170424860505)); #47453=CARTESIAN_POINT('',(-4.99694961527575,9.5,-4.61340849720925)); #47454=CARTESIAN_POINT('Origin',(-4.79624801208775,9.5,-3.6600126093869)); #47455=CARTESIAN_POINT('Origin',(-7.90112734145896,9.5,-1.24456233483646)); #47456=CARTESIAN_POINT('',(-7.61376659802636,10.0000000000001,-1.51969496152752)); #47457=CARTESIAN_POINT('',(-7.90112734145896,10.0000000000001,-1.24456233483646)); #47458=CARTESIAN_POINT('',(8.11066304104907,10.0000000000001,-16.5749999351132)); #47459=CARTESIAN_POINT('',(-7.61376659802636,9.5,-1.51969496152752)); #47460=CARTESIAN_POINT('',(-7.61376659802636,9.5,-1.51969496152752)); #47461=CARTESIAN_POINT('',(-7.90112734145896,9.5,-1.24456233483646)); #47462=CARTESIAN_POINT('',(-7.90112734145896,9.5,-1.24456233483646)); #47463=CARTESIAN_POINT('',(-7.90112734145896,9.5,-1.24456233483646)); #47464=CARTESIAN_POINT('Origin',(-8.22517243511766,9.5,-1.05502652533822)); #47465=CARTESIAN_POINT('',(-8.22517243511766,10.0000000000001,-1.05502652533822)); #47466=CARTESIAN_POINT('',(13.9007693141034,10.0000000000001,-13.9966150956344)); #47467=CARTESIAN_POINT('',(-8.22517243511766,9.5,-1.05502652533822)); #47468=CARTESIAN_POINT('',(-8.22517243511766,9.5,-1.05502652533822)); #47469=CARTESIAN_POINT('',(-8.22517243511766,9.5,-1.05502652533822)); #47470=CARTESIAN_POINT('Origin',(-8.58590187900109,9.5,-0.944973474661751)); #47471=CARTESIAN_POINT('',(-8.58590187900109,10.0000000000001,-0.944973474661751)); #47472=CARTESIAN_POINT('',(17.9044677150464,10.0000000000001,-9.02678114742418)); #47473=CARTESIAN_POINT('',(-8.58590187900109,9.5,-0.944973474661751)); #47474=CARTESIAN_POINT('',(-8.58590187900109,9.5,-0.944973474661751)); #47475=CARTESIAN_POINT('',(-8.58590187900109,9.5,-0.944973474661751)); #47476=CARTESIAN_POINT('Origin',(-8.94051726451426,9.5,-0.91440318280722)); #47477=CARTESIAN_POINT('',(-8.94051726451426,10.0000000000001,-0.91440318280722)); #47478=CARTESIAN_POINT('',(19.2399523658796,10.0000000000001,-3.34375401301129)); #47479=CARTESIAN_POINT('',(-8.94051726451426,9.5,-0.91440318280722)); #47480=CARTESIAN_POINT('',(-8.94051726451426,9.5,-0.91440318280722)); #47481=CARTESIAN_POINT('',(-8.94051726451426,9.5,-0.91440318280722)); #47482=CARTESIAN_POINT('Origin',(-9.35627323373638,9.5,-0.957201591403575)); #47483=CARTESIAN_POINT('',(-9.35627323373638,10.0000000000001,-0.957201591403575)); #47484=CARTESIAN_POINT('',(18.3041200243742,10.0000000000001,1.8901918322232)); #47485=CARTESIAN_POINT('',(-9.35627323373638,9.5,-0.957201591403575)); #47486=CARTESIAN_POINT('',(-9.35627323373638,9.5,-0.957201591403575)); #47487=CARTESIAN_POINT('',(-9.35627323373638,9.5,-0.957201591403575)); #47488=CARTESIAN_POINT('Origin',(-9.71088861924909,9.5,-1.09782493393458)); #47489=CARTESIAN_POINT('',(-9.71088861924909,10.0000000000001,-1.09782493393458)); #47490=CARTESIAN_POINT('',(13.7597545578656,10.0000000000001,8.20949908457671)); #47491=CARTESIAN_POINT('',(-9.71088861924909,9.5,-1.09782493393458)); #47492=CARTESIAN_POINT('',(-9.71088861924909,9.5,-1.09782493393458)); #47493=CARTESIAN_POINT('',(-9.71088861924909,9.5,-1.09782493393458)); #47494=CARTESIAN_POINT('Origin',(-9.88819631200568,9.5,-1.22622015972375)); #47495=CARTESIAN_POINT('',(-9.88819631200568,10.0000000000001,-1.22622015972375)); #47496=CARTESIAN_POINT('',(7.30378542577273,10.0000000000001,11.2231459262546)); #47497=CARTESIAN_POINT('',(-9.88819631200568,9.5,-1.22622015972375)); #47498=CARTESIAN_POINT('',(-9.88819631200568,9.5,-1.22622015972375)); #47499=CARTESIAN_POINT('',(-9.88819631200568,9.5,-1.22622015972375)); #47500=CARTESIAN_POINT('Origin',(-10.1205305301005,9.5,-1.50135278641481)); #47501=CARTESIAN_POINT('',(-10.1205305301005,10.0000000000001,-1.50135278641481)); #47502=CARTESIAN_POINT('',(0.279852772036065,10.0000000000001,10.8148905976951)); #47503=CARTESIAN_POINT('',(-10.1205305301005,9.5,-1.50135278641481)); #47504=CARTESIAN_POINT('',(-10.1205305301005,9.5,-1.50135278641481)); #47505=CARTESIAN_POINT('',(-10.1205305301005,9.5,-1.50135278641481)); #47506=CARTESIAN_POINT('Origin',(-10.279496047744,9.5,-1.85596817192776)); #47507=CARTESIAN_POINT('',(-10.279496047744,10.0000000000001,-1.85596817192776)); #47508=CARTESIAN_POINT('',(-6.56640949681196,10.0000000000001,6.4270710570756)); #47509=CARTESIAN_POINT('',(-10.279496047744,9.5,-1.85596817192776)); #47510=CARTESIAN_POINT('',(-10.279496047744,9.5,-1.85596817192776)); #47511=CARTESIAN_POINT('',(-10.279496047744,9.5,-1.85596817192776)); #47512=CARTESIAN_POINT('Origin',(-10.3528647481949,9.5,-2.15555703210247)); #47513=CARTESIAN_POINT('',(-10.3528647481949,10.0000000000001,-2.15555703210247)); #47514=CARTESIAN_POINT('',(-9.36950913746523,10.0000000000001,1.85981171171099)); #47515=CARTESIAN_POINT('',(-10.3528647481949,9.5,-2.15555703210247)); #47516=CARTESIAN_POINT('',(-10.3528647481949,9.5,-2.15555703210247)); #47517=CARTESIAN_POINT('',(-10.3528647481949,9.5,-2.15555703210247)); #47518=CARTESIAN_POINT('Origin',(-9.42352787581649,9.5,-2.25949602440794)); #47519=CARTESIAN_POINT('',(-9.42352787581649,10.0000000000001,-2.25949602440794)); #47520=CARTESIAN_POINT('',(18.8664018107515,10.0000000000001,-5.42350131829933)); #47521=CARTESIAN_POINT('',(-9.42352787581649,9.5,-2.25949602440794)); #47522=CARTESIAN_POINT('',(-9.42352787581649,9.5,-2.25949602440794)); #47523=CARTESIAN_POINT('',(-9.42352787581649,9.5,-2.25949602440794)); #47524=CARTESIAN_POINT('Origin',(-9.28901859165626,9.5,-1.88653846378229)); #47525=CARTESIAN_POINT('',(-9.28901859165626,10.0000000000001,-1.88653846378229)); #47526=CARTESIAN_POINT('',(-6.97081174067489,10.0000000000001,4.54121689575709)); #47527=CARTESIAN_POINT('',(-9.28901859165626,9.5,-1.88653846378229)); #47528=CARTESIAN_POINT('',(-9.28901859165626,9.5,-1.88653846378229)); #47529=CARTESIAN_POINT('',(-9.28901859165626,9.5,-1.88653846378229)); #47530=CARTESIAN_POINT('Origin',(-9.24622018305991,9.5,-1.83762599681499)); #47531=CARTESIAN_POINT('',(-9.24622018305991,10.0000000000001,-1.83762599681499)); #47532=CARTESIAN_POINT('',(1.53794727177865,10.0000000000001,10.4871368087065)); #47533=CARTESIAN_POINT('',(-9.24622018305991,9.5,-1.83762599681499)); #47534=CARTESIAN_POINT('',(-9.24622018305991,9.5,-1.83762599681499)); #47535=CARTESIAN_POINT('',(-9.24622018305991,9.5,-1.83762599681499)); #47536=CARTESIAN_POINT('Origin',(-8.89160479754674,9.5,-1.70311671265493)); #47537=CARTESIAN_POINT('',(-8.89160479754674,10.0000000000001,-1.70311671265493)); #47538=CARTESIAN_POINT('',(14.645886816837,10.0000000000001,7.22489734797191)); #47539=CARTESIAN_POINT('',(-8.89160479754674,9.5,-1.70311671265493)); #47540=CARTESIAN_POINT('',(-8.89160479754674,9.5,-1.70311671265493)); #47541=CARTESIAN_POINT('',(-8.89160479754674,9.5,-1.70311671265493)); #47542=CARTESIAN_POINT('Origin',(-8.86714856406343,9.5,-1.70311671265493)); #47543=CARTESIAN_POINT('',(-8.86714856406343,10.0000000000001,-1.70311671265493)); #47544=CARTESIAN_POINT('',(19.1914257179674,10.0000000000001,-1.70311671265493)); #47545=CARTESIAN_POINT('',(-8.86714856406343,9.5,-1.70311671265493)); #47546=CARTESIAN_POINT('',(-8.86714856406343,9.5,-1.70311671265493)); #47547=CARTESIAN_POINT('',(-8.86714856406343,9.5,-1.70311671265493)); #47548=CARTESIAN_POINT('Origin',(-8.51864723692143,9.5,-1.81928382170223)); #47549=CARTESIAN_POINT('',(-8.51864723692143,10.0000000000001,-1.81928382170223)); #47550=CARTESIAN_POINT('',(17.4668514464388,10.0000000000001,-10.4811167161527)); #47551=CARTESIAN_POINT('',(-8.51864723692143,9.5,-1.81928382170223)); #47552=CARTESIAN_POINT('',(-8.51864723692143,9.5,-1.81928382170223)); #47553=CARTESIAN_POINT('',(-8.51864723692143,9.5,-1.81928382170223)); #47554=CARTESIAN_POINT('Origin',(-8.3657957776486,9.5,-1.97824933934599)); #47555=CARTESIAN_POINT('',(-8.3657957776486,10.0000000000001,-1.97824933934599)); #47556=CARTESIAN_POINT('',(6.43493838470659,10.0000000000001,-17.3710128681982)); #47557=CARTESIAN_POINT('',(-8.3657957776486,9.5,-1.97824933934599)); #47558=CARTESIAN_POINT('',(-8.3657957776486,9.5,-1.97824933934599)); #47559=CARTESIAN_POINT('',(-8.3657957776486,9.5,-1.97824933934599)); #47560=CARTESIAN_POINT('Origin',(-8.23740055185908,9.5,-2.22892573255341)); #47561=CARTESIAN_POINT('',(-8.23740055185908,10.0000000000001,-2.22892573255341)); #47562=CARTESIAN_POINT('',(-1.35154084695159,10.0000000000001,-15.6727470611868)); #47563=CARTESIAN_POINT('',(-8.23740055185908,9.5,-2.22892573255341)); #47564=CARTESIAN_POINT('',(-8.23740055185908,9.5,-2.22892573255341)); #47565=CARTESIAN_POINT('',(-8.23740055185908,9.5,-2.22892573255341)); #47566=CARTESIAN_POINT('Origin',(-8.15180373466637,9.5,-2.61411140992088)); #47567=CARTESIAN_POINT('',(-8.15180373466637,10.0000000000001,-2.61411140992088)); #47568=CARTESIAN_POINT('',(-6.30443191372251,10.0000000000001,-10.9272846041618)); #47569=CARTESIAN_POINT('',(-8.15180373466637,9.5,-2.61411140992088)); #47570=CARTESIAN_POINT('',(-8.15180373466637,9.5,-2.61411140992088)); #47571=CARTESIAN_POINT('',(-8.15180373466637,9.5,-2.61411140992088)); #47572=CARTESIAN_POINT('Origin',(-8.09677720932814,9.5,-3.10935013796477)); #47573=CARTESIAN_POINT('',(-8.09677720932814,10.0000000000001,-3.10935013796477)); #47574=CARTESIAN_POINT('',(-7.50462705098926,10.0000000000001,-8.43870156301712)); #47575=CARTESIAN_POINT('',(-8.09677720932814,9.5,-3.10935013796477)); #47576=CARTESIAN_POINT('',(-8.09677720932814,9.5,-3.10935013796477)); #47577=CARTESIAN_POINT('',(-8.09677720932814,9.5,-3.10935013796477)); #47578=CARTESIAN_POINT('Origin',(-8.39636606950308,9.5,-2.85255968638647)); #47579=CARTESIAN_POINT('',(-8.39636606950308,10.0000000000001,-2.85255968638647)); #47580=CARTESIAN_POINT('',(8.85283646330618,10.0000000000001,-17.6375904287931)); #47581=CARTESIAN_POINT('',(-8.39636606950308,9.5,-2.85255968638647)); #47582=CARTESIAN_POINT('',(-8.39636606950308,9.5,-2.85255968638647)); #47583=CARTESIAN_POINT('',(-8.39636606950308,9.5,-2.85255968638647)); #47584=CARTESIAN_POINT('Origin',(-8.74486739664508,9.5,-2.71193634385547)); #47585=CARTESIAN_POINT('',(-8.74486739664508,10.0000000000001,-2.71193634385547)); #47586=CARTESIAN_POINT('',(16.2064594462472,10.0000000000001,-12.7800155962519)); #47587=CARTESIAN_POINT('',(-8.74486739664508,9.5,-2.71193634385547)); #47588=CARTESIAN_POINT('',(-8.74486739664508,9.5,-2.71193634385547)); #47589=CARTESIAN_POINT('',(-8.74486739664508,9.5,-2.71193634385547)); #47590=CARTESIAN_POINT('Origin',(-9.00165784822321,9.5,-2.68748011037182)); #47591=CARTESIAN_POINT('',(-9.00165784822321,10.0000000000001,-2.68748011037182)); #47592=CARTESIAN_POINT('',(19.1102600691138,10.0000000000001,-5.3648056263087)); #47593=CARTESIAN_POINT('',(-9.00165784822321,9.5,-2.68748011037182)); #47594=CARTESIAN_POINT('',(-9.00165784822321,9.5,-2.68748011037182)); #47595=CARTESIAN_POINT('',(-9.00165784822321,9.5,-2.68748011037182)); #47596=CARTESIAN_POINT('Origin',(-9.38072946722014,9.5,-2.74250663571)); #47597=CARTESIAN_POINT('',(-9.38072946722014,10.0000000000001,-2.74250663571)); #47598=CARTESIAN_POINT('',(17.9943460454833,10.0000000000001,1.23129464838944)); #47599=CARTESIAN_POINT('',(-9.38072946722014,9.5,-2.74250663571)); #47600=CARTESIAN_POINT('',(-9.38072946722014,9.5,-2.74250663571)); #47601=CARTESIAN_POINT('',(-9.38072946722014,9.5,-2.74250663571)); #47602=CARTESIAN_POINT('Origin',(-9.72923079436214,9.5,-2.90147215335377)); #47603=CARTESIAN_POINT('',(-9.72923079436214,10.0000000000001,-2.90147215335377)); #47604=CARTESIAN_POINT('',(12.9382854581381,10.0000000000001,7.43809666357902)); #47605=CARTESIAN_POINT('',(-9.72923079436214,9.5,-2.90147215335377)); #47606=CARTESIAN_POINT('',(-9.72923079436214,9.5,-2.90147215335377)); #47607=CARTESIAN_POINT('',(-9.72923079436214,9.5,-2.90147215335377)); #47608=CARTESIAN_POINT('Origin',(-10.0165915377947,9.5,-3.14603448819024)); #47609=CARTESIAN_POINT('',(-10.0165915377947,10.0000000000001,-3.14603448819024)); #47610=CARTESIAN_POINT('',(5.45288149250064,10.0000000000001,10.0194744737621)); #47611=CARTESIAN_POINT('',(-10.0165915377947,9.5,-3.14603448819024)); #47612=CARTESIAN_POINT('',(-10.0165915377947,9.5,-3.14603448819024)); #47613=CARTESIAN_POINT('',(-10.0165915377947,9.5,-3.14603448819024)); #47614=CARTESIAN_POINT('Origin',(-10.2366976391477,9.5,-3.44562334836495)); #47615=CARTESIAN_POINT('',(-10.2366976391477,10.0000000000001,-3.44562334836495)); #47616=CARTESIAN_POINT('',(-1.18749021057556,10.0000000000001,8.87135342941184)); #47617=CARTESIAN_POINT('',(-10.2366976391477,9.5,-3.44562334836495)); #47618=CARTESIAN_POINT('',(-10.2366976391477,9.5,-3.44562334836495)); #47619=CARTESIAN_POINT('',(-10.2366976391477,9.5,-3.44562334836495)); #47620=CARTESIAN_POINT('Origin',(-9.32570294188145,9.5,-5.01693634968943)); #47621=CARTESIAN_POINT('',(-9.24622018305991,10.0000000000001,-5.10253316688225)); #47622=CARTESIAN_POINT('',(-9.32570294188145,10.0000000000001,-5.01693634968943)); #47623=CARTESIAN_POINT('',(4.45335374513752,10.0000000000001,-19.8559204741865)); #47624=CARTESIAN_POINT('',(-9.24622018305991,9.5,-5.10253316688225)); #47625=CARTESIAN_POINT('',(-9.24622018305991,9.5,-5.10253316688225)); #47626=CARTESIAN_POINT('',(-9.32570294188145,9.5,-5.01693634968943)); #47627=CARTESIAN_POINT('',(-9.32570294188145,9.5,-5.01693634968943)); #47628=CARTESIAN_POINT('',(-9.32570294188145,9.5,-5.01693634968943)); #47629=CARTESIAN_POINT('Origin',(-9.46632628441285,9.5,-4.71123343114384)); #47630=CARTESIAN_POINT('',(-9.46632628441285,10.0000000000001,-4.71123343114384)); #47631=CARTESIAN_POINT('',(-3.9368581169233,10.0000000000001,-16.731816403944)); #47632=CARTESIAN_POINT('',(-9.46632628441285,9.5,-4.71123343114384)); #47633=CARTESIAN_POINT('',(-9.46632628441285,9.5,-4.71123343114384)); #47634=CARTESIAN_POINT('',(-9.46632628441285,9.5,-4.71123343114384)); #47635=CARTESIAN_POINT('Origin',(-9.50301063463803,9.5,-4.33216181214725)); #47636=CARTESIAN_POINT('',(-9.50301063463803,10.0000000000001,-4.33216181214725)); #47637=CARTESIAN_POINT('',(-9.07587865155438,10.0000000000001,-8.74585897067699)); #47638=CARTESIAN_POINT('',(-9.50301063463803,9.5,-4.33216181214725)); #47639=CARTESIAN_POINT('',(-9.50301063463803,9.5,-4.33216181214725)); #47640=CARTESIAN_POINT('',(-9.50301063463803,9.5,-4.33216181214725)); #47641=CARTESIAN_POINT('Origin',(-9.45409816767097,9.5,-3.89194960944154)); #47642=CARTESIAN_POINT('',(-9.45409816767097,10.0000000000001,-3.89194960944154)); #47643=CARTESIAN_POINT('',(-9.32006374905723,10.0000000000001,-2.68563984191927)); #47644=CARTESIAN_POINT('',(-9.45409816767097,9.5,-3.89194960944154)); #47645=CARTESIAN_POINT('',(-9.45409816767097,9.5,-3.89194960944154)); #47646=CARTESIAN_POINT('',(-9.45409816767097,9.5,-3.89194960944154)); #47647=CARTESIAN_POINT('Origin',(-9.31347482514002,9.5,-3.62293104112142)); #47648=CARTESIAN_POINT('',(-9.31347482514002,10.0000000000001,-3.62293104112142)); #47649=CARTESIAN_POINT('',(-4.09126703688389,10.0000000000001,6.36737951032726)); #47650=CARTESIAN_POINT('',(-9.31347482514002,9.5,-3.62293104112142)); #47651=CARTESIAN_POINT('',(-9.31347482514002,9.5,-3.62293104112142)); #47652=CARTESIAN_POINT('',(-9.31347482514002,9.5,-3.62293104112142)); #47653=CARTESIAN_POINT('Origin',(-8.99554378985249,9.5,-3.40893899813948)); #47654=CARTESIAN_POINT('',(-8.99554378985249,10.0000000000001,-3.40893899813948)); #47655=CARTESIAN_POINT('',(9.35355513918467,10.0000000000001,8.94141605025027)); #47656=CARTESIAN_POINT('',(-8.99554378985249,9.5,-3.40893899813948)); #47657=CARTESIAN_POINT('',(-8.99554378985249,9.5,-3.40893899813948)); #47658=CARTESIAN_POINT('',(-8.99554378985249,9.5,-3.40893899813948)); #47659=CARTESIAN_POINT('Origin',(-8.83657827220896,9.5,-3.39059682302677)); #47660=CARTESIAN_POINT('',(-8.83657827220896,10.0000000000001,-3.39059682302677)); #47661=CARTESIAN_POINT('',(18.5900592929079,10.0000000000001,-0.22598479628742)); #47662=CARTESIAN_POINT('',(-8.83657827220896,9.5,-3.39059682302677)); #47663=CARTESIAN_POINT('',(-8.83657827220896,9.5,-3.39059682302677)); #47664=CARTESIAN_POINT('',(-8.83657827220896,9.5,-3.39059682302677)); #47665=CARTESIAN_POINT('Origin',(-8.47584882832462,9.5,-3.50676393207407)); #47666=CARTESIAN_POINT('',(-8.47584882832462,10.0000000000001,-3.50676393207407)); #47667=CARTESIAN_POINT('',(17.3880693657866,10.0000000000001,-11.8358223335636)); #47668=CARTESIAN_POINT('',(-8.47584882832462,9.5,-3.50676393207407)); #47669=CARTESIAN_POINT('',(-8.47584882832462,9.5,-3.50676393207407)); #47670=CARTESIAN_POINT('',(-8.47584882832462,9.5,-3.50676393207407)); #47671=CARTESIAN_POINT('Origin',(-7.61376659802636,9.5,-1.51969496152752)); #47672=CARTESIAN_POINT('',(-7.56485413105884,10.0000000000001,-1.58694960360758)); #47673=CARTESIAN_POINT('',(3.3579844983442,10.0000000000001,-16.6058527190438)); #47674=CARTESIAN_POINT('',(-7.56485413105884,9.5,-1.58694960360758)); #47675=CARTESIAN_POINT('',(-7.56485413105884,9.5,-1.58694960360758)); #47676=CARTESIAN_POINT('',(-7.61376659802636,9.5,-1.51969496152752)); #47677=CARTESIAN_POINT('Origin',(-10.3773209816786,9.5,-3.80023873387789)); #47678=CARTESIAN_POINT('',(-10.3773209816786,10.0000000000001,-3.80023873387789)); #47679=CARTESIAN_POINT('',(-7.13870407051991,10.0000000000001,4.36670825948168)); #47680=CARTESIAN_POINT('',(-10.3773209816786,9.5,-3.80023873387789)); #47681=CARTESIAN_POINT('',(-10.3773209816786,9.5,-3.80023873387789)); #47682=CARTESIAN_POINT('',(-10.3773209816786,9.5,-3.80023873387789)); #47683=CARTESIAN_POINT('Origin',(-10.4445756237587,9.5,-4.20376658635813)); #47684=CARTESIAN_POINT('',(-10.4445756237587,10.0000000000001,-4.20376658635813)); #47685=CARTESIAN_POINT('',(-9.95245163535447,10.0000000000001,-1.25102265593249)); #47686=CARTESIAN_POINT('',(-10.4445756237587,9.5,-4.20376658635813)); #47687=CARTESIAN_POINT('',(-10.4445756237587,9.5,-4.20376658635813)); #47688=CARTESIAN_POINT('',(-10.4445756237587,9.5,-4.20376658635813)); #47689=CARTESIAN_POINT('Origin',(-10.4445756237587,9.5,-4.33216181214725)); #47690=CARTESIAN_POINT('',(-10.4445756237587,10.0000000000001,-4.33216181214725)); #47691=CARTESIAN_POINT('',(-10.4445756237587,10.0000000000001,-6.04108090607404)); #47692=CARTESIAN_POINT('',(-10.4445756237587,9.5,-4.33216181214725)); #47693=CARTESIAN_POINT('',(-10.4445756237587,9.5,-4.33216181214725)); #47694=CARTESIAN_POINT('',(-10.4445756237587,9.5,-4.33216181214725)); #47695=CARTESIAN_POINT('Origin',(-10.4078912735331,9.5,-4.74791778136931)); #47696=CARTESIAN_POINT('',(-10.4078912735331,10.0000000000001,-4.74791778136931)); #47697=CARTESIAN_POINT('',(-10.0537567637639,10.0000000000001,-8.76144222541973)); #47698=CARTESIAN_POINT('',(-10.4078912735331,9.5,-4.74791778136931)); #47699=CARTESIAN_POINT('',(-10.4078912735331,9.5,-4.74791778136931)); #47700=CARTESIAN_POINT('',(-10.4078912735331,9.5,-4.74791778136931)); #47701=CARTESIAN_POINT('Origin',(-10.2917241644859,9.5,-5.11476128362408)); #47702=CARTESIAN_POINT('',(-10.2917241644859,10.0000000000001,-5.11476128362408)); #47703=CARTESIAN_POINT('',(-7.29036913292157,10.0000000000001,-14.5927245411945)); #47704=CARTESIAN_POINT('',(-10.2917241644859,9.5,-5.11476128362408)); #47705=CARTESIAN_POINT('',(-10.2917241644859,9.5,-5.11476128362408)); #47706=CARTESIAN_POINT('',(-10.2917241644859,9.5,-5.11476128362408)); #47707=CARTESIAN_POINT('Origin',(-10.0960742966167,9.5,-5.43269231891149)); #47708=CARTESIAN_POINT('',(-10.0960742966167,10.0000000000001,-5.43269231891149)); #47709=CARTESIAN_POINT('',(-1.70305121975707,10.0000000000001,-19.0713548188086)); #47710=CARTESIAN_POINT('',(-10.0960742966167,9.5,-5.43269231891149)); #47711=CARTESIAN_POINT('',(-10.0960742966167,9.5,-5.43269231891149)); #47712=CARTESIAN_POINT('',(-10.0960742966167,9.5,-5.43269231891149)); #47713=CARTESIAN_POINT('Origin',(-9.99213530431098,9.5,-5.55497348632973)); #47714=CARTESIAN_POINT('',(-9.99213530431098,10.0000000000001,-5.55497348632973)); #47715=CARTESIAN_POINT('',(2.55451645011499,10.0000000000001,-20.3157402562385)); #47716=CARTESIAN_POINT('',(-9.99213530431098,9.5,-5.55497348632973)); #47717=CARTESIAN_POINT('',(-9.99213530431098,9.5,-5.55497348632973)); #47718=CARTESIAN_POINT('',(-9.99213530431098,9.5,-5.55497348632973)); #47719=CARTESIAN_POINT('Origin',(-9.6925464441365,9.5,-5.79953582116626)); #47720=CARTESIAN_POINT('',(-9.6925464441365,10.0000000000001,-5.79953582116626)); #47721=CARTESIAN_POINT('',(7.87080819256289,10.0000000000001,-20.1369681776575)); #47722=CARTESIAN_POINT('',(-9.6925464441365,9.5,-5.79953582116626)); #47723=CARTESIAN_POINT('',(-9.6925464441365,9.5,-5.79953582116626)); #47724=CARTESIAN_POINT('',(-9.6925464441365,9.5,-5.79953582116626)); #47725=CARTESIAN_POINT('Origin',(-9.35015917536521,9.5,-5.95238728043908)); #47726=CARTESIAN_POINT('',(-9.35015917536521,10.0000000000001,-5.95238728043908)); #47727=CARTESIAN_POINT('',(14.5816085700913,10.0000000000001,-16.6362121668039)); #47728=CARTESIAN_POINT('',(-9.35015917536521,9.5,-5.95238728043908)); #47729=CARTESIAN_POINT('',(-9.35015917536521,9.5,-5.95238728043908)); #47730=CARTESIAN_POINT('',(-9.35015917536521,9.5,-5.95238728043908)); #47731=CARTESIAN_POINT('Origin',(-8.95274538125614,9.5,-6.01964192251909)); #47732=CARTESIAN_POINT('',(-8.95274538125614,10.0000000000001,-6.01964192251909)); #47733=CARTESIAN_POINT('',(18.5085759787535,10.0000000000001,-10.6669424603646)); #47734=CARTESIAN_POINT('',(-8.95274538125614,9.5,-6.01964192251909)); #47735=CARTESIAN_POINT('',(-8.95274538125614,9.5,-6.01964192251909)); #47736=CARTESIAN_POINT('',(-8.95274538125614,9.5,-6.01964192251909)); #47737=CARTESIAN_POINT('Origin',(-8.84269233057967,9.5,-6.01964192251909)); #47738=CARTESIAN_POINT('',(-8.84269233057967,10.0000000000001,-6.01964192251909)); #47739=CARTESIAN_POINT('',(19.2036538347093,10.0000000000001,-6.01964192251909)); #47740=CARTESIAN_POINT('',(-8.84269233057967,9.5,-6.01964192251909)); #47741=CARTESIAN_POINT('',(-8.84269233057967,9.5,-6.01964192251909)); #47742=CARTESIAN_POINT('',(-8.84269233057967,9.5,-6.01964192251909)); #47743=CARTESIAN_POINT('Origin',(-8.45139259484131,9.5,-5.97684351392273)); #47744=CARTESIAN_POINT('',(-8.45139259484131,10.0000000000001,-5.97684351392273)); #47745=CARTESIAN_POINT('',(18.974244312602,10.0000000000001,-2.97716447717356)); #47746=CARTESIAN_POINT('',(-8.45139259484131,9.5,-5.97684351392273)); #47747=CARTESIAN_POINT('',(-8.45139259484131,9.5,-5.97684351392273)); #47748=CARTESIAN_POINT('',(-8.45139259484131,9.5,-5.97684351392273)); #47749=CARTESIAN_POINT('Origin',(-8.09677720932814,9.5,-5.84844828813356)); #47750=CARTESIAN_POINT('',(-8.09677720932814,10.0000000000001,-5.84844828813356)); #47751=CARTESIAN_POINT('',(16.0649137955484,10.0000000000001,2.89975017915123)); #47752=CARTESIAN_POINT('',(-8.09677720932814,9.5,-5.84844828813356)); #47753=CARTESIAN_POINT('',(-8.09677720932814,9.5,-5.84844828813356)); #47754=CARTESIAN_POINT('',(-8.09677720932814,9.5,-5.84844828813356)); #47755=CARTESIAN_POINT('Origin',(-7.78496023241178,9.5,-5.62834218678073)); #47756=CARTESIAN_POINT('',(-7.78496023241178,10.0000000000001,-5.62834218678073)); #47757=CARTESIAN_POINT('',(10.0814200369537,10.0000000000001,6.98322035629967)); #47758=CARTESIAN_POINT('',(-7.78496023241178,9.5,-5.62834218678073)); #47759=CARTESIAN_POINT('',(-7.78496023241178,9.5,-5.62834218678073)); #47760=CARTESIAN_POINT('',(-7.78496023241178,9.5,-5.62834218678073)); #47761=CARTESIAN_POINT('Origin',(-7.59542442291331,9.5,-5.43269231891149)); #47762=CARTESIAN_POINT('',(-7.59542442291331,10.0000000000001,-5.43269231891149)); #47763=CARTESIAN_POINT('',(5.10172511128576,10.0000000000001,7.67404268413439)); #47764=CARTESIAN_POINT('',(-7.59542442291331,9.5,-5.43269231891149)); #47765=CARTESIAN_POINT('',(-7.59542442291331,9.5,-5.43269231891149)); #47766=CARTESIAN_POINT('',(-7.59542442291331,9.5,-5.43269231891149)); #47767=CARTESIAN_POINT('Origin',(-9.24622018305991,9.5,-5.10253316688225)); #47768=CARTESIAN_POINT('',(-8.88549073917602,10.0000000000001,-5.22481433430049)); #47769=CARTESIAN_POINT('',(16.4929389666836,10.0000000000001,-13.8276718617098)); #47770=CARTESIAN_POINT('',(-8.88549073917602,9.5,-5.22481433430049)); #47771=CARTESIAN_POINT('',(-8.88549073917602,9.5,-5.22481433430049)); #47772=CARTESIAN_POINT('',(-9.24622018305991,9.5,-5.10253316688225)); #47773=CARTESIAN_POINT('Origin',(-8.37190983601931,9.5,-3.6107029243796)); #47774=CARTESIAN_POINT('',(-8.37190983601931,10.0000000000001,-3.6107029243796)); #47775=CARTESIAN_POINT('',(6.56839189188304,10.0000000000001,-18.5510046522899)); #47776=CARTESIAN_POINT('',(-8.37190983601931,9.5,-3.6107029243796)); #47777=CARTESIAN_POINT('',(-8.37190983601931,9.5,-3.6107029243796)); #47778=CARTESIAN_POINT('',(-8.37190983601931,9.5,-3.6107029243796)); #47779=CARTESIAN_POINT('Origin',(-8.21905837674649,9.5,-3.92863395966707)); #47780=CARTESIAN_POINT('',(-8.21905837674649,10.0000000000001,-3.92863395966707)); #47781=CARTESIAN_POINT('',(-2.26592870749164,10.0000000000001,-16.3111436717174)); #47782=CARTESIAN_POINT('',(-8.21905837674649,9.5,-3.92863395966707)); #47783=CARTESIAN_POINT('',(-8.21905837674649,9.5,-3.92863395966707)); #47784=CARTESIAN_POINT('',(-8.21905837674649,9.5,-3.92863395966707)); #47785=CARTESIAN_POINT('Origin',(-8.1823740265213,9.5,-4.25267905332542)); #47786=CARTESIAN_POINT('',(-8.1823740265213,10.0000000000001,-4.25267905332542)); #47787=CARTESIAN_POINT('',(-7.63620293232179,10.0000000000001,-9.07719038542437)); #47788=CARTESIAN_POINT('',(-8.1823740265213,9.5,-4.25267905332542)); #47789=CARTESIAN_POINT('',(-8.1823740265213,9.5,-4.25267905332542)); #47790=CARTESIAN_POINT('',(-8.1823740265213,9.5,-4.25267905332542)); #47791=CARTESIAN_POINT('Origin',(-8.23740055185908,9.5,-4.67454908091837)); #47792=CARTESIAN_POINT('',(-8.23740055185908,10.0000000000001,-4.67454908091837)); #47793=CARTESIAN_POINT('',(-7.97050418424715,10.0000000000001,-2.62834359589495)); #47794=CARTESIAN_POINT('',(-8.23740055185908,9.5,-4.67454908091837)); #47795=CARTESIAN_POINT('',(-8.23740055185908,9.5,-4.67454908091837)); #47796=CARTESIAN_POINT('',(-8.23740055185908,9.5,-4.67454908091837)); #47797=CARTESIAN_POINT('Origin',(-8.39636606950308,9.5,-4.96802388272214)); #47798=CARTESIAN_POINT('',(-8.39636606950308,10.0000000000001,-4.96802388272214)); #47799=CARTESIAN_POINT('',(-2.66733297074188,10.0000000000001,5.60865260729925)); #47800=CARTESIAN_POINT('',(-8.39636606950308,9.5,-4.96802388272214)); #47801=CARTESIAN_POINT('',(-8.39636606950308,9.5,-4.96802388272214)); #47802=CARTESIAN_POINT('',(-8.39636606950308,9.5,-4.96802388272214)); #47803=CARTESIAN_POINT('Origin',(-8.7142971047906,9.5,-5.20035810081684)); #47804=CARTESIAN_POINT('',(-8.7142971047906,10.0000000000001,-5.20035810081684)); #47805=CARTESIAN_POINT('',(8.91942601219989,10.0000000000001,7.68582417698485)); #47806=CARTESIAN_POINT('',(-8.7142971047906,9.5,-5.20035810081684)); #47807=CARTESIAN_POINT('',(-8.7142971047906,9.5,-5.20035810081684)); #47808=CARTESIAN_POINT('',(-8.7142971047906,9.5,-5.20035810081684)); #47809=CARTESIAN_POINT('Origin',(-8.88549073917602,9.5,-5.22481433430049)); #47810=CARTESIAN_POINT('',(18.4441367264203,10.0000000000001,-1.32058183921529)); #47811=CARTESIAN_POINT('',(-8.88549073917602,9.5,-5.22481433430049)); #47812=CARTESIAN_POINT('Origin',(-7.4242307885279,9.5,-5.1759018673332)); #47813=CARTESIAN_POINT('',(-7.4242307885279,10.0000000000001,-5.1759018673332)); #47814=CARTESIAN_POINT('',(0.393166686783388,10.0000000000001,6.55019434563401)); #47815=CARTESIAN_POINT('',(-7.4242307885279,9.5,-5.1759018673332)); #47816=CARTESIAN_POINT('',(-7.4242307885279,9.5,-5.1759018673332)); #47817=CARTESIAN_POINT('',(-7.4242307885279,9.5,-5.1759018673332)); #47818=CARTESIAN_POINT('Origin',(-7.28972150436812,9.5,-4.86408489041666)); #47819=CARTESIAN_POINT('',(-7.28972150436812,10.0000000000001,-4.86408489041666)); #47820=CARTESIAN_POINT('',(-3.53619987468301,10.0000000000001,3.83726070567191)); #47821=CARTESIAN_POINT('',(-7.28972150436812,9.5,-4.86408489041666)); #47822=CARTESIAN_POINT('',(-7.28972150436812,9.5,-4.86408489041666)); #47823=CARTESIAN_POINT('',(-7.28972150436812,9.5,-4.86408489041666)); #47824=CARTESIAN_POINT('Origin',(-7.19189657043307,9.5,-4.4972413881619)); #47825=CARTESIAN_POINT('',(-7.19189657043307,10.0000000000001,-4.4972413881619)); #47826=CARTESIAN_POINT('',(-5.78960439529328,10.0000000000001,0.761354268608557)); #47827=CARTESIAN_POINT('',(-7.19189657043307,9.5,-4.4972413881619)); #47828=CARTESIAN_POINT('',(-7.19189657043307,9.5,-4.4972413881619)); #47829=CARTESIAN_POINT('',(-7.19189657043307,9.5,-4.4972413881619)); #47830=CARTESIAN_POINT('Origin',(-7.13075598672413,9.5,-4.06925730219801)); #47831=CARTESIAN_POINT('',(-7.13075598672413,10.0000000000001,-4.06925730219801)); #47832=CARTESIAN_POINT('',(-6.84460041570355,10.0000000000001,-2.06616830505134)); #47833=CARTESIAN_POINT('',(-7.13075598672413,9.5,-4.06925730219801)); #47834=CARTESIAN_POINT('',(-7.13075598672413,9.5,-4.06925730219801)); #47835=CARTESIAN_POINT('',(-7.13075598672413,9.5,-4.06925730219801)); #47836=CARTESIAN_POINT('Origin',(-7.10629975324037,9.5,-3.58624669089595)); #47837=CARTESIAN_POINT('',(-7.10629975324037,10.0000000000001,-3.58624669089595)); #47838=CARTESIAN_POINT('',(-7.14194345226724,10.0000000000001,-4.29020974668157)); #47839=CARTESIAN_POINT('',(-7.10629975324037,9.5,-3.58624669089595)); #47840=CARTESIAN_POINT('',(-7.10629975324037,9.5,-3.58624669089595)); #47841=CARTESIAN_POINT('',(-7.10629975324037,9.5,-3.58624669089595)); #47842=CARTESIAN_POINT('Origin',(-7.10629975324037,9.5,-3.50064987370318)); #47843=CARTESIAN_POINT('',(-7.10629975324037,10.0000000000001,-3.50064987370318)); #47844=CARTESIAN_POINT('',(-7.10629975324037,10.0000000000001,-5.62532493685201)); #47845=CARTESIAN_POINT('',(-7.10629975324037,9.5,-3.50064987370318)); #47846=CARTESIAN_POINT('',(-7.10629975324037,9.5,-3.50064987370318)); #47847=CARTESIAN_POINT('',(-7.10629975324037,9.5,-3.50064987370318)); #47848=CARTESIAN_POINT('Origin',(-7.12464192835341,9.5,-3.0054111456593)); #47849=CARTESIAN_POINT('',(-7.12464192835341,10.0000000000001,-3.0054111456593)); #47850=CARTESIAN_POINT('',(-6.9996566263012,10.0000000000001,-6.38001430105838)); #47851=CARTESIAN_POINT('',(-7.12464192835341,9.5,-3.0054111456593)); #47852=CARTESIAN_POINT('',(-7.12464192835341,9.5,-3.0054111456593)); #47853=CARTESIAN_POINT('',(-7.12464192835341,9.5,-3.0054111456593)); #47854=CARTESIAN_POINT('Origin',(-7.17966845369165,9.5,-2.57131300132447)); #47855=CARTESIAN_POINT('',(-7.17966845369165,10.0000000000001,-2.57131300132447)); #47856=CARTESIAN_POINT('',(-6.42625336809124,10.0000000000001,-8.51492089884614)); #47857=CARTESIAN_POINT('',(-7.17966845369165,9.5,-2.57131300132447)); #47858=CARTESIAN_POINT('',(-7.17966845369165,9.5,-2.57131300132447)); #47859=CARTESIAN_POINT('',(-7.17966845369165,9.5,-2.57131300132447)); #47860=CARTESIAN_POINT('Origin',(-7.27137932925507,9.5,-2.186127323957)); #47861=CARTESIAN_POINT('',(-7.27137932925507,10.0000000000001,-2.186127323957)); #47862=CARTESIAN_POINT('',(-5.18206482902269,10.0000000000001,-10.9612482249313)); #47863=CARTESIAN_POINT('',(-7.27137932925507,9.5,-2.186127323957)); #47864=CARTESIAN_POINT('',(-7.27137932925507,9.5,-2.186127323957)); #47865=CARTESIAN_POINT('',(-7.27137932925507,9.5,-2.186127323957)); #47866=CARTESIAN_POINT('Origin',(-7.39977455504413,9.5,-1.86208223029864)); #47867=CARTESIAN_POINT('',(-7.39977455504413,10.0000000000001,-1.86208223029864)); #47868=CARTESIAN_POINT('',(-2.68380485405032,10.0000000000001,-13.7642914756619)); #47869=CARTESIAN_POINT('',(-7.39977455504413,9.5,-1.86208223029864)); #47870=CARTESIAN_POINT('',(-7.39977455504413,9.5,-1.86208223029864)); #47871=CARTESIAN_POINT('',(-7.39977455504413,9.5,-1.86208223029864)); #47872=CARTESIAN_POINT('Origin',(-7.56485413105884,9.5,-1.58694960360758)); #47873=CARTESIAN_POINT('',(1.04954944431529,10.0000000000001,-15.9442888958974)); #47874=CARTESIAN_POINT('',(-7.56485413105884,9.5,-1.58694960360758)); #47875=CARTESIAN_POINT('Origin',(-8.20741068994676,9.5,-3.42529647320735)); #47876=CARTESIAN_POINT('Origin',(-12.0586870336797,9.5,-2.79141910267735)); #47877=CARTESIAN_POINT('',(-11.7346419400214,10.0000000000001,-3.10935013796477)); #47878=CARTESIAN_POINT('',(-12.0586870336797,10.0000000000001,-2.79141910267735)); #47879=CARTESIAN_POINT('',(4.2903017955091,10.0000000000001,-18.8319364445219)); #47880=CARTESIAN_POINT('',(-11.7346419400214,9.5,-3.10935013796477)); #47881=CARTESIAN_POINT('',(-11.7346419400214,9.5,-3.10935013796477)); #47882=CARTESIAN_POINT('',(-12.0586870336797,9.5,-2.79141910267735)); #47883=CARTESIAN_POINT('',(-12.0586870336797,9.5,-2.79141910267735)); #47884=CARTESIAN_POINT('',(-12.0586870336797,9.5,-2.79141910267735)); #47885=CARTESIAN_POINT('Origin',(-12.1503979092431,9.5,-2.71193634385547)); #47886=CARTESIAN_POINT('',(-12.1503979092431,10.0000000000001,-2.71193634385547)); #47887=CARTESIAN_POINT('',(6.05710455588405,10.0000000000001,-18.4917718136427)); #47888=CARTESIAN_POINT('',(-12.1503979092431,9.5,-2.71193634385547)); #47889=CARTESIAN_POINT('',(-12.1503979092431,9.5,-2.71193634385547)); #47890=CARTESIAN_POINT('',(-12.1503979092431,9.5,-2.71193634385547)); #47891=CARTESIAN_POINT('Origin',(-12.5233554698689,9.5,-2.36343501671347)); #47892=CARTESIAN_POINT('',(-12.5233554698689,10.0000000000001,-2.36343501671347)); #47893=CARTESIAN_POINT('',(4.77547825683587,10.0000000000001,-18.5279189908475)); #47894=CARTESIAN_POINT('',(-12.5233554698689,9.5,-2.36343501671347)); #47895=CARTESIAN_POINT('',(-12.5233554698689,9.5,-2.36343501671347)); #47896=CARTESIAN_POINT('',(-12.5233554698689,9.5,-2.36343501671347)); #47897=CARTESIAN_POINT('Origin',(-12.7251193961088,9.5,-2.15555703210247)); #47898=CARTESIAN_POINT('',(-12.7251193961088,10.0000000000001,-2.15555703210247)); #47899=CARTESIAN_POINT('',(3.21917237056232,10.0000000000001,-18.5830091553368)); #47900=CARTESIAN_POINT('',(-12.7251193961088,9.5,-2.15555703210247)); #47901=CARTESIAN_POINT('',(-12.7251193961088,9.5,-2.15555703210247)); #47902=CARTESIAN_POINT('',(-12.7251193961088,9.5,-2.15555703210247)); #47903=CARTESIAN_POINT('Origin',(-12.9207692639784,9.5,-1.89265252215323)); #47904=CARTESIAN_POINT('',(-12.9207692639784,10.0000000000001,-1.89265252215323)); #47905=CARTESIAN_POINT('',(-0.795016069435406,10.0000000000001,-18.1866333773177)); #47906=CARTESIAN_POINT('',(-12.9207692639784,9.5,-1.89265252215323)); #47907=CARTESIAN_POINT('',(-12.9207692639784,9.5,-1.89265252215323)); #47908=CARTESIAN_POINT('',(-12.9207692639784,9.5,-1.89265252215323)); #47909=CARTESIAN_POINT('Origin',(-11.0192971106244,9.5,-1.89265252215323)); #47910=CARTESIAN_POINT('',(-11.0192971106244,10.0000000000001,-1.89265252215323)); #47911=CARTESIAN_POINT('',(18.1153514446869,10.0000000000001,-1.89265252215323)); #47912=CARTESIAN_POINT('',(-11.0192971106244,9.5,-1.89265252215323)); #47913=CARTESIAN_POINT('',(-11.0192971106244,9.5,-1.89265252215323)); #47914=CARTESIAN_POINT('',(-11.0192971106244,9.5,-1.89265252215323)); #47915=CARTESIAN_POINT('Origin',(-11.0192971106244,9.5,-0.999999999999986)); #47916=CARTESIAN_POINT('',(-11.0192971106244,10.0000000000001,-0.999999999999986)); #47917=CARTESIAN_POINT('',(-11.0192971106244,10.0000000000001,-4.37500000000043)); #47918=CARTESIAN_POINT('',(-11.0192971106244,9.5,-0.999999999999986)); #47919=CARTESIAN_POINT('',(-11.0192971106244,9.5,-0.999999999999986)); #47920=CARTESIAN_POINT('',(-11.0192971106244,9.5,-0.999999999999986)); #47921=CARTESIAN_POINT('Origin',(-14.3759151562554,9.5,-0.999999999999986)); #47922=CARTESIAN_POINT('',(-14.3759151562554,10.0000000000001,-0.999999999999986)); #47923=CARTESIAN_POINT('',(16.4370424218714,10.0000000000001,-0.999999999999986)); #47924=CARTESIAN_POINT('',(-14.3759151562554,9.5,-0.999999999999986)); #47925=CARTESIAN_POINT('',(-14.3759151562554,9.5,-0.999999999999986)); #47926=CARTESIAN_POINT('',(-14.3759151562554,9.5,-0.999999999999986)); #47927=CARTESIAN_POINT('Origin',(-14.3025464558045,9.5,-1.38518567736746)); #47928=CARTESIAN_POINT('',(-14.3025464558045,10.0000000000001,-1.38518567736746)); #47929=CARTESIAN_POINT('',(-12.6400900593121,10.0000000000001,-10.1130817589522)); #47930=CARTESIAN_POINT('',(-14.3025464558045,9.5,-1.38518567736746)); #47931=CARTESIAN_POINT('',(-14.3025464558045,9.5,-1.38518567736746)); #47932=CARTESIAN_POINT('',(-14.3025464558045,9.5,-1.38518567736746)); #47933=CARTESIAN_POINT('Origin',(-14.1558090549024,9.5,-1.75814323799311)); #47934=CARTESIAN_POINT('',(-14.1558090549024,10.0000000000001,-1.75814323799311)); #47935=CARTESIAN_POINT('',(-9.01945528306215,10.0000000000001,-14.8130424080886)); #47936=CARTESIAN_POINT('',(-14.1558090549024,9.5,-1.75814323799311)); #47937=CARTESIAN_POINT('',(-14.1558090549024,9.5,-1.75814323799311)); #47938=CARTESIAN_POINT('',(-14.1558090549024,9.5,-1.75814323799311)); #47939=CARTESIAN_POINT('Origin',(-14.0518700625971,9.5,-1.95990716423323)); #47940=CARTESIAN_POINT('',(-14.0518700625971,10.0000000000001,-1.95990716423323)); #47941=CARTESIAN_POINT('',(-6.44501863699498,10.0000000000001,-16.7261481668708)); #47942=CARTESIAN_POINT('',(-14.0518700625971,9.5,-1.95990716423323)); #47943=CARTESIAN_POINT('',(-14.0518700625971,9.5,-1.95990716423323)); #47944=CARTESIAN_POINT('',(-14.0518700625971,9.5,-1.95990716423323)); #47945=CARTESIAN_POINT('Origin',(-13.8806764282112,9.5,-2.19835544069882)); #47946=CARTESIAN_POINT('',(-13.8806764282112,10.0000000000001,-2.19835544069882)); #47947=CARTESIAN_POINT('',(-2.16942996867419,10.0000000000001,-18.5104487236275)); #47948=CARTESIAN_POINT('',(-13.8806764282112,9.5,-2.19835544069882)); #47949=CARTESIAN_POINT('',(-13.8806764282112,9.5,-2.19835544069882)); #47950=CARTESIAN_POINT('',(-13.8806764282112,9.5,-2.19835544069882)); #47951=CARTESIAN_POINT('Origin',(-13.6483422101169,9.5,-2.47960212576082)); #47952=CARTESIAN_POINT('',(-13.6483422101169,10.0000000000001,-2.47960212576082)); #47953=CARTESIAN_POINT('',(-0.00369872197325094,10.0000000000001,-18.9968021377234)); #47954=CARTESIAN_POINT('',(-13.6483422101169,9.5,-2.47960212576082)); #47955=CARTESIAN_POINT('',(-13.6483422101169,9.5,-2.47960212576082)); #47956=CARTESIAN_POINT('',(-13.6483422101169,9.5,-2.47960212576082)); #47957=CARTESIAN_POINT('Origin',(-13.3487533499419,9.5,-2.79141910267735)); #47958=CARTESIAN_POINT('',(-13.3487533499419,10.0000000000001,-2.79141910267735)); #47959=CARTESIAN_POINT('',(2.43384365681732,10.0000000000001,-19.2182037423654)); #47960=CARTESIAN_POINT('',(-13.3487533499419,9.5,-2.79141910267735)); #47961=CARTESIAN_POINT('',(-13.3487533499419,9.5,-2.79141910267735)); #47962=CARTESIAN_POINT('',(-13.3487533499419,9.5,-2.79141910267735)); #47963=CARTESIAN_POINT('Origin',(-12.9880239060581,9.5,-3.14603448819024)); #47964=CARTESIAN_POINT('',(-12.9880239060581,10.0000000000001,-3.14603448819024)); #47965=CARTESIAN_POINT('',(3.4797139462712,10.0000000000001,-19.3346581396334)); #47966=CARTESIAN_POINT('',(-12.9880239060581,9.5,-3.14603448819024)); #47967=CARTESIAN_POINT('',(-12.9880239060581,9.5,-3.14603448819024)); #47968=CARTESIAN_POINT('',(-12.9880239060581,9.5,-3.14603448819024)); #47969=CARTESIAN_POINT('Origin',(-12.9696817309455,9.5,-3.15826260493206)); #47970=CARTESIAN_POINT('',(-12.9696817309455,10.0000000000001,-3.15826260493206)); #47971=CARTESIAN_POINT('',(8.93522442095446,10.0000000000001,-17.7615333728429)); #47972=CARTESIAN_POINT('',(-12.9696817309455,9.5,-3.15826260493206)); #47973=CARTESIAN_POINT('',(-12.9696817309455,9.5,-3.15826260493206)); #47974=CARTESIAN_POINT('',(-12.9696817309455,9.5,-3.15826260493206)); #47975=CARTESIAN_POINT('Origin',(-12.5783819952071,9.5,-3.53122016555771)); #47976=CARTESIAN_POINT('',(-12.5783819952071,10.0000000000001,-3.53122016555771)); #47977=CARTESIAN_POINT('',(4.14972144127978,10.0000000000001,-19.4751937534586)); #47978=CARTESIAN_POINT('',(-12.5783819952071,9.5,-3.53122016555771)); #47979=CARTESIAN_POINT('',(-12.5783819952071,9.5,-3.53122016555771)); #47980=CARTESIAN_POINT('',(-12.5783819952071,9.5,-3.53122016555771)); #47981=CARTESIAN_POINT('Origin',(-12.3093634268871,9.5,-3.81246685061971)); #47982=CARTESIAN_POINT('',(-12.3093634268871,10.0000000000001,-3.81246685061971)); #47983=CARTESIAN_POINT('',(2.90244490094756,10.0000000000001,-19.7157210115411)); #47984=CARTESIAN_POINT('',(-12.3093634268871,9.5,-3.81246685061971)); #47985=CARTESIAN_POINT('',(-12.3093634268871,9.5,-3.81246685061971)); #47986=CARTESIAN_POINT('',(-12.3093634268871,9.5,-3.81246685061971)); #47987=CARTESIAN_POINT('Origin',(-12.1748541427269,9.5,-3.97754642663436)); #47988=CARTESIAN_POINT('',(-12.1748541427269,10.0000000000001,-3.97754642663436)); #47989=CARTESIAN_POINT('',(0.604398465544819,10.0000000000001,-19.6611746276913)); #47990=CARTESIAN_POINT('',(-12.1748541427269,9.5,-3.97754642663436)); #47991=CARTESIAN_POINT('',(-12.1748541427269,9.5,-3.97754642663436)); #47992=CARTESIAN_POINT('',(-12.1748541427269,9.5,-3.97754642663436)); #47993=CARTESIAN_POINT('Origin',(-12.0097745667122,9.5,-4.34438992888907)); #47994=CARTESIAN_POINT('',(-12.0097745667122,10.0000000000001,-4.34438992888907)); #47995=CARTESIAN_POINT('',(-6.38290185080587,10.0000000000001,-16.8485515197949)); #47996=CARTESIAN_POINT('',(-12.0097745667122,9.5,-4.34438992888907)); #47997=CARTESIAN_POINT('',(-12.0097745667122,9.5,-4.34438992888907)); #47998=CARTESIAN_POINT('',(-12.0097745667122,9.5,-4.34438992888907)); #47999=CARTESIAN_POINT('Origin',(-11.9792042748577,9.5,-4.56449603024196)); #48000=CARTESIAN_POINT('',(-11.9792042748577,10.0000000000001,-4.56449603024196)); #48001=CARTESIAN_POINT('',(-11.2017172114852,10.0000000000001,-10.1624028865207)); #48002=CARTESIAN_POINT('',(-11.9792042748577,9.5,-4.56449603024196)); #48003=CARTESIAN_POINT('',(-11.9792042748577,9.5,-4.56449603024196)); #48004=CARTESIAN_POINT('',(-11.9792042748577,9.5,-4.56449603024196)); #48005=CARTESIAN_POINT('Origin',(-12.0709151504216,9.5,-4.94968170760943)); #48006=CARTESIAN_POINT('',(-12.0709151504216,10.0000000000001,-4.94968170760943)); #48007=CARTESIAN_POINT('',(-10.7951753885545,10.0000000000001,0.40842529223049)); #48008=CARTESIAN_POINT('',(-12.0709151504216,9.5,-4.94968170760943)); #48009=CARTESIAN_POINT('',(-12.0709151504216,9.5,-4.94968170760943)); #48010=CARTESIAN_POINT('',(-12.0709151504216,9.5,-4.94968170760943)); #48011=CARTESIAN_POINT('Origin',(-12.1503979092431,9.5,-5.05362069991496)); #48012=CARTESIAN_POINT('',(-12.1503979092431,10.0000000000001,-5.05362069991496)); #48013=CARTESIAN_POINT('',(-1.84169985101744,10.0000000000001,8.42698445315816)); #48014=CARTESIAN_POINT('',(-12.1503979092431,9.5,-5.05362069991496)); #48015=CARTESIAN_POINT('',(-12.1503979092431,9.5,-5.05362069991496)); #48016=CARTESIAN_POINT('',(-12.1503979092431,9.5,-5.05362069991496)); #48017=CARTESIAN_POINT('Origin',(-12.4866711196432,9.5,-5.21870027592955)); #48018=CARTESIAN_POINT('',(-12.4866711196432,10.0000000000001,-5.21870027592955)); #48019=CARTESIAN_POINT('',(11.0807836015474,10.0000000000001,6.35077749628646)); #48020=CARTESIAN_POINT('',(-12.4866711196432,9.5,-5.21870027592955)); #48021=CARTESIAN_POINT('',(-12.4866711196432,9.5,-5.21870027592955)); #48022=CARTESIAN_POINT('',(-12.4866711196432,9.5,-5.21870027592955)); #48023=CARTESIAN_POINT('Origin',(-12.6272944621746,9.5,-5.22481433430049)); #48024=CARTESIAN_POINT('',(-12.6272944621746,10.0000000000001,-5.22481433430049)); #48025=CARTESIAN_POINT('',(17.2000729908227,10.0000000000001,-3.9279722711204)); #48026=CARTESIAN_POINT('',(-12.6272944621746,9.5,-5.22481433430049)); #48027=CARTESIAN_POINT('',(-12.6272944621746,9.5,-5.22481433430049)); #48028=CARTESIAN_POINT('',(-12.6272944621746,9.5,-5.22481433430049)); #48029=CARTESIAN_POINT('Origin',(-13.0063660811711,9.5,-5.1269894003659)); #48030=CARTESIAN_POINT('',(-13.0063660811711,10.0000000000001,-5.1269894003659)); #48031=CARTESIAN_POINT('',(15.5579629103968,10.0000000000001,-12.4984291401242)); #48032=CARTESIAN_POINT('',(-13.0063660811711,9.5,-5.1269894003659)); #48033=CARTESIAN_POINT('',(-13.0063660811711,9.5,-5.1269894003659)); #48034=CARTESIAN_POINT('',(-13.0063660811711,9.5,-5.1269894003659)); #48035=CARTESIAN_POINT('Origin',(-13.1041910151057,9.5,-5.04750664154402)); #48036=CARTESIAN_POINT('',(-13.1041910151057,10.0000000000001,-5.04750664154402)); #48037=CARTESIAN_POINT('',(5.73438724186008,10.0000000000001,-20.3538514753345)); #48038=CARTESIAN_POINT('',(-13.1041910151057,9.5,-5.04750664154402)); #48039=CARTESIAN_POINT('',(-13.1041910151057,9.5,-5.04750664154402)); #48040=CARTESIAN_POINT('',(-13.1041910151057,9.5,-5.04750664154402)); #48041=CARTESIAN_POINT('Origin',(-13.2631565327492,9.5,-4.73568966462749)); #48042=CARTESIAN_POINT('',(-13.2631565327492,10.0000000000001,-4.73568966462749)); #48043=CARTESIAN_POINT('',(-6.41178800653052,10.0000000000001,-18.1749125429804)); #48044=CARTESIAN_POINT('',(-13.2631565327492,9.5,-4.73568966462749)); #48045=CARTESIAN_POINT('',(-13.2631565327492,9.5,-4.73568966462749)); #48046=CARTESIAN_POINT('',(-13.2631565327492,9.5,-4.73568966462749)); #48047=CARTESIAN_POINT('Origin',(-13.3120689997168,9.5,-4.4483289211946)); #48048=CARTESIAN_POINT('',(-13.3120689997168,10.0000000000001,-4.4483289211946)); #48049=CARTESIAN_POINT('',(-12.1863759197295,10.0000000000001,-11.0617757661128)); #48050=CARTESIAN_POINT('',(-13.3120689997168,9.5,-4.4483289211946)); #48051=CARTESIAN_POINT('',(-13.3120689997168,9.5,-4.4483289211946)); #48052=CARTESIAN_POINT('',(-13.3120689997168,9.5,-4.4483289211946)); #48053=CARTESIAN_POINT('Origin',(-14.2658621055789,9.5,-4.54003979675831)); #48054=CARTESIAN_POINT('',(-14.2658621055789,10.0000000000001,-4.54003979675831)); #48055=CARTESIAN_POINT('',(16.0573884405253,10.0000000000001,-1.62434262886295)); #48056=CARTESIAN_POINT('',(-14.2658621055789,9.5,-4.54003979675831)); #48057=CARTESIAN_POINT('',(-14.2658621055789,9.5,-4.54003979675831)); #48058=CARTESIAN_POINT('',(-14.2658621055789,9.5,-4.54003979675831)); #48059=CARTESIAN_POINT('Origin',(-14.1802652883862,9.5,-4.98025199946396)); #48060=CARTESIAN_POINT('',(-14.1802652883862,10.0000000000001,-4.98025199946396)); #48061=CARTESIAN_POINT('',(-12.8018045094768,10.0000000000001,-12.0694788624263)); #48062=CARTESIAN_POINT('',(-14.1802652883862,9.5,-4.98025199946396)); #48063=CARTESIAN_POINT('',(-14.1802652883862,9.5,-4.98025199946396)); #48064=CARTESIAN_POINT('',(-14.1802652883862,9.5,-4.98025199946396)); #48065=CARTESIAN_POINT('Origin',(-14.0274138291134,9.5,-5.3348673849769)); #48066=CARTESIAN_POINT('',(-14.0274138291134,10.0000000000001,-5.3348673849769)); #48067=CARTESIAN_POINT('',(-8.78796460186595,10.0000000000001,-17.490389592191)); #48068=CARTESIAN_POINT('',(-14.0274138291134,9.5,-5.3348673849769)); #48069=CARTESIAN_POINT('',(-14.0274138291134,9.5,-5.3348673849769)); #48070=CARTESIAN_POINT('',(-14.0274138291134,9.5,-5.3348673849769)); #48071=CARTESIAN_POINT('Origin',(-13.8073077277604,9.5,-5.61000001166796)); #48072=CARTESIAN_POINT('',(-13.8073077277604,10.0000000000001,-5.61000001166796)); #48073=CARTESIAN_POINT('',(-1.371735491045,10.0000000000001,-21.154465307564)); #48074=CARTESIAN_POINT('',(-13.8073077277604,9.5,-5.61000001166796)); #48075=CARTESIAN_POINT('',(-13.8073077277604,9.5,-5.61000001166796)); #48076=CARTESIAN_POINT('',(-13.8073077277604,9.5,-5.61000001166796)); #48077=CARTESIAN_POINT('Origin',(-13.7278249689384,9.5,-5.67725465374802)); #48078=CARTESIAN_POINT('',(-13.7278249689384,10.0000000000001,-5.67725465374802)); #48079=CARTESIAN_POINT('',(4.55089055565486,10.0000000000001,-21.1438600976353)); #48080=CARTESIAN_POINT('',(-13.7278249689384,9.5,-5.67725465374802)); #48081=CARTESIAN_POINT('',(-13.7278249689384,9.5,-5.67725465374802)); #48082=CARTESIAN_POINT('',(-13.7278249689384,9.5,-5.67725465374802)); #48083=CARTESIAN_POINT('Origin',(-13.4098939336513,9.5,-5.86679046324626)); #48084=CARTESIAN_POINT('',(-13.4098939336513,10.0000000000001,-5.86679046324626)); #48085=CARTESIAN_POINT('',(9.38138668979309,10.0000000000001,-19.4539000656824)); #48086=CARTESIAN_POINT('',(-13.4098939336513,9.5,-5.86679046324626)); #48087=CARTESIAN_POINT('',(-13.4098939336513,9.5,-5.86679046324626)); #48088=CARTESIAN_POINT('',(-13.4098939336513,9.5,-5.86679046324626)); #48089=CARTESIAN_POINT('Origin',(-13.0369363730256,9.5,-5.98295757229362)); #48090=CARTESIAN_POINT('',(-13.0369363730256,10.0000000000001,-5.98295757229362)); #48091=CARTESIAN_POINT('',(14.6915903807385,10.0000000000001,-14.619711807074)); #48092=CARTESIAN_POINT('',(-13.0369363730256,9.5,-5.98295757229362)); #48093=CARTESIAN_POINT('',(-13.0369363730256,9.5,-5.98295757229362)); #48094=CARTESIAN_POINT('',(-13.0369363730256,9.5,-5.98295757229362)); #48095=CARTESIAN_POINT('Origin',(-12.6211804038035,9.5,-6.01964192251909)); #48096=CARTESIAN_POINT('',(-12.6211804038035,10.0000000000001,-6.01964192251909)); #48097=CARTESIAN_POINT('',(17.1588973089424,10.0000000000001,-8.64729583834925)); #48098=CARTESIAN_POINT('',(-12.6211804038035,9.5,-6.01964192251909)); #48099=CARTESIAN_POINT('',(-12.6211804038035,9.5,-6.01964192251909)); #48100=CARTESIAN_POINT('',(-12.6211804038035,9.5,-6.01964192251909)); #48101=CARTESIAN_POINT('Origin',(-12.6028382286909,9.5,-6.01964192251909)); #48102=CARTESIAN_POINT('',(-12.6028382286909,10.0000000000001,-6.01964192251909)); #48103=CARTESIAN_POINT('',(17.3235808856539,10.0000000000001,-6.01964192251909)); #48104=CARTESIAN_POINT('',(-12.6028382286909,9.5,-6.01964192251909)); #48105=CARTESIAN_POINT('',(-12.6028382286909,9.5,-6.01964192251909)); #48106=CARTESIAN_POINT('',(-12.6028382286909,9.5,-6.01964192251909)); #48107=CARTESIAN_POINT('Origin',(-12.1748541427269,9.5,-5.98295757229362)); #48108=CARTESIAN_POINT('',(-12.1748541427269,10.0000000000001,-5.98295757229362)); #48109=CARTESIAN_POINT('',(17.2456915906319,10.0000000000001,-3.46119650943464)); #48110=CARTESIAN_POINT('',(-12.1748541427269,9.5,-5.98295757229362)); #48111=CARTESIAN_POINT('',(-12.1748541427269,9.5,-5.98295757229362)); #48112=CARTESIAN_POINT('',(-12.1748541427269,9.5,-5.98295757229362)); #48113=CARTESIAN_POINT('Origin',(-11.8080106404723,9.5,-5.86679046324626)); #48114=CARTESIAN_POINT('',(-11.8080106404723,10.0000000000001,-5.86679046324626)); #48115=CARTESIAN_POINT('',(14.7587628300885,10.0000000000001,2.54602113576675)); #48116=CARTESIAN_POINT('',(-11.8080106404723,9.5,-5.86679046324626)); #48117=CARTESIAN_POINT('',(-11.8080106404723,9.5,-5.86679046324626)); #48118=CARTESIAN_POINT('',(-11.8080106404723,9.5,-5.86679046324626)); #48119=CARTESIAN_POINT('Origin',(-11.4961936635555,9.5,-5.67114059537708)); #48120=CARTESIAN_POINT('',(-11.4961936635555,10.0000000000001,-5.67114059537708)); #48121=CARTESIAN_POINT('',(9.11155132548811,10.0000000000001,7.25920920166861)); #48122=CARTESIAN_POINT('',(-11.4961936635555,9.5,-5.67114059537708)); #48123=CARTESIAN_POINT('',(-11.4961936635555,9.5,-5.67114059537708)); #48124=CARTESIAN_POINT('',(-11.4961936635555,9.5,-5.67114059537708)); #48125=CARTESIAN_POINT('Origin',(-11.4411671382172,9.5,-5.62222812840979)); #48126=CARTESIAN_POINT('',(-11.4411671382172,10.0000000000001,-5.62222812840979)); #48127=CARTESIAN_POINT('',(4.42360859779319,10.0000000000001,8.47979474803678)); #48128=CARTESIAN_POINT('',(-11.4411671382172,9.5,-5.62222812840979)); #48129=CARTESIAN_POINT('',(-11.4411671382172,9.5,-5.62222812840979)); #48130=CARTESIAN_POINT('',(-11.4411671382172,9.5,-5.62222812840979)); #48131=CARTESIAN_POINT('Origin',(-11.196604803381,9.5,-5.31652520986414)); #48132=CARTESIAN_POINT('',(-11.196604803381,10.0000000000001,-5.31652520986414)); #48133=CARTESIAN_POINT('',(-0.385919668611223,10.0000000000001,8.1968312086006)); #48134=CARTESIAN_POINT('',(-11.196604803381,9.5,-5.31652520986414)); #48135=CARTESIAN_POINT('',(-11.196604803381,9.5,-5.31652520986414)); #48136=CARTESIAN_POINT('',(-11.196604803381,9.5,-5.31652520986414)); #48137=CARTESIAN_POINT('Origin',(-11.05598146085,9.5,-4.96802388272214)); #48138=CARTESIAN_POINT('',(-11.05598146085,10.0000000000001,-4.96802388272214)); #48139=CARTESIAN_POINT('',(-7.45663081195653,10.0000000000001,3.95210598627475)); #48140=CARTESIAN_POINT('',(-11.05598146085,9.5,-4.96802388272214)); #48141=CARTESIAN_POINT('',(-11.05598146085,9.5,-4.96802388272214)); #48142=CARTESIAN_POINT('',(-11.05598146085,9.5,-4.96802388272214)); #48143=CARTESIAN_POINT('Origin',(-11.0192971106244,9.5,-4.63175067232196)); #48144=CARTESIAN_POINT('',(-11.0192971106244,10.0000000000001,-4.63175067232196)); #48145=CARTESIAN_POINT('',(-10.8447344811166,10.0000000000001,-3.03159323516495)); #48146=CARTESIAN_POINT('',(-11.0192971106244,9.5,-4.63175067232196)); #48147=CARTESIAN_POINT('',(-11.0192971106244,9.5,-4.63175067232196)); #48148=CARTESIAN_POINT('',(-11.0192971106244,9.5,-4.63175067232196)); #48149=CARTESIAN_POINT('Origin',(-11.0620955192207,9.5,-4.23433687821266)); #48150=CARTESIAN_POINT('',(-11.0620955192207,10.0000000000001,-4.23433687821266)); #48151=CARTESIAN_POINT('',(-10.5406964316144,10.0000000000001,-9.07589983455506)); #48152=CARTESIAN_POINT('',(-11.0620955192207,9.5,-4.23433687821266)); #48153=CARTESIAN_POINT('',(-11.0620955192207,9.5,-4.23433687821266)); #48154=CARTESIAN_POINT('',(-11.0620955192207,9.5,-4.23433687821266)); #48155=CARTESIAN_POINT('Origin',(-11.1354642196716,9.5,-3.98977454337619)); #48156=CARTESIAN_POINT('',(-11.1354642196716,10.0000000000001,-3.98977454337619)); #48157=CARTESIAN_POINT('',(-8.20759292758112,10.0000000000001,-13.7493455170118)); #48158=CARTESIAN_POINT('',(-11.1354642196716,9.5,-3.98977454337619)); #48159=CARTESIAN_POINT('',(-11.1354642196716,9.5,-3.98977454337619)); #48160=CARTESIAN_POINT('',(-11.1354642196716,9.5,-3.98977454337619)); #48161=CARTESIAN_POINT('Origin',(-11.3066578540575,9.5,-3.65350133297601)); #48162=CARTESIAN_POINT('',(-11.3066578540575,10.0000000000001,-3.65350133297601)); #48163=CARTESIAN_POINT('',(-4.45223048404023,10.0000000000001,-17.117555095511)); #48164=CARTESIAN_POINT('',(-11.3066578540575,9.5,-3.65350133297601)); #48165=CARTESIAN_POINT('',(-11.3066578540575,9.5,-3.65350133297601)); #48166=CARTESIAN_POINT('',(-11.3066578540575,9.5,-3.65350133297601)); #48167=CARTESIAN_POINT('Origin',(-11.5206498970392,9.5,-3.34779841443036)); #48168=CARTESIAN_POINT('',(-11.5206498970392,10.0000000000001,-3.34779841443036)); #48169=CARTESIAN_POINT('',(-0.82294534688981,10.0000000000001,-18.6302334860733)); #48170=CARTESIAN_POINT('',(-11.5206498970392,9.5,-3.34779841443036)); #48171=CARTESIAN_POINT('',(-11.5206498970392,9.5,-3.34779841443036)); #48172=CARTESIAN_POINT('',(-11.5206498970392,9.5,-3.34779841443036)); #48173=CARTESIAN_POINT('Origin',(-11.7346419400214,9.5,-3.10935013796477)); #48174=CARTESIAN_POINT('',(2.57538599847203,10.0000000000001,-19.0548098408569)); #48175=CARTESIAN_POINT('',(-11.7346419400214,9.5,-3.10935013796477)); #48176=CARTESIAN_POINT('Origin',(-12.5701366930334,9.5,-3.34570700749525)); #48177=CARTESIAN_POINT('Origin',(3.28148541893961,9.5,-1.7887135298477)); #48178=CARTESIAN_POINT('',(2.91464191668501,10.0000000000001,-1.68477453754217)); #48179=CARTESIAN_POINT('',(3.28148541893961,10.0000000000001,-1.7887135298477)); #48180=CARTESIAN_POINT('',(24.413802813046,10.0000000000001,-7.77620345817958)); #48181=CARTESIAN_POINT('',(2.91464191668501,9.5,-1.68477453754217)); #48182=CARTESIAN_POINT('',(2.91464191668501,9.5,-1.68477453754217)); #48183=CARTESIAN_POINT('',(3.28148541893961,9.5,-1.7887135298477)); #48184=CARTESIAN_POINT('',(3.28148541893961,9.5,-1.7887135298477)); #48185=CARTESIAN_POINT('',(3.28148541893961,9.5,-1.7887135298477)); #48186=CARTESIAN_POINT('Origin',(3.40988064472867,9.5,-1.89876658052411)); #48187=CARTESIAN_POINT('',(3.40988064472867,10.0000000000001,-1.89876658052411)); #48188=CARTESIAN_POINT('',(17.4917491860615,10.0000000000001,-13.9689396159498)); #48189=CARTESIAN_POINT('',(3.40988064472867,9.5,-1.89876658052411)); #48190=CARTESIAN_POINT('',(3.40988064472867,9.5,-1.89876658052411)); #48191=CARTESIAN_POINT('',(3.40988064472867,9.5,-1.89876658052411)); #48192=CARTESIAN_POINT('Origin',(3.56884616237267,9.5,-2.21669761581158)); #48193=CARTESIAN_POINT('',(3.56884616237267,10.0000000000001,-2.21669761581158)); #48194=CARTESIAN_POINT('',(9.04362202297489,10.0000000000001,-13.1662493370132)); #48195=CARTESIAN_POINT('',(3.56884616237267,9.5,-2.21669761581158)); #48196=CARTESIAN_POINT('',(3.56884616237267,9.5,-2.21669761581158)); #48197=CARTESIAN_POINT('',(3.56884616237267,9.5,-2.21669761581158)); #48198=CARTESIAN_POINT('Origin',(3.59941645422714,9.5,-2.51017241761535)); #48199=CARTESIAN_POINT('',(3.59941645422714,10.0000000000001,-2.51017241761535)); #48200=CARTESIAN_POINT('',(4.10367218810734,10.0000000000001,-7.3510274628691)); #48201=CARTESIAN_POINT('',(3.59941645422714,9.5,-2.51017241761535)); #48202=CARTESIAN_POINT('',(3.59941645422714,9.5,-2.51017241761535)); #48203=CARTESIAN_POINT('',(3.59941645422714,9.5,-2.51017241761535)); #48204=CARTESIAN_POINT('Origin',(3.51993369540514,9.5,-2.90758621172465)); #48205=CARTESIAN_POINT('',(3.51993369540514,10.0000000000001,-2.90758621172465)); #48206=CARTESIAN_POINT('',(3.89527979854438,10.0000000000001,-1.03085569603002)); #48207=CARTESIAN_POINT('',(3.51993369540514,9.5,-2.90758621172465)); #48208=CARTESIAN_POINT('',(3.51993369540514,9.5,-2.90758621172465)); #48209=CARTESIAN_POINT('',(3.51993369540514,9.5,-2.90758621172465)); #48210=CARTESIAN_POINT('Origin',(3.40376658635796,9.5,-3.07877984611024)); #48211=CARTESIAN_POINT('',(3.40376658635796,10.0000000000001,-3.07877984611024)); #48212=CARTESIAN_POINT('',(9.23057931144649,10.0000000000001,5.50810206454715)); #48213=CARTESIAN_POINT('',(3.40376658635796,9.5,-3.07877984611024)); #48214=CARTESIAN_POINT('',(3.40376658635796,9.5,-3.07877984611024)); #48215=CARTESIAN_POINT('',(3.40376658635796,9.5,-3.07877984611024)); #48216=CARTESIAN_POINT('Origin',(3.07972149269972,9.5,-3.26831565560848)); #48217=CARTESIAN_POINT('',(3.07972149269972,10.0000000000001,-3.26831565560848)); #48218=CARTESIAN_POINT('',(18.5586213533338,10.0000000000001,5.78538048928853)); #48219=CARTESIAN_POINT('',(3.07972149269972,9.5,-3.26831565560848)); #48220=CARTESIAN_POINT('',(3.07972149269972,9.5,-3.26831565560848)); #48221=CARTESIAN_POINT('',(3.07972149269972,9.5,-3.26831565560848)); #48222=CARTESIAN_POINT('Origin',(2.90241379994313,9.5,-3.28665783072124)); #48223=CARTESIAN_POINT('',(2.90241379994313,10.0000000000001,-3.28665783072124)); #48224=CARTESIAN_POINT('',(24.6130074620119,10.0000000000001,-1.04073434843443)); #48225=CARTESIAN_POINT('',(2.90241379994313,9.5,-3.28665783072124)); #48226=CARTESIAN_POINT('',(2.90241379994313,9.5,-3.28665783072124)); #48227=CARTESIAN_POINT('',(2.90241379994313,9.5,-3.28665783072124)); #48228=CARTESIAN_POINT('Origin',(3.26314324382702,9.5,-4.1670822361326)); #48229=CARTESIAN_POINT('',(2.89018568320125,10.0000000000001,-4.05702918545619)); #48230=CARTESIAN_POINT('',(3.26314324382702,10.0000000000001,-4.1670822361326)); #48231=CARTESIAN_POINT('',(23.9812072357236,10.0000000000001,-10.2806093157081)); #48232=CARTESIAN_POINT('',(2.89018568320125,9.5,-4.05702918545619)); #48233=CARTESIAN_POINT('',(2.89018568320125,9.5,-4.05702918545619)); #48234=CARTESIAN_POINT('',(3.26314324382702,9.5,-4.1670822361326)); #48235=CARTESIAN_POINT('',(3.26314324382702,9.5,-4.1670822361326)); #48236=CARTESIAN_POINT('',(3.26314324382702,9.5,-4.1670822361326)); #48237=CARTESIAN_POINT('Origin',(3.32428382753597,9.5,-4.22210876147084)); #48238=CARTESIAN_POINT('',(3.32428382753597,10.0000000000001,-4.22210876147084)); #48239=CARTESIAN_POINT('',(16.3355595974581,10.0000000000001,-15.9322569544071)); #48240=CARTESIAN_POINT('',(3.32428382753597,9.5,-4.22210876147084)); #48241=CARTESIAN_POINT('',(3.32428382753597,9.5,-4.22210876147084)); #48242=CARTESIAN_POINT('',(3.32428382753597,9.5,-4.22210876147084)); #48243=CARTESIAN_POINT('Origin',(3.48324934517996,9.5,-4.55838197187102)); #48244=CARTESIAN_POINT('',(3.48324934517996,10.0000000000001,-4.55838197187102)); #48245=CARTESIAN_POINT('',(8.09691284462315,10.0000000000001,-14.3180547591555)); #48246=CARTESIAN_POINT('',(3.48324934517996,9.5,-4.55838197187102)); #48247=CARTESIAN_POINT('',(3.48324934517996,9.5,-4.55838197187102)); #48248=CARTESIAN_POINT('',(3.48324934517996,9.5,-4.55838197187102)); #48249=CARTESIAN_POINT('Origin',(3.48936340355067,9.5,-4.66843502254743)); #48250=CARTESIAN_POINT('',(3.48936340355067,10.0000000000001,-4.66843502254743)); #48251=CARTESIAN_POINT('',(3.64202310538349,10.0000000000001,-7.41630965552369)); #48252=CARTESIAN_POINT('',(3.48936340355067,9.5,-4.66843502254743)); #48253=CARTESIAN_POINT('',(3.48936340355067,9.5,-4.66843502254743)); #48254=CARTESIAN_POINT('',(3.48936340355067,9.5,-4.66843502254743)); #48255=CARTESIAN_POINT('Origin',(3.3793103528742,9.5,-5.04139258317308)); #48256=CARTESIAN_POINT('',(3.3793103528742,10.0000000000001,-5.04139258317308)); #48257=CARTESIAN_POINT('',(4.7686864347038,10.0000000000001,-0.332951416974751)); #48258=CARTESIAN_POINT('',(3.3793103528742,9.5,-5.04139258317308)); #48259=CARTESIAN_POINT('',(3.3793103528742,9.5,-5.04139258317308)); #48260=CARTESIAN_POINT('',(3.3793103528742,9.5,-5.04139258317308)); #48261=CARTESIAN_POINT('Origin',(3.33039788590713,9.5,-5.10253316688225)); #48262=CARTESIAN_POINT('',(3.33039788590713,10.0000000000001,-5.10253316688225)); #48263=CARTESIAN_POINT('',(11.2543527293496,10.0000000000001,4.80241038743029)); #48264=CARTESIAN_POINT('',(3.33039788590713,9.5,-5.10253316688225)); #48265=CARTESIAN_POINT('',(3.33039788590713,9.5,-5.10253316688225)); #48266=CARTESIAN_POINT('',(3.33039788590713,9.5,-5.10253316688225)); #48267=CARTESIAN_POINT('Origin',(2.98801061713584,9.5,-5.25538462615502)); #48268=CARTESIAN_POINT('',(2.98801061713584,10.0000000000001,-5.25538462615502)); #48269=CARTESIAN_POINT('',(20.9769945550888,10.0000000000001,2.77541177471528)); #48270=CARTESIAN_POINT('',(2.98801061713584,9.5,-5.25538462615502)); #48271=CARTESIAN_POINT('',(2.98801061713584,9.5,-5.25538462615502)); #48272=CARTESIAN_POINT('',(2.98801061713584,9.5,-5.25538462615502)); #48273=CARTESIAN_POINT('Origin',(2.90241379994313,9.5,-5.26149868452596)); #48274=CARTESIAN_POINT('',(2.90241379994313,10.0000000000001,-5.26149868452596)); #48275=CARTESIAN_POINT('',(24.8752256699777,10.0000000000001,-3.69201212237336)); #48276=CARTESIAN_POINT('',(2.90241379994313,9.5,-5.26149868452596)); #48277=CARTESIAN_POINT('',(2.90241379994313,9.5,-5.26149868452596)); #48278=CARTESIAN_POINT('',(2.90241379994313,9.5,-5.26149868452596)); #48279=CARTESIAN_POINT('Origin',(3.98460213159478,9.5,-5.6650265370062)); #48280=CARTESIAN_POINT('',(3.69112732979102,10.0000000000001,-5.86679046324626)); #48281=CARTESIAN_POINT('',(3.98460213159478,10.0000000000001,-5.6650265370062)); #48282=CARTESIAN_POINT('',(18.1875020318935,10.0000000000001,4.09946714444779)); #48283=CARTESIAN_POINT('',(3.69112732979102,9.5,-5.86679046324626)); #48284=CARTESIAN_POINT('',(3.69112732979102,9.5,-5.86679046324626)); #48285=CARTESIAN_POINT('',(3.98460213159478,9.5,-5.6650265370062)); #48286=CARTESIAN_POINT('',(3.98460213159478,9.5,-5.6650265370062)); #48287=CARTESIAN_POINT('',(3.98460213159478,9.5,-5.6650265370062)); #48288=CARTESIAN_POINT('Origin',(3.99683024833667,9.5,-5.65891247863526)); #48289=CARTESIAN_POINT('',(3.99683024833667,10.0000000000001,-5.65891247863526)); #48290=CARTESIAN_POINT('',(20.8798806446948,10.0000000000001,2.78261271958306)); #48291=CARTESIAN_POINT('',(3.99683024833667,9.5,-5.65891247863526)); #48292=CARTESIAN_POINT('',(3.99683024833667,9.5,-5.65891247863526)); #48293=CARTESIAN_POINT('',(3.99683024833667,9.5,-5.65891247863526)); #48294=CARTESIAN_POINT('Origin',(4.2352785248022,9.5,-5.35320956008967)); #48295=CARTESIAN_POINT('',(4.2352785248022,10.0000000000001,-5.35320956008967)); #48296=CARTESIAN_POINT('',(11.7895747205193,10.0000000000001,4.33178556262324)); #48297=CARTESIAN_POINT('',(4.2352785248022,9.5,-5.35320956008967)); #48298=CARTESIAN_POINT('',(4.2352785248022,9.5,-5.35320956008967)); #48299=CARTESIAN_POINT('',(4.2352785248022,9.5,-5.35320956008967)); #48300=CARTESIAN_POINT('Origin',(4.36367375059126,9.5,-4.99248011620578)); #48301=CARTESIAN_POINT('',(4.36367375059126,10.0000000000001,-4.99248011620578)); #48302=CARTESIAN_POINT('',(6.33923503819506,10.0000000000001,0.557906358491295)); #48303=CARTESIAN_POINT('',(4.36367375059126,9.5,-4.99248011620578)); #48304=CARTESIAN_POINT('',(4.36367375059126,9.5,-4.99248011620578)); #48305=CARTESIAN_POINT('',(4.36367375059126,9.5,-4.99248011620578)); #48306=CARTESIAN_POINT('Origin',(4.38812998407502,9.5,-4.7295756062566)); #48307=CARTESIAN_POINT('',(4.38812998407502,10.0000000000001,-4.7295756062566)); #48308=CARTESIAN_POINT('',(4.43270824802448,10.0000000000001,-4.25035926880179)); #48309=CARTESIAN_POINT('',(4.38812998407502,9.5,-4.7295756062566)); #48310=CARTESIAN_POINT('',(4.38812998407502,9.5,-4.7295756062566)); #48311=CARTESIAN_POINT('',(4.38812998407502,9.5,-4.7295756062566)); #48312=CARTESIAN_POINT('Origin',(4.32087534199491,9.5,-4.33827587051819)); #48313=CARTESIAN_POINT('',(4.32087534199491,10.0000000000001,-4.33827587051819)); #48314=CARTESIAN_POINT('',(5.22154855408599,10.0000000000001,-9.57855637722948)); #48315=CARTESIAN_POINT('',(4.32087534199491,9.5,-4.33827587051819)); #48316=CARTESIAN_POINT('',(4.32087534199491,9.5,-4.33827587051819)); #48317=CARTESIAN_POINT('',(4.32087534199491,9.5,-4.33827587051819)); #48318=CARTESIAN_POINT('Origin',(4.21082229131844,9.5,-4.11205571079442)); #48319=CARTESIAN_POINT('',(4.21082229131844,10.0000000000001,-4.11205571079442)); #48320=CARTESIAN_POINT('',(9.04471611123017,10.0000000000001,-14.0483930072806)); #48321=CARTESIAN_POINT('',(4.21082229131844,9.5,-4.11205571079442)); #48322=CARTESIAN_POINT('',(4.21082229131844,9.5,-4.11205571079442)); #48323=CARTESIAN_POINT('',(4.21082229131844,9.5,-4.11205571079442)); #48324=CARTESIAN_POINT('Origin',(3.94180372299843,9.5,-3.83692308410336)); #48325=CARTESIAN_POINT('',(3.94180372299843,10.0000000000001,-3.83692308410336)); #48326=CARTESIAN_POINT('',(15.5036012849446,10.0000000000001,-15.6614887724589)); #48327=CARTESIAN_POINT('',(3.94180372299843,9.5,-3.83692308410336)); #48328=CARTESIAN_POINT('',(3.94180372299843,9.5,-3.83692308410336)); #48329=CARTESIAN_POINT('',(3.94180372299843,9.5,-3.83692308410336)); #48330=CARTESIAN_POINT('Origin',(3.7033554465329,9.5,-3.69629974157236)); #48331=CARTESIAN_POINT('',(3.7033554465329,10.0000000000001,-3.69629974157236)); #48332=CARTESIAN_POINT('',(20.7449689825398,10.0000000000001,-13.7464820833209)); #48333=CARTESIAN_POINT('',(3.7033554465329,9.5,-3.69629974157236)); #48334=CARTESIAN_POINT('',(3.7033554465329,9.5,-3.69629974157236)); #48335=CARTESIAN_POINT('',(3.7033554465329,9.5,-3.69629974157236)); #48336=CARTESIAN_POINT('Origin',(4.05797083204561,9.5,-3.50064987370318)); #48337=CARTESIAN_POINT('',(4.05797083204561,10.0000000000001,-3.50064987370318)); #48338=CARTESIAN_POINT('',(19.7155816213099,10.0000000000001,5.13803194106336)); #48339=CARTESIAN_POINT('',(4.05797083204561,9.5,-3.50064987370318)); #48340=CARTESIAN_POINT('',(4.05797083204561,9.5,-3.50064987370318)); #48341=CARTESIAN_POINT('',(4.05797083204561,9.5,-3.50064987370318)); #48342=CARTESIAN_POINT('Origin',(4.32087534199491,9.5,-3.22551724701212)); #48343=CARTESIAN_POINT('',(4.32087534199491,10.0000000000001,-3.22551724701212)); #48344=CARTESIAN_POINT('',(13.43562461479,10.0000000000001,6.31317385242441)); #48345=CARTESIAN_POINT('',(4.32087534199491,9.5,-3.22551724701212)); #48346=CARTESIAN_POINT('',(4.32087534199491,9.5,-3.22551724701212)); #48347=CARTESIAN_POINT('',(4.32087534199491,9.5,-3.22551724701212)); #48348=CARTESIAN_POINT('Origin',(4.33310345873679,9.5,-3.2132891302703)); #48349=CARTESIAN_POINT('',(4.33310345873679,10.0000000000001,-3.2132891302703)); #48350=CARTESIAN_POINT('',(13.928149876619,10.0000000000001,6.38175728761242)); #48351=CARTESIAN_POINT('',(4.33310345873679,9.5,-3.2132891302703)); #48352=CARTESIAN_POINT('',(4.33310345873679,9.5,-3.2132891302703)); #48353=CARTESIAN_POINT('',(4.33310345873679,9.5,-3.2132891302703)); #48354=CARTESIAN_POINT('Origin',(4.49818303475149,9.5,-2.85867374475735)); #48355=CARTESIAN_POINT('',(4.49818303475149,10.0000000000001,-2.85867374475735)); #48356=CARTESIAN_POINT('',(7.36970241563995,10.0000000000001,3.30977529566994)); #48357=CARTESIAN_POINT('',(4.49818303475149,9.5,-2.85867374475735)); #48358=CARTESIAN_POINT('',(4.49818303475149,9.5,-2.85867374475735)); #48359=CARTESIAN_POINT('',(4.49818303475149,9.5,-2.85867374475735)); #48360=CARTESIAN_POINT('Origin',(4.54709550171856,9.5,-2.47348806738988)); #48361=CARTESIAN_POINT('',(4.54709550171856,10.0000000000001,-2.47348806738988)); #48362=CARTESIAN_POINT('',(4.55622318258293,10.0000000000001,-2.40160758058126)); #48363=CARTESIAN_POINT('',(4.54709550171856,9.5,-2.47348806738988)); #48364=CARTESIAN_POINT('',(4.54709550171856,9.5,-2.47348806738988)); #48365=CARTESIAN_POINT('',(4.54709550171856,9.5,-2.47348806738988)); #48366=CARTESIAN_POINT('Origin',(4.50429709312221,9.5,-2.06384615653876)); #48367=CARTESIAN_POINT('',(4.50429709312221,10.0000000000001,-2.06384615653876)); #48368=CARTESIAN_POINT('',(5.0289054663067,10.0000000000001,-7.08509772844681)); #48369=CARTESIAN_POINT('',(4.50429709312221,9.5,-2.06384615653876)); #48370=CARTESIAN_POINT('',(4.50429709312221,9.5,-2.06384615653876)); #48371=CARTESIAN_POINT('',(4.50429709312221,9.5,-2.06384615653876)); #48372=CARTESIAN_POINT('Origin',(4.37590186733314,9.5,-1.70311671265493)); #48373=CARTESIAN_POINT('',(4.37590186733314,10.0000000000001,-1.70311671265493)); #48374=CARTESIAN_POINT('',(7.74147627701086,10.0000000000001,-11.1587781493725)); #48375=CARTESIAN_POINT('',(4.37590186733314,9.5,-1.70311671265493)); #48376=CARTESIAN_POINT('',(4.37590186733314,9.5,-1.70311671265493)); #48377=CARTESIAN_POINT('',(4.37590186733314,9.5,-1.70311671265493)); #48378=CARTESIAN_POINT('Origin',(4.1557957659802,9.5,-1.39741379410928)); #48379=CARTESIAN_POINT('',(4.1557957659802,10.0000000000001,-1.39741379410928)); #48380=CARTESIAN_POINT('',(13.0183805733955,10.0000000000001,-13.7065593599631)); #48381=CARTESIAN_POINT('',(4.1557957659802,9.5,-1.39741379410928)); #48382=CARTESIAN_POINT('',(4.1557957659802,9.5,-1.39741379410928)); #48383=CARTESIAN_POINT('',(4.1557957659802,9.5,-1.39741379410928)); #48384=CARTESIAN_POINT('Origin',(4.10688329901268,9.5,-1.34850132714199)); #48385=CARTESIAN_POINT('',(4.10688329901268,10.0000000000001,-1.34850132714199)); #48386=CARTESIAN_POINT('',(16.4930371424734,10.0000000000001,-13.7346551706031)); #48387=CARTESIAN_POINT('',(4.10688329901268,9.5,-1.34850132714199)); #48388=CARTESIAN_POINT('',(4.10688329901268,9.5,-1.34850132714199)); #48389=CARTESIAN_POINT('',(4.10688329901268,9.5,-1.34850132714199)); #48390=CARTESIAN_POINT('Origin',(3.81340849720937,9.5,-1.12228116741822)); #48391=CARTESIAN_POINT('',(3.81340849720937,10.0000000000001,-1.12228116741822)); #48392=CARTESIAN_POINT('',(19.0392096773185,10.0000000000001,-12.858836243754)); #48393=CARTESIAN_POINT('',(3.81340849720937,9.5,-1.12228116741822)); #48394=CARTESIAN_POINT('',(3.81340849720937,9.5,-1.12228116741822)); #48395=CARTESIAN_POINT('',(3.81340849720937,9.5,-1.12228116741822)); #48396=CARTESIAN_POINT('Origin',(3.46490717006736,9.5,-0.981657824887222)); #48397=CARTESIAN_POINT('',(3.46490717006736,10.0000000000001,-0.981657824887222)); #48398=CARTESIAN_POINT('',(23.4663713304713,10.0000000000001,-9.05242406505136)); #48399=CARTESIAN_POINT('',(3.46490717006736,9.5,-0.981657824887222)); #48400=CARTESIAN_POINT('',(3.46490717006736,9.5,-0.981657824887222)); #48401=CARTESIAN_POINT('',(3.46490717006736,9.5,-0.981657824887222)); #48402=CARTESIAN_POINT('Origin',(3.06137931758667,9.5,-0.91440318280722)); #48403=CARTESIAN_POINT('',(3.06137931758667,10.0000000000001,-0.91440318280722)); #48404=CARTESIAN_POINT('',(25.1127837158297,10.0000000000001,-4.58963724917904)); #48405=CARTESIAN_POINT('',(3.06137931758667,9.5,-0.91440318280722)); #48406=CARTESIAN_POINT('',(3.06137931758667,9.5,-0.91440318280722)); #48407=CARTESIAN_POINT('',(3.06137931758667,9.5,-0.91440318280722)); #48408=CARTESIAN_POINT('Origin',(2.93298409179761,9.5,-0.91440318280722)); #48409=CARTESIAN_POINT('',(2.93298409179761,10.0000000000001,-0.91440318280722)); #48410=CARTESIAN_POINT('',(25.0914920458977,10.0000000000001,-0.91440318280722)); #48411=CARTESIAN_POINT('',(2.93298409179761,9.5,-0.91440318280722)); #48412=CARTESIAN_POINT('',(2.93298409179761,9.5,-0.91440318280722)); #48413=CARTESIAN_POINT('',(2.93298409179761,9.5,-0.91440318280722)); #48414=CARTESIAN_POINT('Origin',(2.51722812257549,9.5,-0.951087533032691)); #48415=CARTESIAN_POINT('',(2.51722812257549,10.0000000000001,-0.951087533032691)); #48416=CARTESIAN_POINT('',(24.4131917357386,10.0000000000001,0.980909256364044)); #48417=CARTESIAN_POINT('',(2.51722812257549,9.5,-0.951087533032691)); #48418=CARTESIAN_POINT('',(2.51722812257549,9.5,-0.951087533032691)); #48419=CARTESIAN_POINT('',(2.51722812257549,9.5,-0.951087533032691)); #48420=CARTESIAN_POINT('Origin',(2.14427056195018,9.5,-1.0611405837091)); #48421=CARTESIAN_POINT('',(2.14427056195018,10.0000000000001,-1.0611405837091)); #48422=CARTESIAN_POINT('',(21.9828430712073,10.0000000000001,4.79286441902208)); #48423=CARTESIAN_POINT('',(2.14427056195018,9.5,-1.0611405837091)); #48424=CARTESIAN_POINT('',(2.14427056195018,9.5,-1.0611405837091)); #48425=CARTESIAN_POINT('',(2.14427056195018,9.5,-1.0611405837091)); #48426=CARTESIAN_POINT('Origin',(1.26996021490959,9.5,-2.25338196603705)); #48427=CARTESIAN_POINT('',(1.3555570321023,10.0000000000001,-1.87431034704046)); #48428=CARTESIAN_POINT('',(1.26996021490959,10.0000000000001,-2.25338196603705)); #48429=CARTESIAN_POINT('',(1.79483934169002,10.0000000000001,0.0710827382784203)); #48430=CARTESIAN_POINT('',(1.3555570321023,9.5,-1.87431034704046)); #48431=CARTESIAN_POINT('',(1.3555570321023,9.5,-1.87431034704046)); #48432=CARTESIAN_POINT('',(1.26996021490959,9.5,-2.25338196603705)); #48433=CARTESIAN_POINT('',(1.26996021490959,9.5,-2.25338196603705)); #48434=CARTESIAN_POINT('',(1.26996021490959,9.5,-2.25338196603705)); #48435=CARTESIAN_POINT('Origin',(1.26384615653888,9.5,-2.43068965879353)); #48436=CARTESIAN_POINT('',(1.26384615653888,10.0000000000001,-2.43068965879353)); #48437=CARTESIAN_POINT('',(1.19955052349178,10.0000000000001,-4.29526301715993)); #48438=CARTESIAN_POINT('',(1.26384615653888,9.5,-2.43068965879353)); #48439=CARTESIAN_POINT('',(1.26384615653888,9.5,-2.43068965879353)); #48440=CARTESIAN_POINT('',(1.26384615653888,9.5,-2.43068965879353)); #48441=CARTESIAN_POINT('Origin',(1.31275862350594,9.5,-2.82198939453188)); #48442=CARTESIAN_POINT('',(1.31275862350594,10.0000000000001,-2.82198939453188)); #48443=CARTESIAN_POINT('',(1.96938420981542,10.0000000000001,-8.07499408500844)); #48444=CARTESIAN_POINT('',(1.31275862350594,9.5,-2.82198939453188)); #48445=CARTESIAN_POINT('',(1.31275862350594,9.5,-2.82198939453188)); #48446=CARTESIAN_POINT('',(1.31275862350594,9.5,-2.82198939453188)); #48447=CARTESIAN_POINT('Origin',(1.46561008277877,9.5,-3.17660478004483)); #48448=CARTESIAN_POINT('',(1.46561008277877,10.0000000000001,-3.17660478004483)); #48449=CARTESIAN_POINT('',(5.88359288137508,10.0000000000001,-13.4263248727875)); #48450=CARTESIAN_POINT('',(1.46561008277877,9.5,-3.17660478004483)); #48451=CARTESIAN_POINT('',(1.46561008277877,9.5,-3.17660478004483)); #48452=CARTESIAN_POINT('',(1.46561008277877,9.5,-3.17660478004483)); #48453=CARTESIAN_POINT('Origin',(1.7040583592443,9.5,-3.46396552347771)); #48454=CARTESIAN_POINT('',(1.7040583592443,10.0000000000001,-3.46396552347771)); #48455=CARTESIAN_POINT('',(12.0434254411553,10.0000000000001,-15.9242284170624)); #48456=CARTESIAN_POINT('',(1.7040583592443,9.5,-3.46396552347771)); #48457=CARTESIAN_POINT('',(1.7040583592443,9.5,-3.46396552347771)); #48458=CARTESIAN_POINT('',(1.7040583592443,9.5,-3.46396552347771)); #48459=CARTESIAN_POINT('Origin',(2.52334218094666,9.5,-3.18883289678665)); #48460=CARTESIAN_POINT('',(2.52334218094666,10.0000000000001,-3.18883289678665)); #48461=CARTESIAN_POINT('',(24.0421166227548,10.0000000000001,-8.74206501080077)); #48462=CARTESIAN_POINT('',(2.52334218094666,9.5,-3.18883289678665)); #48463=CARTESIAN_POINT('',(2.52334218094666,9.5,-3.18883289678665)); #48464=CARTESIAN_POINT('',(2.52334218094666,9.5,-3.18883289678665)); #48465=CARTESIAN_POINT('Origin',(2.38271883841571,9.5,-3.04820955425565)); #48466=CARTESIAN_POINT('',(2.38271883841571,10.0000000000001,-3.04820955425565)); #48467=CARTESIAN_POINT('',(14.7749867402476,10.0000000000001,-15.4404774560876)); #48468=CARTESIAN_POINT('',(2.38271883841571,9.5,-3.04820955425565)); #48469=CARTESIAN_POINT('',(2.38271883841571,9.5,-3.04820955425565)); #48470=CARTESIAN_POINT('',(2.38271883841571,9.5,-3.04820955425565)); #48471=CARTESIAN_POINT('Origin',(2.22375332077172,9.5,-2.68748011037182)); #48472=CARTESIAN_POINT('',(2.22375332077172,10.0000000000001,-2.68748011037182)); #48473=CARTESIAN_POINT('',(6.81885174100808,10.0000000000001,-13.1148188332163)); #48474=CARTESIAN_POINT('',(2.22375332077172,9.5,-2.68748011037182)); #48475=CARTESIAN_POINT('',(2.22375332077172,9.5,-2.68748011037182)); #48476=CARTESIAN_POINT('',(2.22375332077172,9.5,-2.68748011037182)); #48477=CARTESIAN_POINT('Origin',(2.20541114565913,9.5,-2.52240053435718)); #48478=CARTESIAN_POINT('',(2.20541114565913,10.0000000000001,-2.52240053435718)); #48479=CARTESIAN_POINT('',(2.76695373130133,10.0000000000001,-7.57628380512986)); #48480=CARTESIAN_POINT('',(2.20541114565913,9.5,-2.52240053435718)); #48481=CARTESIAN_POINT('',(2.20541114565913,9.5,-2.52240053435718)); #48482=CARTESIAN_POINT('',(2.20541114565913,9.5,-2.52240053435718)); #48483=CARTESIAN_POINT('Origin',(2.27877984610996,9.5,-2.11887268187694)); #48484=CARTESIAN_POINT('',(2.27877984610996,10.0000000000001,-2.11887268187694)); #48485=CARTESIAN_POINT('',(2.50278016457742,10.0000000000001,-0.886870930304284)); #48486=CARTESIAN_POINT('',(2.27877984610996,9.5,-2.11887268187694)); #48487=CARTESIAN_POINT('',(2.27877984610996,9.5,-2.11887268187694)); #48488=CARTESIAN_POINT('',(2.27877984610996,9.5,-2.11887268187694)); #48489=CARTESIAN_POINT('Origin',(2.41328913027019,9.5,-1.90488063889505)); #48490=CARTESIAN_POINT('',(2.41328913027019,10.0000000000001,-1.90488063889505)); #48491=CARTESIAN_POINT('',(7.44553785844437,10.0000000000001,6.10096961047256)); #48492=CARTESIAN_POINT('',(2.41328913027019,9.5,-1.90488063889505)); #48493=CARTESIAN_POINT('',(2.41328913027019,9.5,-1.90488063889505)); #48494=CARTESIAN_POINT('',(2.41328913027019,9.5,-1.90488063889505)); #48495=CARTESIAN_POINT('Origin',(2.73733422392843,9.5,-1.70311671265493)); #48496=CARTESIAN_POINT('',(2.73733422392843,10.0000000000001,-1.70311671265493)); #48497=CARTESIAN_POINT('',(17.4192325429908,10.0000000000001,7.43844261808232)); #48498=CARTESIAN_POINT('',(2.73733422392843,9.5,-1.70311671265493)); #48499=CARTESIAN_POINT('',(2.73733422392843,9.5,-1.70311671265493)); #48500=CARTESIAN_POINT('',(2.73733422392843,9.5,-1.70311671265493)); #48501=CARTESIAN_POINT('Origin',(2.91464191668501,9.5,-1.68477453754217)); #48502=CARTESIAN_POINT('',(24.5372075242326,10.0000000000001,0.552042594276188)); #48503=CARTESIAN_POINT('',(2.91464191668501,9.5,-1.68477453754217)); #48504=CARTESIAN_POINT('Origin',(1.3555570321023,9.5,-1.87431034704046)); #48505=CARTESIAN_POINT('',(1.53286472485888,10.0000000000001,-1.54415119501117)); #48506=CARTESIAN_POINT('',(5.26770722367337,10.0000000000001,5.41038311312615)); #48507=CARTESIAN_POINT('',(1.53286472485888,9.5,-1.54415119501117)); #48508=CARTESIAN_POINT('',(1.53286472485888,9.5,-1.54415119501117)); #48509=CARTESIAN_POINT('',(1.3555570321023,9.5,-1.87431034704046)); #48510=CARTESIAN_POINT('Origin',(1.61234748368088,9.5,-5.46326261076608)); #48511=CARTESIAN_POINT('',(1.44726790766617,10.0000000000001,-5.1269894003659)); #48512=CARTESIAN_POINT('',(1.61234748368088,10.0000000000001,-5.46326261076608)); #48513=CARTESIAN_POINT('',(6.49589219697555,10.0000000000001,-15.4112240637722)); #48514=CARTESIAN_POINT('',(1.44726790766617,9.5,-5.1269894003659)); #48515=CARTESIAN_POINT('',(1.44726790766617,9.5,-5.1269894003659)); #48516=CARTESIAN_POINT('',(1.61234748368088,9.5,-5.46326261076608)); #48517=CARTESIAN_POINT('',(1.61234748368088,9.5,-5.46326261076608)); #48518=CARTESIAN_POINT('',(1.61234748368088,9.5,-5.46326261076608)); #48519=CARTESIAN_POINT('Origin',(1.7835411180663,9.5,-5.65891247863526)); #48520=CARTESIAN_POINT('',(1.7835411180663,10.0000000000001,-5.65891247863526)); #48521=CARTESIAN_POINT('',(12.1594587570539,10.0000000000001,-17.5171040660493)); #48522=CARTESIAN_POINT('',(1.7835411180663,9.5,-5.65891247863526)); #48523=CARTESIAN_POINT('',(1.7835411180663,9.5,-5.65891247863526)); #48524=CARTESIAN_POINT('',(1.7835411180663,9.5,-5.65891247863526)); #48525=CARTESIAN_POINT('Origin',(2.0709018614989,9.5,-5.85456234650444)); #48526=CARTESIAN_POINT('',(2.0709018614989,10.0000000000001,-5.85456234650444)); #48527=CARTESIAN_POINT('',(17.9464610973187,10.0000000000001,-16.663453741104)); #48528=CARTESIAN_POINT('',(2.0709018614989,9.5,-5.85456234650444)); #48529=CARTESIAN_POINT('',(2.0709018614989,9.5,-5.85456234650444)); #48530=CARTESIAN_POINT('',(2.0709018614989,9.5,-5.85456234650444)); #48531=CARTESIAN_POINT('Origin',(2.43163130538278,9.5,-5.97684351392273)); #48532=CARTESIAN_POINT('',(2.43163130538278,10.0000000000001,-5.97684351392273)); #48533=CARTESIAN_POINT('',(22.8007457191316,10.0000000000001,-12.8816280609588)); #48534=CARTESIAN_POINT('',(2.43163130538278,9.5,-5.97684351392273)); #48535=CARTESIAN_POINT('',(2.43163130538278,9.5,-5.97684351392273)); #48536=CARTESIAN_POINT('',(2.43163130538278,9.5,-5.97684351392273)); #48537=CARTESIAN_POINT('Origin',(2.86572944971749,9.5,-6.01964192251909)); #48538=CARTESIAN_POINT('',(2.86572944971749,10.0000000000001,-6.01964192251909)); #48539=CARTESIAN_POINT('',(24.9287054623383,10.0000000000001,-8.19486490967698)); #48540=CARTESIAN_POINT('',(2.86572944971749,9.5,-6.01964192251909)); #48541=CARTESIAN_POINT('',(2.86572944971749,9.5,-6.01964192251909)); #48542=CARTESIAN_POINT('',(2.86572944971749,9.5,-6.01964192251909)); #48543=CARTESIAN_POINT('Origin',(2.89018568320125,9.5,-6.01964192251909)); #48544=CARTESIAN_POINT('',(2.89018568320125,10.0000000000001,-6.01964192251909)); #48545=CARTESIAN_POINT('',(25.0700928415995,10.0000000000001,-6.01964192251909)); #48546=CARTESIAN_POINT('',(2.89018568320125,9.5,-6.01964192251909)); #48547=CARTESIAN_POINT('',(2.89018568320125,9.5,-6.01964192251909)); #48548=CARTESIAN_POINT('',(2.89018568320125,9.5,-6.01964192251909)); #48549=CARTESIAN_POINT('Origin',(3.32428382753597,9.5,-5.98295757229362)); #48550=CARTESIAN_POINT('',(3.32428382753597,10.0000000000001,-5.98295757229362)); #48551=CARTESIAN_POINT('',(25.0572733047052,10.0000000000001,-4.14636691225124)); #48552=CARTESIAN_POINT('',(3.32428382753597,9.5,-5.98295757229362)); #48553=CARTESIAN_POINT('',(3.32428382753597,9.5,-5.98295757229362)); #48554=CARTESIAN_POINT('',(3.32428382753597,9.5,-5.98295757229362)); #48555=CARTESIAN_POINT('Origin',(3.69112732979102,9.5,-5.86679046324626)); #48556=CARTESIAN_POINT('',(23.2146166936946,10.0000000000001,0.315647835323702)); #48557=CARTESIAN_POINT('',(3.69112732979102,9.5,-5.86679046324626)); #48558=CARTESIAN_POINT('Origin',(2.52334218094666,9.5,-5.15144563384955)); #48559=CARTESIAN_POINT('',(2.52334218094666,10.0000000000001,-5.15144563384955)); #48560=CARTESIAN_POINT('',(23.4961420044911,10.0000000000001,-11.2403230019743)); #48561=CARTESIAN_POINT('',(2.52334218094666,9.5,-5.15144563384955)); #48562=CARTESIAN_POINT('',(2.52334218094666,9.5,-5.15144563384955)); #48563=CARTESIAN_POINT('',(2.52334218094666,9.5,-5.15144563384955)); #48564=CARTESIAN_POINT('Origin',(2.46220159723771,9.5,-5.09641910851131)); #48565=CARTESIAN_POINT('',(2.46220159723771,10.0000000000001,-5.09641910851131)); #48566=CARTESIAN_POINT('',(15.4942516538895,10.0000000000001,-16.8252641595042)); #48567=CARTESIAN_POINT('',(2.46220159723771,9.5,-5.09641910851131)); #48568=CARTESIAN_POINT('',(2.46220159723771,9.5,-5.09641910851131)); #48569=CARTESIAN_POINT('',(2.46220159723771,9.5,-5.09641910851131)); #48570=CARTESIAN_POINT('Origin',(2.30323607959372,9.5,-4.75403183974025)); #48571=CARTESIAN_POINT('',(2.30323607959372,10.0000000000001,-4.75403183974025)); #48572=CARTESIAN_POINT('',(6.86070486914423,10.0000000000001,-14.5701184633874)); #48573=CARTESIAN_POINT('',(2.30323607959372,9.5,-4.75403183974025)); #48574=CARTESIAN_POINT('',(2.30323607959372,9.5,-4.75403183974025)); #48575=CARTESIAN_POINT('',(2.30323607959372,9.5,-4.75403183974025)); #48576=CARTESIAN_POINT('Origin',(2.297122021223,9.5,-4.66232096417655)); #48577=CARTESIAN_POINT('',(2.297122021223,10.0000000000001,-4.66232096417655)); #48578=CARTESIAN_POINT('',(2.49904251572889,10.0000000000001,-7.69112838175391)); #48579=CARTESIAN_POINT('',(2.297122021223,9.5,-4.66232096417655)); #48580=CARTESIAN_POINT('',(2.297122021223,9.5,-4.66232096417655)); #48581=CARTESIAN_POINT('',(2.297122021223,9.5,-4.66232096417655)); #48582=CARTESIAN_POINT('Origin',(2.40106101352831,9.5,-4.28936340355089)); #48583=CARTESIAN_POINT('',(2.40106101352831,10.0000000000001,-4.28936340355089)); #48584=CARTESIAN_POINT('',(3.56972226247763,10.0000000000001,-0.0959318632033615)); #48585=CARTESIAN_POINT('',(2.40106101352831,9.5,-4.28936340355089)); #48586=CARTESIAN_POINT('',(2.40106101352831,9.5,-4.28936340355089)); #48587=CARTESIAN_POINT('',(2.40106101352831,9.5,-4.28936340355089)); #48588=CARTESIAN_POINT('Origin',(2.46220159723771,9.5,-4.21599470309995)); #48589=CARTESIAN_POINT('',(2.46220159723771,10.0000000000001,-4.21599470309995)); #48590=CARTESIAN_POINT('',(10.7710114428273,10.0000000000001,5.75457711160809)); #48591=CARTESIAN_POINT('',(2.46220159723771,9.5,-4.21599470309995)); #48592=CARTESIAN_POINT('',(2.46220159723771,9.5,-4.21599470309995)); #48593=CARTESIAN_POINT('',(2.46220159723771,9.5,-4.21599470309995)); #48594=CARTESIAN_POINT('Origin',(2.79847480763783,9.5,-4.06314324382713)); #48595=CARTESIAN_POINT('',(2.79847480763783,10.0000000000001,-4.06314324382713)); #48596=CARTESIAN_POINT('',(20.5240139401235,10.0000000000001,3.99391999821268)); #48597=CARTESIAN_POINT('',(2.79847480763783,9.5,-4.06314324382713)); #48598=CARTESIAN_POINT('',(2.79847480763783,9.5,-4.06314324382713)); #48599=CARTESIAN_POINT('',(2.79847480763783,9.5,-4.06314324382713)); #48600=CARTESIAN_POINT('Origin',(2.89018568320125,9.5,-4.05702918545619)); #48601=CARTESIAN_POINT('',(24.8493973182908,10.0000000000001,-2.59308174311053)); #48602=CARTESIAN_POINT('',(2.89018568320125,9.5,-4.05702918545619)); #48603=CARTESIAN_POINT('Origin',(1.55732095834219,9.5,-4.13039788590713)); #48604=CARTESIAN_POINT('',(1.74685676784065,10.0000000000001,-3.9102917845543)); #48605=CARTESIAN_POINT('',(1.55732095834219,10.0000000000001,-4.13039788590713)); #48606=CARTESIAN_POINT('',(10.390105318048,10.0000000000001,6.12702911245892)); #48607=CARTESIAN_POINT('',(1.74685676784065,9.5,-3.9102917845543)); #48608=CARTESIAN_POINT('',(1.74685676784065,9.5,-3.9102917845543)); #48609=CARTESIAN_POINT('',(1.55732095834219,9.5,-4.13039788590713)); #48610=CARTESIAN_POINT('',(1.55732095834219,9.5,-4.13039788590713)); #48611=CARTESIAN_POINT('',(1.55732095834219,9.5,-4.13039788590713)); #48612=CARTESIAN_POINT('Origin',(1.41058355744053,9.5,-4.4972413881619)); #48613=CARTESIAN_POINT('',(1.41058355744053,10.0000000000001,-4.4972413881619)); #48614=CARTESIAN_POINT('',(4.01110217212636,10.0000000000001,2.00405514855444)); #48615=CARTESIAN_POINT('',(1.41058355744053,9.5,-4.4972413881619)); #48616=CARTESIAN_POINT('',(1.41058355744053,9.5,-4.4972413881619)); #48617=CARTESIAN_POINT('',(1.41058355744053,9.5,-4.4972413881619)); #48618=CARTESIAN_POINT('Origin',(1.39224138232794,9.5,-4.7295756062566)); #48619=CARTESIAN_POINT('',(1.39224138232794,10.0000000000001,-4.7295756062566)); #48620=CARTESIAN_POINT('',(1.41577594759701,10.0000000000001,-4.43147111285042)); #48621=CARTESIAN_POINT('',(1.39224138232794,9.5,-4.7295756062566)); #48622=CARTESIAN_POINT('',(1.39224138232794,9.5,-4.7295756062566)); #48623=CARTESIAN_POINT('',(1.39224138232794,9.5,-4.7295756062566)); #48624=CARTESIAN_POINT('Origin',(1.44726790766617,9.5,-5.1269894003659)); #48625=CARTESIAN_POINT('',(2.05624172330272,10.0000000000001,-9.52513362440874)); #48626=CARTESIAN_POINT('',(1.44726790766617,9.5,-5.1269894003659)); #48627=CARTESIAN_POINT('Origin',(1.82022546829148,9.5,-1.2506763932074)); #48628=CARTESIAN_POINT('',(1.82022546829148,10.0000000000001,-1.2506763932074)); #48629=CARTESIAN_POINT('',(17.3286933693012,10.0000000000001,7.82031426587251)); #48630=CARTESIAN_POINT('',(1.82022546829148,9.5,-1.2506763932074)); #48631=CARTESIAN_POINT('',(1.82022546829148,9.5,-1.2506763932074)); #48632=CARTESIAN_POINT('',(1.82022546829148,9.5,-1.2506763932074)); #48633=CARTESIAN_POINT('Origin',(1.79576923480818,9.5,-1.26901856832011)); #48634=CARTESIAN_POINT('',(1.79576923480818,10.0000000000001,-1.26901856832011)); #48635=CARTESIAN_POINT('',(14.7856875360826,10.0000000000001,8.47342015762054)); #48636=CARTESIAN_POINT('',(1.79576923480818,9.5,-1.26901856832011)); #48637=CARTESIAN_POINT('',(1.79576923480818,9.5,-1.26901856832011)); #48638=CARTESIAN_POINT('',(1.79576923480818,9.5,-1.26901856832011)); #48639=CARTESIAN_POINT('Origin',(1.53286472485888,9.5,-1.54415119501117)); #48640=CARTESIAN_POINT('',(10.8930435692159,10.0000000000001,8.25138480489726)); #48641=CARTESIAN_POINT('',(1.53286472485888,9.5,-1.54415119501117)); #48642=CARTESIAN_POINT('Origin',(2.0525596863863,9.5,-3.67795756645965)); #48643=CARTESIAN_POINT('',(2.0525596863863,10.0000000000001,-3.67795756645965)); #48644=CARTESIAN_POINT('',(19.3715369141282,10.0000000000001,-14.3124172677053)); #48645=CARTESIAN_POINT('',(2.0525596863863,9.5,-3.67795756645965)); #48646=CARTESIAN_POINT('',(2.0525596863863,9.5,-3.67795756645965)); #48647=CARTESIAN_POINT('',(2.0525596863863,9.5,-3.67795756645965)); #48648=CARTESIAN_POINT('Origin',(2.09535809498266,9.5,-3.69629974157236)); #48649=CARTESIAN_POINT('',(2.09535809498266,10.0000000000001,-3.69629974157236)); #48650=CARTESIAN_POINT('',(21.9031611878559,10.0000000000001,-12.1853582099392)); #48651=CARTESIAN_POINT('',(2.09535809498266,9.5,-3.69629974157236)); #48652=CARTESIAN_POINT('',(2.09535809498266,9.5,-3.69629974157236)); #48653=CARTESIAN_POINT('',(2.09535809498266,9.5,-3.69629974157236)); #48654=CARTESIAN_POINT('Origin',(1.74685676784065,9.5,-3.9102917845543)); #48655=CARTESIAN_POINT('',(17.4128709018883,10.0000000000001,5.70919057845799)); #48656=CARTESIAN_POINT('',(1.74685676784065,9.5,-3.9102917845543)); #48657=CARTESIAN_POINT('Origin',(3.22089946560209,9.5,-3.08299119199738)); #48658=CARTESIAN_POINT('Origin',(11.150278542304,9.5,-2.02716180631329)); #48659=CARTESIAN_POINT('',(11.2725597097224,10.0000000000001,-1.72145888776764)); #48660=CARTESIAN_POINT('',(11.150278542304,10.0000000000001,-2.02716180631329)); #48661=CARTESIAN_POINT('',(12.6532182646138,10.0000000000001,1.73018749945976)); #48662=CARTESIAN_POINT('',(11.2725597097224,9.5,-1.72145888776764)); #48663=CARTESIAN_POINT('',(11.2725597097224,9.5,-1.72145888776764)); #48664=CARTESIAN_POINT('',(11.150278542304,9.5,-2.02716180631329)); #48665=CARTESIAN_POINT('',(11.150278542304,9.5,-2.02716180631329)); #48666=CARTESIAN_POINT('',(11.150278542304,9.5,-2.02716180631329)); #48667=CARTESIAN_POINT('Origin',(11.0585676667401,9.5,-2.40011936693894)); #48668=CARTESIAN_POINT('',(11.0585676667401,10.0000000000001,-2.40011936693894)); #48669=CARTESIAN_POINT('',(11.4701149926054,10.0000000000001,-0.726493575086991)); #48670=CARTESIAN_POINT('',(11.0585676667401,9.5,-2.40011936693894)); #48671=CARTESIAN_POINT('',(11.0585676667401,9.5,-2.40011936693894)); #48672=CARTESIAN_POINT('',(11.0585676667401,9.5,-2.40011936693894)); #48673=CARTESIAN_POINT('Origin',(11.0035411414024,9.5,-2.83421751127371)); #48674=CARTESIAN_POINT('',(11.0035411414024,10.0000000000001,-2.83421751127371)); #48675=CARTESIAN_POINT('',(10.9835077713565,10.0000000000001,-2.99225854163493)); #48676=CARTESIAN_POINT('',(11.0035411414024,9.5,-2.83421751127371)); #48677=CARTESIAN_POINT('',(11.0035411414024,9.5,-2.83421751127371)); #48678=CARTESIAN_POINT('',(11.0035411414024,9.5,-2.83421751127371)); #48679=CARTESIAN_POINT('Origin',(10.9790849079186,9.5,-3.32945623931765)); #48680=CARTESIAN_POINT('',(10.9790849079186,10.0000000000001,-3.32945623931765)); #48681=CARTESIAN_POINT('',(10.9143197006065,10.0000000000001,-4.6409516873951)); #48682=CARTESIAN_POINT('',(10.9790849079186,9.5,-3.32945623931765)); #48683=CARTESIAN_POINT('',(10.9790849079186,9.5,-3.32945623931765)); #48684=CARTESIAN_POINT('',(10.9790849079186,9.5,-3.32945623931765)); #48685=CARTESIAN_POINT('Origin',(10.9790849079186,9.5,-3.47619364021954)); #48686=CARTESIAN_POINT('',(10.9790849079186,10.0000000000001,-3.47619364021954)); #48687=CARTESIAN_POINT('',(10.9790849079186,10.0000000000001,-5.61309682011019)); #48688=CARTESIAN_POINT('',(10.9790849079186,9.5,-3.47619364021954)); #48689=CARTESIAN_POINT('',(10.9790849079186,9.5,-3.47619364021954)); #48690=CARTESIAN_POINT('',(10.9790849079186,9.5,-3.47619364021954)); #48691=CARTESIAN_POINT('Origin',(10.9974270830312,9.5,-3.97143236826342)); #48692=CARTESIAN_POINT('',(10.9974270830312,10.0000000000001,-3.97143236826342)); #48693=CARTESIAN_POINT('',(11.0921352330133,10.0000000000001,-6.52855241778133)); #48694=CARTESIAN_POINT('',(10.9974270830312,9.5,-3.97143236826342)); #48695=CARTESIAN_POINT('',(10.9974270830312,9.5,-3.97143236826342)); #48696=CARTESIAN_POINT('',(10.9974270830312,9.5,-3.97143236826342)); #48697=CARTESIAN_POINT('Origin',(11.0463395499987,9.5,-4.41775862934001)); #48698=CARTESIAN_POINT('',(11.0463395499987,10.0000000000001,-4.41775862934001)); #48699=CARTESIAN_POINT('',(11.441579975482,10.0000000000001,-8.02432751187756)); #48700=CARTESIAN_POINT('',(11.0463395499987,9.5,-4.41775862934001)); #48701=CARTESIAN_POINT('',(11.0463395499987,9.5,-4.41775862934001)); #48702=CARTESIAN_POINT('',(11.0463395499987,9.5,-4.41775862934001)); #48703=CARTESIAN_POINT('Origin',(11.1319363671914,9.5,-4.80294430670755)); #48704=CARTESIAN_POINT('',(11.1319363671914,10.0000000000001,-4.80294430670755)); #48705=CARTESIAN_POINT('',(12.2938143496053,10.0000000000001,-10.0313952275732)); #48706=CARTESIAN_POINT('',(11.1319363671914,9.5,-4.80294430670755)); #48707=CARTESIAN_POINT('',(11.1319363671914,9.5,-4.80294430670755)); #48708=CARTESIAN_POINT('',(11.1319363671914,9.5,-4.80294430670755)); #48709=CARTESIAN_POINT('Origin',(11.2481034762386,9.5,-5.13310345873679)); #48710=CARTESIAN_POINT('',(11.2481034762386,10.0000000000001,-5.13310345873679)); #48711=CARTESIAN_POINT('',(13.6407828318106,10.0000000000001,-11.9333500482562)); #48712=CARTESIAN_POINT('',(11.2481034762386,9.5,-5.13310345873679)); #48713=CARTESIAN_POINT('',(11.2481034762386,9.5,-5.13310345873679)); #48714=CARTESIAN_POINT('',(11.2481034762386,9.5,-5.13310345873679)); #48715=CARTESIAN_POINT('Origin',(11.4009549355114,9.5,-5.41435014379879)); #48716=CARTESIAN_POINT('',(11.4009549355114,10.0000000000001,-5.41435014379879)); #48717=CARTESIAN_POINT('',(15.9780528012398,10.0000000000001,-13.8362102167421)); #48718=CARTESIAN_POINT('',(11.4009549355114,9.5,-5.41435014379879)); #48719=CARTESIAN_POINT('',(11.4009549355114,9.5,-5.41435014379879)); #48720=CARTESIAN_POINT('',(11.4009549355114,9.5,-5.41435014379879)); #48721=CARTESIAN_POINT('Origin',(11.4682095775916,9.5,-5.51217507773338)); #48722=CARTESIAN_POINT('',(11.4682095775916,10.0000000000001,-5.51217507773338)); #48723=CARTESIAN_POINT('',(17.7327372001814,10.0000000000001,-14.6242152560422)); #48724=CARTESIAN_POINT('',(11.4682095775916,9.5,-5.51217507773338)); #48725=CARTESIAN_POINT('',(11.4682095775916,9.5,-5.51217507773338)); #48726=CARTESIAN_POINT('',(11.4682095775916,9.5,-5.51217507773338)); #48727=CARTESIAN_POINT('Origin',(11.7433422042827,9.5,-5.76896552931167)); #48728=CARTESIAN_POINT('',(11.7433422042827,10.0000000000001,-5.76896552931167)); #48729=CARTESIAN_POINT('',(21.7255455806262,10.0000000000001,-15.0856886805649)); #48730=CARTESIAN_POINT('',(11.7433422042827,9.5,-5.76896552931167)); #48731=CARTESIAN_POINT('',(11.7433422042827,9.5,-5.76896552931167)); #48732=CARTESIAN_POINT('',(11.7433422042827,9.5,-5.76896552931167)); #48733=CARTESIAN_POINT('Origin',(12.0735013563117,9.5,-5.94015916369726)); #48734=CARTESIAN_POINT('',(12.0735013563117,10.0000000000001,-5.94015916369726)); #48735=CARTESIAN_POINT('',(26.3047377602948,10.0000000000001,-13.3193187805785)); #48736=CARTESIAN_POINT('',(12.0735013563117,9.5,-5.94015916369726)); #48737=CARTESIAN_POINT('',(12.0735013563117,9.5,-5.94015916369726)); #48738=CARTESIAN_POINT('',(12.0735013563117,9.5,-5.94015916369726)); #48739=CARTESIAN_POINT('Origin',(12.4648010920505,9.5,-6.01964192251909)); #48740=CARTESIAN_POINT('',(12.4648010920505,10.0000000000001,-6.01964192251909)); #48741=CARTESIAN_POINT('',(29.3369971820184,10.0000000000001,-9.44680675329205)); #48742=CARTESIAN_POINT('',(12.4648010920505,9.5,-6.01964192251909)); #48743=CARTESIAN_POINT('',(12.4648010920505,9.5,-6.01964192251909)); #48744=CARTESIAN_POINT('',(12.4648010920505,9.5,-6.01964192251909)); #48745=CARTESIAN_POINT('Origin',(12.5993103762103,9.5,-6.01964192251909)); #48746=CARTESIAN_POINT('',(12.5993103762103,10.0000000000001,-6.01964192251909)); #48747=CARTESIAN_POINT('',(29.9246551881042,10.0000000000001,-6.0196419225191)); #48748=CARTESIAN_POINT('',(12.5993103762103,9.5,-6.01964192251909)); #48749=CARTESIAN_POINT('',(12.5993103762103,9.5,-6.01964192251909)); #48750=CARTESIAN_POINT('',(12.5993103762103,9.5,-6.01964192251909)); #48751=CARTESIAN_POINT('Origin',(13.0089522870617,9.5,-5.97684351392273)); #48752=CARTESIAN_POINT('',(13.0089522870617,10.0000000000001,-5.97684351392273)); #48753=CARTESIAN_POINT('',(29.8529863099134,10.0000000000001,-4.21701906377557)); #48754=CARTESIAN_POINT('',(13.0089522870617,9.5,-5.97684351392273)); #48755=CARTESIAN_POINT('',(13.0089522870617,9.5,-5.97684351392273)); #48756=CARTESIAN_POINT('',(13.0089522870617,9.5,-5.97684351392273)); #48757=CARTESIAN_POINT('Origin',(13.3574536142037,9.5,-5.83622017139173)); #48758=CARTESIAN_POINT('',(13.3574536142037,10.0000000000001,-5.83622017139173)); #48759=CARTESIAN_POINT('',(27.5988403071806,10.0000000000001,-0.0896957163300556)); #48760=CARTESIAN_POINT('',(13.3574536142037,9.5,-5.83622017139173)); #48761=CARTESIAN_POINT('',(13.3574536142037,9.5,-5.83622017139173)); #48762=CARTESIAN_POINT('',(13.3574536142037,9.5,-5.83622017139173)); #48763=CARTESIAN_POINT('Origin',(13.650928416007,9.5,-5.60388595329708)); #48764=CARTESIAN_POINT('',(13.650928416007,10.0000000000001,-5.60388595329708)); #48765=CARTESIAN_POINT('',(23.4558575659971,10.0000000000001,2.15834962377865)); #48766=CARTESIAN_POINT('',(13.650928416007,9.5,-5.60388595329708)); #48767=CARTESIAN_POINT('',(13.650928416007,9.5,-5.60388595329708)); #48768=CARTESIAN_POINT('',(13.650928416007,9.5,-5.60388595329708)); #48769=CARTESIAN_POINT('Origin',(13.7365252332002,9.5,-5.50606101936244)); #48770=CARTESIAN_POINT('',(13.7365252332002,10.0000000000001,-5.50606101936244)); #48771=CARTESIAN_POINT('',(20.4467008113306,10.0000000000001,2.16271106992899)); #48772=CARTESIAN_POINT('',(13.7365252332002,9.5,-5.50606101936244)); #48773=CARTESIAN_POINT('',(13.7365252332002,9.5,-5.50606101936244)); #48774=CARTESIAN_POINT('',(13.7365252332002,9.5,-5.50606101936244)); #48775=CARTESIAN_POINT('Origin',(13.9016048092149,9.5,-5.24927056778414)); #48776=CARTESIAN_POINT('',(13.9016048092149,10.0000000000001,-5.24927056778414)); #48777=CARTESIAN_POINT('',(18.2086952460263,10.0000000000001,1.45064788947917)); #48778=CARTESIAN_POINT('',(13.9016048092149,9.5,-5.24927056778414)); #48779=CARTESIAN_POINT('',(13.9016048092149,9.5,-5.24927056778414)); #48780=CARTESIAN_POINT('',(13.9016048092149,9.5,-5.24927056778414)); #48781=CARTESIAN_POINT('Origin',(13.1251193961089,9.5,-2.21669761581158)); #48782=CARTESIAN_POINT('',(13.1006631626251,10.0000000000001,-2.14332891536058)); #48783=CARTESIAN_POINT('',(13.1251193961089,10.0000000000001,-2.21669761581158)); #48784=CARTESIAN_POINT('',(15.6613587839288,10.0000000000001,-9.82541577927737)); #48785=CARTESIAN_POINT('',(13.1006631626251,9.5,-2.14332891536058)); #48786=CARTESIAN_POINT('',(13.1006631626251,9.5,-2.14332891536058)); #48787=CARTESIAN_POINT('',(13.1251193961089,9.5,-2.21669761581158)); #48788=CARTESIAN_POINT('',(13.1251193961089,9.5,-2.21669761581158)); #48789=CARTESIAN_POINT('',(13.1251193961089,9.5,-2.21669761581158)); #48790=CARTESIAN_POINT('Origin',(13.1740318630759,9.5,-2.50405835924447)); #48791=CARTESIAN_POINT('',(13.1740318630759,10.0000000000001,-2.50405835924447)); #48792=CARTESIAN_POINT('',(14.0876561520522,10.0000000000001,-7.87160105698149)); #48793=CARTESIAN_POINT('',(13.1740318630759,9.5,-2.50405835924447)); #48794=CARTESIAN_POINT('',(13.1740318630759,9.5,-2.50405835924447)); #48795=CARTESIAN_POINT('',(13.1740318630759,9.5,-2.50405835924447)); #48796=CARTESIAN_POINT('Origin',(13.2107162133016,9.5,-2.89535809498283)); #48797=CARTESIAN_POINT('',(13.2107162133016,10.0000000000001,-2.89535809498283)); #48798=CARTESIAN_POINT('',(13.5845783512318,10.0000000000001,-6.88322089956471)); #48799=CARTESIAN_POINT('',(13.2107162133016,9.5,-2.89535809498283)); #48800=CARTESIAN_POINT('',(13.2107162133016,9.5,-2.89535809498283)); #48801=CARTESIAN_POINT('',(13.2107162133016,9.5,-2.89535809498283)); #48802=CARTESIAN_POINT('Origin',(13.2229443300434,9.5,-3.39059682302677)); #48803=CARTESIAN_POINT('',(13.2229443300434,10.0000000000001,-3.39059682302677)); #48804=CARTESIAN_POINT('',(13.2870975316691,10.0000000000001,-5.98880148888044)); #48805=CARTESIAN_POINT('',(13.2229443300434,9.5,-3.39059682302677)); #48806=CARTESIAN_POINT('',(13.2229443300434,9.5,-3.39059682302677)); #48807=CARTESIAN_POINT('',(13.2229443300434,9.5,-3.39059682302677)); #48808=CARTESIAN_POINT('Origin',(13.2229443300434,9.5,-3.46396552347771)); #48809=CARTESIAN_POINT('',(13.2229443300434,10.0000000000001,-3.46396552347771)); #48810=CARTESIAN_POINT('',(13.2229443300434,10.0000000000001,-5.60698276173928)); #48811=CARTESIAN_POINT('',(13.2229443300434,9.5,-3.46396552347771)); #48812=CARTESIAN_POINT('',(13.2229443300434,9.5,-3.46396552347771)); #48813=CARTESIAN_POINT('',(13.2229443300434,9.5,-3.46396552347771)); #48814=CARTESIAN_POINT('Origin',(13.2107162133016,9.5,-3.98977454337619)); #48815=CARTESIAN_POINT('',(13.2107162133016,10.0000000000001,-3.98977454337619)); #48816=CARTESIAN_POINT('',(13.1762161022616,10.0000000000001,-5.47327931809814)); #48817=CARTESIAN_POINT('',(13.2107162133016,9.5,-3.98977454337619)); #48818=CARTESIAN_POINT('',(13.2107162133016,9.5,-3.98977454337619)); #48819=CARTESIAN_POINT('',(13.2107162133016,9.5,-3.98977454337619)); #48820=CARTESIAN_POINT('Origin',(13.1801459214471,9.5,-4.38718833748548)); #48821=CARTESIAN_POINT('',(13.1801459214471,10.0000000000001,-4.38718833748548)); #48822=CARTESIAN_POINT('',(13.1517732816405,10.0000000000001,-4.75603265496652)); #48823=CARTESIAN_POINT('',(13.1801459214471,9.5,-4.38718833748548)); #48824=CARTESIAN_POINT('',(13.1801459214471,9.5,-4.38718833748548)); #48825=CARTESIAN_POINT('',(13.1801459214471,9.5,-4.38718833748548)); #48826=CARTESIAN_POINT('Origin',(13.1373475128507,9.5,-4.66843502254743)); #48827=CARTESIAN_POINT('',(13.1373475128507,10.0000000000001,-4.66843502254743)); #48828=CARTESIAN_POINT('',(13.294219579624,10.0000000000001,-3.63756144089318)); #48829=CARTESIAN_POINT('',(13.1373475128507,9.5,-4.66843502254743)); #48830=CARTESIAN_POINT('',(13.1373475128507,9.5,-4.66843502254743)); #48831=CARTESIAN_POINT('',(13.1373475128507,9.5,-4.66843502254743)); #48832=CARTESIAN_POINT('Origin',(13.1312334544796,9.5,-4.68066313928925)); #48833=CARTESIAN_POINT('',(13.1312334544796,10.0000000000001,-4.68066313928925)); #48834=CARTESIAN_POINT('',(15.9292427369123,10.0000000000001,0.915355425551141)); #48835=CARTESIAN_POINT('',(13.1312334544796,9.5,-4.68066313928925)); #48836=CARTESIAN_POINT('',(13.1312334544796,9.5,-4.68066313928925)); #48837=CARTESIAN_POINT('',(13.1312334544796,9.5,-4.68066313928925)); #48838=CARTESIAN_POINT('Origin',(12.9661538784649,9.5,-5.05973475828584)); #48839=CARTESIAN_POINT('',(12.9661538784649,10.0000000000001,-5.05973475828584)); #48840=CARTESIAN_POINT('',(15.2064140805169,10.0000000000001,0.0845664464260807)); #48841=CARTESIAN_POINT('',(12.9661538784649,9.5,-5.05973475828584)); #48842=CARTESIAN_POINT('',(12.9661538784649,9.5,-5.05973475828584)); #48843=CARTESIAN_POINT('',(12.9661538784649,9.5,-5.05973475828584)); #48844=CARTESIAN_POINT('Origin',(12.9111273531266,9.5,-5.11476128362408)); #48845=CARTESIAN_POINT('',(12.9111273531266,10.0000000000001,-5.11476128362408)); #48846=CARTESIAN_POINT('',(20.8370358357411,10.0000000000001,2.81114719899894)); #48847=CARTESIAN_POINT('',(12.9111273531266,9.5,-5.11476128362408)); #48848=CARTESIAN_POINT('',(12.9111273531266,9.5,-5.11476128362408)); #48849=CARTESIAN_POINT('',(12.9111273531266,9.5,-5.11476128362408)); #48850=CARTESIAN_POINT('Origin',(12.5993103762103,9.5,-5.22481433430049)); #48851=CARTESIAN_POINT('',(12.5993103762103,10.0000000000001,-5.22481433430049)); #48852=CARTESIAN_POINT('',(27.6092801660161,10.0000000000001,0.0728220621001867)); #48853=CARTESIAN_POINT('',(12.5993103762103,9.5,-5.22481433430049)); #48854=CARTESIAN_POINT('',(12.5993103762103,9.5,-5.22481433430049)); #48855=CARTESIAN_POINT('',(12.5993103762103,9.5,-5.22481433430049)); #48856=CARTESIAN_POINT('Origin',(12.2874933992939,9.5,-5.11476128362408)); #48857=CARTESIAN_POINT('',(12.2874933992939,10.0000000000001,-5.11476128362408)); #48858=CARTESIAN_POINT('',(28.2458914864847,10.0000000000001,-10.7471370791036)); #48859=CARTESIAN_POINT('',(12.2874933992939,9.5,-5.11476128362408)); #48860=CARTESIAN_POINT('',(12.2874933992939,9.5,-5.11476128362408)); #48861=CARTESIAN_POINT('',(12.2874933992939,9.5,-5.11476128362408)); #48862=CARTESIAN_POINT('Origin',(12.0979575897954,9.5,-4.79683024833661)); #48863=CARTESIAN_POINT('',(12.0979575897954,10.0000000000001,-4.79683024833661)); #48864=CARTESIAN_POINT('',(17.3560234009669,10.0000000000001,-13.6168116090114)); #48865=CARTESIAN_POINT('',(12.0979575897954,9.5,-4.79683024833661)); #48866=CARTESIAN_POINT('',(12.0979575897954,9.5,-4.79683024833661)); #48867=CARTESIAN_POINT('',(12.0979575897954,9.5,-4.79683024833661)); #48868=CARTESIAN_POINT('Origin',(13.1006631626251,9.5,-2.14332891536058)); #48869=CARTESIAN_POINT('',(12.9111273531266,10.0000000000001,-1.82539788007317)); #48870=CARTESIAN_POINT('',(18.8108223016629,10.0000000000001,-11.7216603743933)); #48871=CARTESIAN_POINT('',(12.9111273531266,9.5,-1.82539788007317)); #48872=CARTESIAN_POINT('',(12.9111273531266,9.5,-1.82539788007317)); #48873=CARTESIAN_POINT('',(13.1006631626251,9.5,-2.14332891536058)); #48874=CARTESIAN_POINT('Origin',(14.0300000350039,9.5,-4.93745359086761)); #48875=CARTESIAN_POINT('',(14.0300000350039,10.0000000000001,-4.93745359086761)); #48876=CARTESIAN_POINT('',(15.9428505906226,10.0000000000001,-0.291959384365825)); #48877=CARTESIAN_POINT('',(14.0300000350039,9.5,-4.93745359086761)); #48878=CARTESIAN_POINT('',(14.0300000350039,9.5,-4.93745359086761)); #48879=CARTESIAN_POINT('',(14.0300000350039,9.5,-4.93745359086761)); #48880=CARTESIAN_POINT('Origin',(14.1278249689385,9.5,-4.57061008861284)); #48881=CARTESIAN_POINT('',(14.1278249689385,10.0000000000001,-4.57061008861284)); #48882=CARTESIAN_POINT('',(14.83154157851,10.0000000000001,-1.93167280271865)); #48883=CARTESIAN_POINT('',(14.1278249689385,9.5,-4.57061008861284)); #48884=CARTESIAN_POINT('',(14.1278249689385,9.5,-4.57061008861284)); #48885=CARTESIAN_POINT('',(14.1278249689385,9.5,-4.57061008861284)); #48886=CARTESIAN_POINT('Origin',(14.1889655526475,9.5,-4.14874006101989)); #48887=CARTESIAN_POINT('',(14.1889655526475,10.0000000000001,-4.14874006101989)); #48888=CARTESIAN_POINT('',(14.2734372752193,10.0000000000001,-3.5658851752759)); #48889=CARTESIAN_POINT('',(14.1889655526475,9.5,-4.14874006101989)); #48890=CARTESIAN_POINT('',(14.1889655526475,9.5,-4.14874006101989)); #48891=CARTESIAN_POINT('',(14.1889655526475,9.5,-4.14874006101989)); #48892=CARTESIAN_POINT('Origin',(14.2195358445019,9.5,-3.67184350808871)); #48893=CARTESIAN_POINT('',(14.2195358445019,10.0000000000001,-3.67184350808871)); #48894=CARTESIAN_POINT('',(14.156946269452,10.0000000000001,-4.64824087886655)); #48895=CARTESIAN_POINT('',(14.2195358445019,9.5,-3.67184350808871)); #48896=CARTESIAN_POINT('',(14.2195358445019,9.5,-3.67184350808871)); #48897=CARTESIAN_POINT('',(14.2195358445019,9.5,-3.67184350808871)); #48898=CARTESIAN_POINT('Origin',(14.2256499028731,9.5,-3.46396552347771)); #48899=CARTESIAN_POINT('',(14.2256499028731,10.0000000000001,-3.46396552347771)); #48900=CARTESIAN_POINT('',(14.1769460039513,10.0000000000001,-5.11989808681554)); #48901=CARTESIAN_POINT('',(14.2256499028731,9.5,-3.46396552347771)); #48902=CARTESIAN_POINT('',(14.2256499028731,9.5,-3.46396552347771)); #48903=CARTESIAN_POINT('',(14.2256499028731,9.5,-3.46396552347771)); #48904=CARTESIAN_POINT('Origin',(14.2073077277605,9.5,-2.96872679543377)); #48905=CARTESIAN_POINT('',(14.2073077277605,10.0000000000001,-2.96872679543377)); #48906=CARTESIAN_POINT('',(14.3183605146069,10.0000000000001,-5.96715204028192)); #48907=CARTESIAN_POINT('',(14.2073077277605,9.5,-2.96872679543377)); #48908=CARTESIAN_POINT('',(14.2073077277605,9.5,-2.96872679543377)); #48909=CARTESIAN_POINT('',(14.2073077277605,9.5,-2.96872679543377)); #48910=CARTESIAN_POINT('Origin',(14.158395260793,9.5,-2.52851459272812)); #48911=CARTESIAN_POINT('',(14.158395260793,10.0000000000001,-2.52851459272812)); #48912=CARTESIAN_POINT('',(14.6467182693582,10.0000000000001,-6.92342166981311)); #48913=CARTESIAN_POINT('',(14.158395260793,9.5,-2.52851459272812)); #48914=CARTESIAN_POINT('',(14.158395260793,9.5,-2.52851459272812)); #48915=CARTESIAN_POINT('',(14.158395260793,9.5,-2.52851459272812)); #48916=CARTESIAN_POINT('Origin',(14.0727984436003,9.5,-2.1372148569897)); #48917=CARTESIAN_POINT('',(14.0727984436003,10.0000000000001,-2.1372148569897)); #48918=CARTESIAN_POINT('',(15.4162032657337,10.0000000000001,-8.27849404388422)); #48919=CARTESIAN_POINT('',(14.0727984436003,9.5,-2.1372148569897)); #48920=CARTESIAN_POINT('',(14.0727984436003,9.5,-2.1372148569897)); #48921=CARTESIAN_POINT('',(14.0727984436003,9.5,-2.1372148569897)); #48922=CARTESIAN_POINT('Origin',(13.9566313345526,9.5,-1.80705570496041)); #48923=CARTESIAN_POINT('',(13.9566313345526,10.0000000000001,-1.80705570496041)); #48924=CARTESIAN_POINT('',(16.7208008394255,10.0000000000001,-9.66311640302063)); #48925=CARTESIAN_POINT('',(13.9566313345526,9.5,-1.80705570496041)); #48926=CARTESIAN_POINT('',(13.9566313345526,9.5,-1.80705570496041)); #48927=CARTESIAN_POINT('',(13.9566313345526,9.5,-1.80705570496041)); #48928=CARTESIAN_POINT('Origin',(13.8037798752798,9.5,-1.52580901989846)); #48929=CARTESIAN_POINT('',(13.8037798752798,10.0000000000001,-1.52580901989846)); #48930=CARTESIAN_POINT('',(18.9226611604086,10.0000000000001,-10.9445505845328)); #48931=CARTESIAN_POINT('',(13.8037798752798,9.5,-1.52580901989846)); #48932=CARTESIAN_POINT('',(13.8037798752798,9.5,-1.52580901989846)); #48933=CARTESIAN_POINT('',(13.8037798752798,9.5,-1.52580901989846)); #48934=CARTESIAN_POINT('Origin',(12.9111273531266,9.5,-1.82539788007317)); #48935=CARTESIAN_POINT('',(12.5993103762103,10.0000000000001,-1.70923077102582)); #48936=CARTESIAN_POINT('',(28.9571008859966,10.0000000000001,-7.80330958839723)); #48937=CARTESIAN_POINT('',(12.5993103762103,9.5,-1.70923077102582)); #48938=CARTESIAN_POINT('',(12.5993103762103,9.5,-1.70923077102582)); #48939=CARTESIAN_POINT('',(12.9111273531266,9.5,-1.82539788007317)); #48940=CARTESIAN_POINT('Origin',(12.0796154146829,9.5,-4.71734748951472)); #48941=CARTESIAN_POINT('',(12.0796154146829,10.0000000000001,-4.71734748951472)); #48942=CARTESIAN_POINT('',(13.3009831370919,10.0000000000001,-10.0099409532812)); #48943=CARTESIAN_POINT('',(12.0796154146829,9.5,-4.71734748951472)); #48944=CARTESIAN_POINT('',(12.0796154146829,9.5,-4.71734748951472)); #48945=CARTESIAN_POINT('',(12.0796154146829,9.5,-4.71734748951472)); #48946=CARTESIAN_POINT('Origin',(12.0245888893446,9.5,-4.42998674608184)); #48947=CARTESIAN_POINT('',(12.0245888893446,10.0000000000001,-4.42998674608184)); #48948=CARTESIAN_POINT('',(12.9542010959767,10.0000000000001,-9.2846282696013)); #48949=CARTESIAN_POINT('',(12.0245888893446,9.5,-4.42998674608184)); #48950=CARTESIAN_POINT('',(12.0245888893446,9.5,-4.42998674608184)); #48951=CARTESIAN_POINT('',(12.0245888893446,9.5,-4.42998674608184)); #48952=CARTESIAN_POINT('Origin',(11.9940185974901,9.5,-4.03868701034348)); #48953=CARTESIAN_POINT('',(11.9940185974901,10.0000000000001,-4.03868701034348)); #48954=CARTESIAN_POINT('',(12.2450522897675,10.0000000000001,-7.2519182714972)); #48955=CARTESIAN_POINT('',(11.9940185974901,9.5,-4.03868701034348)); #48956=CARTESIAN_POINT('',(11.9940185974901,9.5,-4.03868701034348)); #48957=CARTESIAN_POINT('',(11.9940185974901,9.5,-4.03868701034348)); #48958=CARTESIAN_POINT('Origin',(11.9817904807483,9.5,-3.54344828229954)); #48959=CARTESIAN_POINT('',(11.9817904807483,10.0000000000001,-3.54344828229954)); #48960=CARTESIAN_POINT('',(12.044435889457,10.0000000000001,-6.08058733499991)); #48961=CARTESIAN_POINT('',(11.9817904807483,9.5,-3.54344828229954)); #48962=CARTESIAN_POINT('',(11.9817904807483,9.5,-3.54344828229954)); #48963=CARTESIAN_POINT('',(11.9817904807483,9.5,-3.54344828229954)); #48964=CARTESIAN_POINT('Origin',(11.9817904807483,9.5,-3.46396552347771)); #48965=CARTESIAN_POINT('',(11.9817904807483,10.0000000000001,-3.46396552347771)); #48966=CARTESIAN_POINT('',(11.9817904807483,10.0000000000001,-5.60698276173928)); #48967=CARTESIAN_POINT('',(11.9817904807483,9.5,-3.46396552347771)); #48968=CARTESIAN_POINT('',(11.9817904807483,9.5,-3.46396552347771)); #48969=CARTESIAN_POINT('',(11.9817904807483,9.5,-3.46396552347771)); #48970=CARTESIAN_POINT('Origin',(11.987904539119,9.5,-2.94427056195012)); #48971=CARTESIAN_POINT('',(11.987904539119,10.0000000000001,-2.94427056195012)); #48972=CARTESIAN_POINT('',(11.9620794002612,10.0000000000001,-5.13940736486517)); #48973=CARTESIAN_POINT('',(11.987904539119,9.5,-2.94427056195012)); #48974=CARTESIAN_POINT('',(11.987904539119,9.5,-2.94427056195012)); #48975=CARTESIAN_POINT('',(11.987904539119,9.5,-2.94427056195012)); #48976=CARTESIAN_POINT('Origin',(12.0184748309734,9.5,-2.54685676784082)); #48977=CARTESIAN_POINT('',(12.0184748309734,10.0000000000001,-2.54685676784082)); #48978=CARTESIAN_POINT('',(11.9231532520057,10.0000000000001,-3.78603729442372)); #48979=CARTESIAN_POINT('',(12.0184748309734,9.5,-2.54685676784082)); #48980=CARTESIAN_POINT('',(12.0184748309734,9.5,-2.54685676784082)); #48981=CARTESIAN_POINT('',(12.0184748309734,9.5,-2.54685676784082)); #48982=CARTESIAN_POINT('Origin',(12.067387297941,9.5,-2.26561008277888)); #48983=CARTESIAN_POINT('',(12.067387297941,10.0000000000001,-2.26561008277888)); #48984=CARTESIAN_POINT('',(12.1209266840406,10.0000000000001,-1.95775861270654)); #48985=CARTESIAN_POINT('',(12.067387297941,9.5,-2.26561008277888)); #48986=CARTESIAN_POINT('',(12.067387297941,9.5,-2.26561008277888)); #48987=CARTESIAN_POINT('',(12.067387297941,9.5,-2.26561008277888)); #48988=CARTESIAN_POINT('Origin',(12.067387297941,9.5,-2.25949602440794)); #48989=CARTESIAN_POINT('',(12.067387297941,10.0000000000001,-2.25949602440794)); #48990=CARTESIAN_POINT('',(12.067387297941,10.0000000000001,-5.00474801220439)); #48991=CARTESIAN_POINT('',(12.067387297941,9.5,-2.25949602440794)); #48992=CARTESIAN_POINT('',(12.067387297941,9.5,-2.25949602440794)); #48993=CARTESIAN_POINT('',(12.067387297941,9.5,-2.25949602440794)); #48994=CARTESIAN_POINT('Origin',(12.2385809323264,9.5,-1.87431034704046)); #48995=CARTESIAN_POINT('',(12.2385809323264,10.0000000000001,-1.87431034704046)); #48996=CARTESIAN_POINT('',(14.0357864868442,10.0000000000001,2.16940215062269)); #48997=CARTESIAN_POINT('',(12.2385809323264,9.5,-1.87431034704046)); #48998=CARTESIAN_POINT('',(12.2385809323264,9.5,-1.87431034704046)); #48999=CARTESIAN_POINT('',(12.2385809323264,9.5,-1.87431034704046)); #49000=CARTESIAN_POINT('Origin',(12.2936074576646,9.5,-1.81928382170223)); #49001=CARTESIAN_POINT('',(12.2936074576646,10.0000000000001,-1.81928382170223)); #49002=CARTESIAN_POINT('',(19.5500265486644,10.0000000000001,5.43713526930498)); #49003=CARTESIAN_POINT('',(12.2936074576646,9.5,-1.81928382170223)); #49004=CARTESIAN_POINT('',(12.2936074576646,9.5,-1.81928382170223)); #49005=CARTESIAN_POINT('',(12.2936074576646,9.5,-1.81928382170223)); #49006=CARTESIAN_POINT('Origin',(12.5993103762103,9.5,-1.70923077102582)); #49007=CARTESIAN_POINT('',(26.97431599827,10.0000000000001,3.46577125291562)); #49008=CARTESIAN_POINT('',(12.5993103762103,9.5,-1.70923077102582)); #49009=CARTESIAN_POINT('Origin',(13.730411174829,9.5,-1.42798408596387)); #49010=CARTESIAN_POINT('',(13.730411174829,10.0000000000001,-1.42798408596387)); #49011=CARTESIAN_POINT('',(21.2812209827284,10.0000000000001,-11.4957304964963)); #49012=CARTESIAN_POINT('',(13.730411174829,9.5,-1.42798408596387)); #49013=CARTESIAN_POINT('',(13.730411174829,9.5,-1.42798408596387)); #49014=CARTESIAN_POINT('',(13.730411174829,9.5,-1.42798408596387)); #49015=CARTESIAN_POINT('Origin',(13.461392606509,9.5,-1.16507957601463)); #49016=CARTESIAN_POINT('',(13.461392606509,10.0000000000001,-1.16507957601463)); #49017=CARTESIAN_POINT('',(23.7485013721594,10.0000000000001,-11.2183904151736)); #49018=CARTESIAN_POINT('',(13.461392606509,9.5,-1.16507957601463)); #49019=CARTESIAN_POINT('',(13.461392606509,9.5,-1.16507957601463)); #49020=CARTESIAN_POINT('',(13.461392606509,9.5,-1.16507957601463)); #49021=CARTESIAN_POINT('Origin',(13.1251193961089,9.5,-0.999999999999986)); #49022=CARTESIAN_POINT('',(13.1251193961089,10.0000000000001,-0.999999999999986)); #49023=CARTESIAN_POINT('',(28.2092315200794,10.0000000000001,-8.40492776994847)); #49024=CARTESIAN_POINT('',(13.1251193961089,9.5,-0.999999999999986)); #49025=CARTESIAN_POINT('',(13.1251193961089,9.5,-0.999999999999986)); #49026=CARTESIAN_POINT('',(13.1251193961089,9.5,-0.999999999999986)); #49027=CARTESIAN_POINT('Origin',(12.7399337187412,9.5,-0.920517241178104)); #49028=CARTESIAN_POINT('',(12.7399337187412,10.0000000000001,-0.920517241178104)); #49029=CARTESIAN_POINT('',(29.9661057160565,10.0000000000001,-4.4751241612601)); #49030=CARTESIAN_POINT('',(12.7399337187412,9.5,-0.920517241178104)); #49031=CARTESIAN_POINT('',(12.7399337187412,9.5,-0.920517241178104)); #49032=CARTESIAN_POINT('',(12.7399337187412,9.5,-0.920517241178104)); #49033=CARTESIAN_POINT('Origin',(12.5993103762103,9.5,-0.91440318280722)); #49034=CARTESIAN_POINT('',(12.5993103762103,10.0000000000001,-0.91440318280722)); #49035=CARTESIAN_POINT('',(30.0402854118506,10.0000000000001,-1.6727064452227)); #49036=CARTESIAN_POINT('',(12.5993103762103,9.5,-0.91440318280722)); #49037=CARTESIAN_POINT('',(12.5993103762103,9.5,-0.91440318280722)); #49038=CARTESIAN_POINT('',(12.5993103762103,9.5,-0.91440318280722)); #49039=CARTESIAN_POINT('Origin',(12.2080106404719,9.5,-0.963315649774515)); #49040=CARTESIAN_POINT('',(12.2080106404719,10.0000000000001,-0.963315649774515)); #49041=CARTESIAN_POINT('',(29.0418094420711,10.0000000000001,1.14090920042534)); #49042=CARTESIAN_POINT('',(12.2080106404719,9.5,-0.963315649774515)); #49043=CARTESIAN_POINT('',(12.2080106404719,9.5,-0.963315649774515)); #49044=CARTESIAN_POINT('',(12.2080106404719,9.5,-0.963315649774515)); #49045=CARTESIAN_POINT('Origin',(11.8595093133299,9.5,-1.10393899230552)); #49046=CARTESIAN_POINT('',(11.8595093133299,10.0000000000001,-1.10393899230552)); #49047=CARTESIAN_POINT('',(25.9239241174446,10.0000000000001,4.5711757532152)); #49048=CARTESIAN_POINT('',(11.8595093133299,9.5,-1.10393899230552)); #49049=CARTESIAN_POINT('',(11.8595093133299,9.5,-1.10393899230552)); #49050=CARTESIAN_POINT('',(11.8595093133299,9.5,-1.10393899230552)); #49051=CARTESIAN_POINT('Origin',(11.5538063947843,9.5,-1.33015915202928)); #49052=CARTESIAN_POINT('',(11.5538063947843,10.0000000000001,-1.33015915202928)); #49053=CARTESIAN_POINT('',(21.5517100448606,10.0000000000001,6.06828954902683)); #49054=CARTESIAN_POINT('',(11.5538063947843,9.5,-1.33015915202928)); #49055=CARTESIAN_POINT('',(11.5538063947843,9.5,-1.33015915202928)); #49056=CARTESIAN_POINT('',(11.5538063947843,9.5,-1.33015915202928)); #49057=CARTESIAN_POINT('Origin',(11.4254111689952,9.5,-1.47689655293117)); #49058=CARTESIAN_POINT('',(11.4254111689952,10.0000000000001,-1.47689655293117)); #49059=CARTESIAN_POINT('',(17.6382919640555,10.0000000000001,5.62353864142213)); #49060=CARTESIAN_POINT('',(11.4254111689952,9.5,-1.47689655293117)); #49061=CARTESIAN_POINT('',(11.4254111689952,9.5,-1.47689655293117)); #49062=CARTESIAN_POINT('',(11.4254111689952,9.5,-1.47689655293117)); #49063=CARTESIAN_POINT('Origin',(11.2725597097224,9.5,-1.72145888776764)); #49064=CARTESIAN_POINT('',(14.9708426466177,10.0000000000001,4.19579381126579)); #49065=CARTESIAN_POINT('',(11.2725597097224,9.5,-1.72145888776764)); #49066=CARTESIAN_POINT('Origin',(12.2230756719055,9.5,-3.49303833455876)); #49067=CARTESIAN_POINT('Origin',(47.2499999999982,10.0000000000001,-7.75000000000084)); #49068=CARTESIAN_POINT('Origin',(47.2499999999982,10.0000000000001,-7.75000000000084)); #49069=CARTESIAN_POINT('',(40.9999999999986,10.0000000000001,-14.0000000000018)); #49070=CARTESIAN_POINT('',(40.9999999999986,10.0000000000001,-7.50000000000171)); #49071=CARTESIAN_POINT('',(40.9999999999986,10.0000000000001,-14.0000000000017)); #49072=CARTESIAN_POINT('',(-40.9999999999986,10.0000000000001,-7.50000000000168)); #49073=CARTESIAN_POINT('',(47.9492194992831,10.0000000000001,-7.50000000000178)); #49074=CARTESIAN_POINT('',(-40.9999999999986,10.0000000000001,-14.0000000000018)); #49075=CARTESIAN_POINT('',(-40.9999999999986,10.0000000000001,-14.0000000000017)); #49076=CARTESIAN_POINT('',(-29.9999999999991,10.0000000000001,-14.0000000000018)); #49077=CARTESIAN_POINT('',(-38.0134246588968,10.0000000000001,-14.0000000000018)); #49078=CARTESIAN_POINT('',(-29.9999999999986,10.0000000000036,-23.5)); #49079=CARTESIAN_POINT('',(-29.9999999999986,10.0000000000019,-25.5349239361902)); #49080=CARTESIAN_POINT('',(-48.8499999999976,10.0000000000019,-23.5)); #49081=CARTESIAN_POINT('',(-38.0134246588959,10.0000000000019,-23.5)); #49082=CARTESIAN_POINT('',(-48.8499999999986,10.,4.2632564145606E-14)); #49083=CARTESIAN_POINT('',(-48.8499999999986,10.0000000000001,-12.5000000000017)); #49084=CARTESIAN_POINT('',(48.8499999999986,10.,1.4210854715202E-14)); #49085=CARTESIAN_POINT('',(40.9999999999977,10.,1.4210854715202E-14)); #49086=CARTESIAN_POINT('',(48.8499999999976,10.0000000000019,-23.5)); #49087=CARTESIAN_POINT('',(48.8499999999986,10.0000000000001,-12.5000000000017)); #49088=CARTESIAN_POINT('',(29.9999999999986,10.0000000000036,-23.5)); #49089=CARTESIAN_POINT('',(38.0134246588959,10.0000000000019,-23.5)); #49090=CARTESIAN_POINT('',(29.9999999999991,10.0000000000001,-14.0000000000018)); #49091=CARTESIAN_POINT('',(29.9999999999986,10.0000000000019,-25.5349239361902)); #49092=CARTESIAN_POINT('',(38.0134246588968,10.0000000000001,-14.0000000000018)); #49093=CARTESIAN_POINT('Origin',(47.2499999999982,10.0000000000001,-7.75000000000084)); #49094=CARTESIAN_POINT('Origin',(47.2499999999982,10.0000000000001,-7.75000000000084)); #49095=CARTESIAN_POINT('Origin',(47.2499999999982,10.0000000000001,-7.75000000000084)); #49096=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-2.50000000000169)); #49097=CARTESIAN_POINT('',(-16.5255916591782,-4.04761904761892,-2.50000000000172)); #49098=CARTESIAN_POINT('',(-14.7669073436905,-4.99999999999983,-4.50000000000181)); #49099=CARTESIAN_POINT('Origin',(-14.7669073436905,-4.99999999999983,-2.50000000000172)); #49100=CARTESIAN_POINT('',(-14.7669073436905,5.00000000000006,-4.50000000000175)); #49101=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-4.50000000000178)); #49102=CARTESIAN_POINT('',(-16.5255916591782,4.04761904761909,-2.50000000000166)); #49103=CARTESIAN_POINT('Origin',(-14.7669073436905,5.00000000000011,-2.50000000000166)); #49104=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-2.50000000000169)); #49105=CARTESIAN_POINT('Origin',(-14.7669073436905,-4.99999999999983,-2.50000000000182)); #49106=CARTESIAN_POINT('',(-14.7669073436905,-6.99999999999983,-2.50000000000183)); #49107=CARTESIAN_POINT('Origin',(-14.7669073436905,-4.99999999999983,-2.50000000000182)); #49108=CARTESIAN_POINT('Origin',(-14.7669073436905,-4.99999999999983,-2.50000000000182)); #49109=CARTESIAN_POINT('Origin',(-14.7669073436905,5.00000000000006,-2.50000000000166)); #49110=CARTESIAN_POINT('',(-14.7669073436905,7.00000000000006,-2.50000000000175)); #49111=CARTESIAN_POINT('Origin',(-14.7669073436905,5.00000000000006,-2.50000000000175)); #49112=CARTESIAN_POINT('Origin',(-14.7669073436905,5.00000000000006,-2.50000000000166)); #49113=CARTESIAN_POINT('Origin',(-14.7669073436905,-4.99999999999983,-5.60000000000001)); #49114=CARTESIAN_POINT('',(-16.5255916591782,-4.04761904761892,-1.4210854715202E-14)); #49115=CARTESIAN_POINT('',(-16.5255916591782,-4.04761904761892,-5.60000000000001)); #49116=CARTESIAN_POINT('',(-14.7669073436905,-6.99999999999989,-1.13686837721616E-13)); #49117=CARTESIAN_POINT('Origin',(-14.7669073436905,-4.99999999999983,2.8421709430404E-14)); #49118=CARTESIAN_POINT('',(-14.7669073436905,-6.99999999999983,-5.60000000000002)); #49119=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-5.60000000000001)); #49120=CARTESIAN_POINT('',(-16.5255916591782,4.04761904761915,4.2632564145606E-14)); #49121=CARTESIAN_POINT('',(-16.5255916591782,4.04761904761909,-5.60000000000001)); #49122=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,2.8421709430404E-14)); #49123=CARTESIAN_POINT('Origin',(-14.7669073436905,5.00000000000006,-5.60000000000001)); #49124=CARTESIAN_POINT('',(-14.7669073436905,7.,0.)); #49125=CARTESIAN_POINT('',(-14.7669073436905,7.00000000000006,-5.60000000000001)); #49126=CARTESIAN_POINT('Origin',(-14.7669073436905,5.00000000000006,2.8421709430404E-14)); #49127=CARTESIAN_POINT('Origin',(-43.9999999999986,10.0000000000001,-2.50000000000171)); #49128=CARTESIAN_POINT('',(-45.9999999999986,-4.99999999999983,-2.50000000000183)); #49129=CARTESIAN_POINT('',(-43.9999999999986,-4.99999999999983,-4.50000000000182)); #49130=CARTESIAN_POINT('Origin',(-43.9999999999986,-4.99999999999983,-2.50000000000182)); #49131=CARTESIAN_POINT('',(-43.9999999999986,4.26636803939829,-4.50000000000171)); #49132=CARTESIAN_POINT('',(-43.9999999999986,10.0000000000001,-4.50000000000171)); #49133=CARTESIAN_POINT('',(-45.9999999999986,-1.22858679951082,-2.50000000000181)); #49134=CARTESIAN_POINT('Origin',(-43.9999999999986,4.26636803939834,-2.50000000000175)); #49135=CARTESIAN_POINT('',(-45.9999999999986,10.0000000000001,-2.50000000000171)); #49136=CARTESIAN_POINT('Origin',(27.8749999999982,-4.99999999999983,-2.50000000000182)); #49137=CARTESIAN_POINT('',(-33.23309265631,-6.99999999999983,-2.50000000000185)); #49138=CARTESIAN_POINT('',(-33.23309265631,-4.99999999999983,-4.50000000000182)); #49139=CARTESIAN_POINT('Origin',(-33.23309265631,-4.99999999999983,-2.50000000000183)); #49140=CARTESIAN_POINT('',(27.8749999999982,-4.99999999999983,-4.50000000000181)); #49141=CARTESIAN_POINT('',(-43.9999999999986,-6.99999999999983,-2.50000000000185)); #49142=CARTESIAN_POINT('Origin',(-43.9999999999986,-4.99999999999983,-2.50000000000183)); #49143=CARTESIAN_POINT('',(27.8749999999982,-6.99999999999983,-2.50000000000183)); #49144=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-2.50000000000169)); #49145=CARTESIAN_POINT('',(-31.4744083408223,4.04761904761909,-2.50000000000166)); #49146=CARTESIAN_POINT('',(-33.23309265631,5.00000000000011,-4.50000000000175)); #49147=CARTESIAN_POINT('Origin',(-33.23309265631,5.00000000000011,-2.50000000000166)); #49148=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-4.50000000000178)); #49149=CARTESIAN_POINT('',(-31.4744083408227,-4.04761904761892,-2.50000000000172)); #49150=CARTESIAN_POINT('Origin',(-33.23309265631,-4.99999999999983,-2.50000000000172)); #49151=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-2.50000000000169)); #49152=CARTESIAN_POINT('Origin',(-33.23309265631,-4.99999999999983,-2.50000000000183)); #49153=CARTESIAN_POINT('Origin',(-33.23309265631,-4.99999999999983,-2.50000000000183)); #49154=CARTESIAN_POINT('Origin',(-43.9999999999986,-4.99999999999983,-2.50000000000183)); #49155=CARTESIAN_POINT('Origin',(-43.9999999999986,-4.99999999999983,-2.50000000000182)); #49156=CARTESIAN_POINT('Origin',(-10.125,5.00000000000006,-2.50000000000175)); #49157=CARTESIAN_POINT('',(-43.9999999999986,7.00000000000006,-2.50000000000175)); #49158=CARTESIAN_POINT('',(-43.9999999999986,5.00000000000006,-4.50000000000171)); #49159=CARTESIAN_POINT('Origin',(-43.9999999999986,5.00000000000006,-2.50000000000175)); #49160=CARTESIAN_POINT('',(-10.125,5.00000000000006,-4.50000000000175)); #49161=CARTESIAN_POINT('',(-33.23309265631,7.00000000000006,-2.50000000000176)); #49162=CARTESIAN_POINT('Origin',(-33.23309265631,5.00000000000006,-2.50000000000176)); #49163=CARTESIAN_POINT('',(-10.125,7.00000000000006,-2.50000000000175)); #49164=CARTESIAN_POINT('Origin',(-33.23309265631,5.00000000000006,-2.50000000000166)); #49165=CARTESIAN_POINT('Origin',(-33.23309265631,5.00000000000006,-2.50000000000166)); #49166=CARTESIAN_POINT('Origin',(26.9999999999982,10.0000000000001,-4.50000000000169)); #49167=CARTESIAN_POINT('',(-43.9999999999986,10.0000000000001,-4.50000000000168)); #49168=CARTESIAN_POINT('Origin',(-43.9999999999986,-4.99999999999989,5.97677783767048)); #49169=CARTESIAN_POINT('',(-45.9999999999986,-4.99999999999983,-7.105427357601E-14)); #49170=CARTESIAN_POINT('',(-45.9999999999986,-4.99999999999989,5.97677783767048)); #49171=CARTESIAN_POINT('',(-43.9999999999986,-6.99999999999989,-9.94759830064141E-14)); #49172=CARTESIAN_POINT('Origin',(-43.9999999999986,-4.99999999999983,-2.41584530158434E-13)); #49173=CARTESIAN_POINT('',(-43.9999999999986,-6.99999999999989,5.97677783767047)); #49174=CARTESIAN_POINT('Origin',(-47.2499999999982,7.00000000000006,-6.25000000000081)); #49175=CARTESIAN_POINT('',(-33.23309265631,7.,1.4210854715202E-14)); #49176=CARTESIAN_POINT('',(-33.23309265631,7.00000000000006,-5.60000000000001)); #49177=CARTESIAN_POINT('',(-43.9999999999986,7.,2.8421709430404E-14)); #49178=CARTESIAN_POINT('',(-44.1249999999982,7.,2.8421709430404E-14)); #49179=CARTESIAN_POINT('',(-43.9999999999986,7.00000000000006,-3.12500000000038)); #49180=CARTESIAN_POINT('Origin',(-33.23309265631,5.00000000000006,-5.60000000000001)); #49181=CARTESIAN_POINT('',(-31.4744083408223,4.04761904761915,5.6843418860808E-14)); #49182=CARTESIAN_POINT('',(-31.4744083408223,4.04761904761909,-5.60000000000001)); #49183=CARTESIAN_POINT('Origin',(-33.23309265631,5.00000000000006,2.8421709430404E-14)); #49184=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-5.60000000000001)); #49185=CARTESIAN_POINT('',(-31.4744083408227,-4.04761904761892,0.)); #49186=CARTESIAN_POINT('',(-31.4744083408227,-4.04761904761892,-5.60000000000001)); #49187=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,2.8421709430404E-14)); #49188=CARTESIAN_POINT('Origin',(-33.23309265631,-4.99999999999983,-5.60000000000001)); #49189=CARTESIAN_POINT('',(-33.23309265631,-6.99999999999989,-9.94759830064141E-14)); #49190=CARTESIAN_POINT('',(-33.23309265631,-6.99999999999983,-5.60000000000002)); #49191=CARTESIAN_POINT('Origin',(-33.23309265631,-4.99999999999983,2.8421709430404E-14)); #49192=CARTESIAN_POINT('Origin',(28.7499999999982,-6.99999999999994,11.953555675341)); #49193=CARTESIAN_POINT('',(-41.8749999999982,-6.99999999999989,-9.94759830064141E-14)); #49194=CARTESIAN_POINT('Origin',(-24.0000000000005,3.30000000000001,-2.70000000000168)); #49195=CARTESIAN_POINT('',(-24.0000000000005,-5.5,-2.70000000000168)); #49196=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-2.70000000000168)); #49197=CARTESIAN_POINT('',(-24.0000000000005,-3.30000000000001,-2.70000000000168)); #49198=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-2.70000000000168)); #49199=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-5.60000000000001)); #49200=CARTESIAN_POINT('',(-24.0000000000005,-5.5,-7.5000000000017)); #49201=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,-7.50000000000169)); #49202=CARTESIAN_POINT('',(-24.0000000000005,-5.5,-5.60000000000001)); #49203=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,19.4)); #49204=CARTESIAN_POINT('',(-24.0000000000005,-3.30000000000001,2.8421709430404E-14)); #49205=CARTESIAN_POINT('',(-24.0000000000005,-3.30000000000001,19.4)); #49206=CARTESIAN_POINT('Origin',(-24.0000000000005,0.,2.8421709430404E-14)); #49207=CARTESIAN_POINT('Origin',(-43.9999999999986,10.0000000000001,-14.0000000000017)); #49208=CARTESIAN_POINT('',(-43.9999999999986,7.00000000000006,-17.0000000000018)); #49209=CARTESIAN_POINT('',(-43.9999999999986,7.00000000000012,-9.37500000000125)); #49210=CARTESIAN_POINT('',(-43.9999999999986,0.852240811042464,-17.0000000000018)); #49211=CARTESIAN_POINT('',(-43.9999999999986,5.00000000000011,-17.0000000000018)); #49212=CARTESIAN_POINT('',(-43.9999999999986,4.2663680393984,-14.0000000000018)); #49213=CARTESIAN_POINT('Ctrl Pts',(-43.9999999999986,4.2663680393984,-14.0000000000018)); #49214=CARTESIAN_POINT('Ctrl Pts',(-43.9999999999986,4.26636803939829,-15.242640687121)); #49215=CARTESIAN_POINT('Ctrl Pts',(-43.9999999999986,0.852240811042464, -17.0000000000018)); #49216=CARTESIAN_POINT('',(-43.9999999999986,4.2663680393984,-16.5381873665128)); #49217=CARTESIAN_POINT('Origin',(-43.9999999999986,5.00000000000006,-4.62500000000038)); #49218=CARTESIAN_POINT('',(-45.9999999999986,5.00000000000006,0.)); #49219=CARTESIAN_POINT('',(-45.9999999999986,5.00000000000006,-23.5)); #49220=CARTESIAN_POINT('',(-45.9999999999986,5.00000000000006,-4.62500000000038)); #49221=CARTESIAN_POINT('',(-43.9999999999986,7.00000000000006,-23.5)); #49222=CARTESIAN_POINT('Origin',(-43.9999999999986,5.00000000000006,-23.5)); #49223=CARTESIAN_POINT('',(-43.9999999999986,7.00000000000006,-4.62500000000038)); #49224=CARTESIAN_POINT('Origin',(-43.9999999999986,5.00000000000006,-1.13686837721616E-13)); #49225=CARTESIAN_POINT('Origin',(-48.8499999999986,10.0000000000001,-1.49999999999996)); #49226=CARTESIAN_POINT('',(-48.8499999999976,-9.99999999999807,-23.5)); #49227=CARTESIAN_POINT('',(-48.8499999999976,1.93267624126747E-12,-23.5)); #49228=CARTESIAN_POINT('',(-48.8499999999986,-10.,-1.13686837721616E-13)); #49229=CARTESIAN_POINT('',(-48.8499999999976,-9.99999999999807,-24.1264280970462)); #49230=CARTESIAN_POINT('',(-48.8499999999986,10.,4.2632564145606E-14)); #49231=CARTESIAN_POINT('Origin',(-38.0134246588968,1.13686837721616E-13, -14.0000000000018)); #49232=CARTESIAN_POINT('',(-40.9999999999986,4.09004105868996,-14.0000000000018)); #49233=CARTESIAN_POINT('',(-40.9999999999986,5.00000000000011,-14.0000000000018)); #49234=CARTESIAN_POINT('',(-31.0431016263219,4.09004105868996,-14.0000000000018)); #49235=CARTESIAN_POINT('',(-39.506712329448,4.09004105868996,-14.0000000000018)); #49236=CARTESIAN_POINT('',(-29.9999999999996,5.21495421120471,-14.0000000000018)); #49237=CARTESIAN_POINT('Origin',(-29.9999999999996,9.58499589759919,-14.0000000000018)); #49238=CARTESIAN_POINT('',(-29.9999999999991,-2.47032996206065,-14.0000000000018)); #49239=CARTESIAN_POINT('Origin',(-29.9999999999996,-4.94065992412146,-23.4434197753361)); #49240=CARTESIAN_POINT('',(-29.9999999999996,4.09004105869002,-15.043101626324)); #49241=CARTESIAN_POINT('Origin',(-29.9999999999996,9.58499589759924,-14.0000000000018)); #49242=CARTESIAN_POINT('',(-29.9999999999986,4.09004105869008,-23.5)); #49243=CARTESIAN_POINT('',(-29.9999999999996,4.09004105869008,-18.7217098876689)); #49244=CARTESIAN_POINT('',(-29.9999999999986,-2.47032996205883,-23.5)); #49245=CARTESIAN_POINT('Origin',(-39.506712329448,4.09004105868996,-16.0000000000018)); #49246=CARTESIAN_POINT('',(-31.9999999999996,3.40600077203862,-14.12061475843)); #49247=CARTESIAN_POINT('Origin',(-31.9999999999996,4.09004105868996,-16.0000000000018)); #49248=CARTESIAN_POINT('',(-40.9999999999986,3.40600077203862,-14.12061475843)); #49249=CARTESIAN_POINT('Origin',(-40.9999999999986,4.09004105868996,-16.0000000000018)); #49250=CARTESIAN_POINT('',(-39.506712329448,3.40600077203862,-14.12061475843)); #49251=CARTESIAN_POINT('Origin',(-35.7002075382093,-8.99999999999994,-10.8750000000014)); #49252=CARTESIAN_POINT('',(-35.7002075382093,-9.99999999999807,-23.5)); #49253=CARTESIAN_POINT('',(-34.7605149174228,-9.34202014332556,-23.5)); #49254=CARTESIAN_POINT('Origin',(-35.7002075382093,-8.99999999999983,-23.5)); #49255=CARTESIAN_POINT('',(-34.7605149174228,-9.34202014332567,-20.6399001589974)); #49256=CARTESIAN_POINT('',(-34.7605149174228,-9.34202014332567,-10.8750000000014)); #49257=CARTESIAN_POINT('',(-35.7002075382093,-9.99999999999989,-20.6399001589974)); #49258=CARTESIAN_POINT('Origin',(-35.7002075382093,-8.99999999999989,-20.6399001589974)); #49259=CARTESIAN_POINT('',(-35.7002075382093,-9.99999999999994,-10.8750000000014)); #49260=CARTESIAN_POINT('Ctrl Pts',(-36.6399001589951,-9.99999999999984, -19.7002075382114)); #49261=CARTESIAN_POINT('Ctrl Pts',(-36.4204407352488,-9.99999999999984, -19.7002075382115)); #49262=CARTESIAN_POINT('Ctrl Pts',(-35.9270210524891,-9.99999999999983, -19.8657442820188)); #49263=CARTESIAN_POINT('Ctrl Pts',(-35.7002075382092,-9.99999999999983, -20.3472875940023)); #49264=CARTESIAN_POINT('Ctrl Pts',(-35.7002075382092,-9.99999999999983, -20.6399001589974)); #49265=CARTESIAN_POINT('Ctrl Pts',(-36.6399001589951,-9.99999999999984, -19.4965857921454)); #49266=CARTESIAN_POINT('Ctrl Pts',(-36.3778395697088,-9.99983090488481, -19.5078224546732)); #49267=CARTESIAN_POINT('Ctrl Pts',(-35.794501962903,-9.99981364076944,-19.7221152350074)); #49268=CARTESIAN_POINT('Ctrl Pts',(-35.5115680088469,-9.99977453984647, -20.290486039949)); #49269=CARTESIAN_POINT('Ctrl Pts',(-35.4965857921433,-9.99999999999983, -20.6399001589974)); #49270=CARTESIAN_POINT('Ctrl Pts',(-36.6399001589951,-9.87153254965719, -19.0899538771462)); #49271=CARTESIAN_POINT('Ctrl Pts',(-36.2929013842348,-9.8861946357826,-19.1233084010288)); #49272=CARTESIAN_POINT('Ctrl Pts',(-35.5297050933624,-9.9062724627681,-19.4349253700099)); #49273=CARTESIAN_POINT('Ctrl Pts',(-35.1344265756541,-9.89108199782443, -20.1772351259837)); #49274=CARTESIAN_POINT('Ctrl Pts',(-35.089953877144,-9.8715325496572,-20.6399001589974)); #49275=CARTESIAN_POINT('Ctrl Pts',(-36.6399001589951,-9.53336199553523, -18.8301576561992)); #49276=CARTESIAN_POINT('Ctrl Pts',(-36.2383859100498,-9.58125644570099, -18.8623402144528)); #49277=CARTESIAN_POINT('Ctrl Pts',(-35.3368154502554,-9.65054427495222, -19.2226742327913)); #49278=CARTESIAN_POINT('Ctrl Pts',(-34.8730677338685,-9.597221262423,-20.1045478270703)); #49279=CARTESIAN_POINT('Ctrl Pts',(-34.8301576561971,-9.53336199553528, -20.6399001589974)); #49280=CARTESIAN_POINT('Ctrl Pts',(-36.6399001589951,-9.34202014332545, -18.7605149174255)); #49281=CARTESIAN_POINT('Ctrl Pts',(-36.2239646477363,-9.40516634065181, -18.7834982536594)); #49282=CARTESIAN_POINT('Ctrl Pts',(-35.2714634924549,-9.49950979790507, -19.1489099515121)); #49283=CARTESIAN_POINT('Ctrl Pts',(-34.7911593657352,-9.42621507309405, -20.085319477319)); #49284=CARTESIAN_POINT('Ctrl Pts',(-34.7605149174234,-9.34202014332552, -20.6399001589974)); #49285=CARTESIAN_POINT('',(-36.6399001589948,-9.34202014332556,-18.7605149174255)); #49286=CARTESIAN_POINT('',(-36.6399001589948,-9.99999999999983,-19.7002075382115)); #49287=CARTESIAN_POINT('Origin',(-36.6399001589948,-8.99999999999983,-19.7002075382114)); #49288=CARTESIAN_POINT('Origin',(-36.7643853106852,-9.99999999999983,-20.7643853106874)); #49289=CARTESIAN_POINT('Origin',(-36.7643853106852,-8.99999999999989,-20.7643853106872)); #49290=CARTESIAN_POINT('Origin',(-6.12500000000046,-8.99999999999983,-19.7002075382114)); #49291=CARTESIAN_POINT('',(-40.9999999999986,-9.3420201433255,-18.7605149174255)); #49292=CARTESIAN_POINT('',(-40.9999999999986,-9.99999999999989,-19.7002075382114)); #49293=CARTESIAN_POINT('Origin',(-40.9999999999986,-8.99999999999983,-19.7002075382114)); #49294=CARTESIAN_POINT('',(-6.12500000000046,-9.99999999999989,-19.7002075382114)); #49295=CARTESIAN_POINT('',(-6.12500000000046,-9.3420201433255,-18.7605149174255)); #49296=CARTESIAN_POINT('Origin',(-40.9999999999986,-9.,-14.0000000000018)); #49297=CARTESIAN_POINT('',(-45.7605149174228,-9.34202014332567,-14.0000000000018)); #49298=CARTESIAN_POINT('',(-46.7002075382084,-9.99999999999989,-14.0000000000018)); #49299=CARTESIAN_POINT('Origin',(-46.7002075382084,-9.,-14.0000000000018)); #49300=CARTESIAN_POINT('Origin',(-40.9999999999986,-9.99999999999989,-14.0000000000018)); #49301=CARTESIAN_POINT('Origin',(-40.9999999999986,-9.34202014332567,-14.0000000000018)); #49302=CARTESIAN_POINT('Origin',(-46.7002075382084,-8.99999999999989,-13.4131873665126)); #49303=CARTESIAN_POINT('',(-46.7002075382084,-9.99999999999994,-5.43949480445429)); #49304=CARTESIAN_POINT('',(-46.7002075382084,-9.99999999999989,-13.4131873665126)); #49305=CARTESIAN_POINT('',(-45.7605149174228,-9.34202014332556,-6.37918742524019)); #49306=CARTESIAN_POINT('',(-45.7605149174224,-9.34202014332556,-13.4131873665126)); #49307=CARTESIAN_POINT('Origin',(-46.7002075382084,-8.99999999999994,-5.43949480445427)); #49308=CARTESIAN_POINT('Origin',(-40.9999999999986,3.73738709727286,-19.076374733024)); #49309=CARTESIAN_POINT('',(-40.9999999999986,3.73738709727286,-7.50000000000182)); #49310=CARTESIAN_POINT('',(-44.6397023426607,-6.2626129027272,-7.50000000000181)); #49311=CARTESIAN_POINT('',(-42.145284937953,0.590742591402091,-7.50000000000176)); #49312=CARTESIAN_POINT('',(-42.5127352537979,-0.41881885415313,-9.62696708886475)); #49313=CARTESIAN_POINT('',(-40.9999999999986,3.73738709727303,-14.0000000000018)); #49314=CARTESIAN_POINT('',(-41.4259050554074,2.56722257470597,-14.0000000000018)); #49315=CARTESIAN_POINT('',(-40.9999999999986,3.73738709727303,-16.5381873665128)); #49316=CARTESIAN_POINT('Origin',(-40.9999999999986,-23.6917754236147,-14.0000000000018)); #49317=CARTESIAN_POINT('',(-40.9999999999986,3.73738709727303,-14.0000000000018)); #49318=CARTESIAN_POINT('',(-40.9999999999986,3.73738709727303,-14.0000000000018)); #49319=CARTESIAN_POINT('Origin',(-40.9999999999986,-26.5470335853698,-25.0226276904795)); #49320=CARTESIAN_POINT('',(-41.9154558076971,-23.8364901632114,-24.0360705661278)); #49321=CARTESIAN_POINT('Origin',(-41.9154558076971,-23.15244987656,-25.9154558076997)); #49322=CARTESIAN_POINT('',(-30.1206147584276,3.40600077203874,-16.0000000000018)); #49323=CARTESIAN_POINT('',(-40.0360705661251,-23.8364901632114,-25.9154558076997)); #49324=CARTESIAN_POINT('Origin',(-31.9999999999996,4.09004105869008,-16.0000000000018)); #49325=CARTESIAN_POINT('Origin',(-40.5504449779092,-25.2497222447329,-14.0000000000018)); #49326=CARTESIAN_POINT('',(-30.1206147584276,3.40600077203874,-23.5)); #49327=CARTESIAN_POINT('',(-36.3445053257851,-13.6939980229338,-23.5)); #49328=CARTESIAN_POINT('',(-30.1206147584276,3.40600077203874,-18.7217098876689)); #49329=CARTESIAN_POINT('Origin',(-45.9999999999986,10.0000000000001,-1.49999999999996)); #49330=CARTESIAN_POINT('',(-45.9999999999986,-1.22858679951059,-14.0000000000018)); #49331=CARTESIAN_POINT('',(-45.9999999999986,-1.22858679951071,-10.288187366512)); #49332=CARTESIAN_POINT('',(-45.9999999999986,-6.99999999999983,-19.041710177648)); #49333=CARTESIAN_POINT('Ctrl Pts',(-45.9999999999986,-1.22858679951059, -14.0000000000018)); #49334=CARTESIAN_POINT('Ctrl Pts',(-45.9999999999986,-1.22858679951059, -16.0832441181809)); #49335=CARTESIAN_POINT('Ctrl Pts',(-45.9999999999986,-6.99999999999994, -19.041710177648)); #49336=CARTESIAN_POINT('',(-45.9999999999986,-6.99999999999989,-23.5)); #49337=CARTESIAN_POINT('',(-45.9999999999986,-6.99999999999994,-4.62500000000053)); #49338=CARTESIAN_POINT('',(-45.9999999999986,5.00000000000102,-23.5)); #49339=CARTESIAN_POINT('',(-45.9999999999986,10.0000000000001,4.2632564145606E-14)); #49340=CARTESIAN_POINT('Origin',(-47.2499999999982,7.00000000000006,-7.75000000000081)); #49341=CARTESIAN_POINT('',(-32.9999999999996,7.00000000000006,-23.5)); #49342=CARTESIAN_POINT('',(-42.6317123294471,7.00000000000006,-23.5)); #49343=CARTESIAN_POINT('',(-32.9999999999996,7.00000000000006,-17.0000000000018)); #49344=CARTESIAN_POINT('',(-32.9999999999996,7.00000000000006,-15.5967098876684)); #49345=CARTESIAN_POINT('',(-42.6317123294475,7.00000000000006,-17.0000000000018)); #49346=CARTESIAN_POINT('Origin',(-40.9999999999986,-22.6657149936377,-14.0000000000018)); #49347=CARTESIAN_POINT('',(-44.2449829177654,3.59328300468297,-14.0000000000018)); #49348=CARTESIAN_POINT('',(-40.9999999999986,4.26636803939846,-17.0000000000018)); #49349=CARTESIAN_POINT('Ctrl Pts',(-40.9999999999986,4.26636803939834,-17.0000000000018)); #49350=CARTESIAN_POINT('Ctrl Pts',(-42.2426406871105,4.26636803939823,-17.0000000000018)); #49351=CARTESIAN_POINT('Ctrl Pts',(-43.9999999999732,0.852240811092429, -17.0000000000018)); #49352=CARTESIAN_POINT('',(-40.9999999999986,-6.99999999999989,-21.1006226146309)); #49353=CARTESIAN_POINT('',(-40.9999999999986,4.76344752725004,-16.8190778623595)); #49354=CARTESIAN_POINT('Origin',(-40.9999999999986,-6.99999999999994,-14.0000000000018)); #49355=CARTESIAN_POINT('Origin',(-38.0134246588968,1.13686837721616E-13, -17.0000000000018)); #49356=CARTESIAN_POINT('',(-32.9999999999996,4.26636803939846,-17.0000000000018)); #49357=CARTESIAN_POINT('',(-32.9999999999996,-2.47032996206065,-17.0000000000018)); #49358=CARTESIAN_POINT('',(-39.506712329448,4.26636803939846,-17.0000000000018)); #49359=CARTESIAN_POINT('Origin',(-42.7499999999982,-6.99999999999994,-7.75000000000095)); #49360=CARTESIAN_POINT('',(-37.1006226146283,-6.99999999999989,-21.1006226146309)); #49361=CARTESIAN_POINT('',(-41.8749999999986,-6.99999999999983,-21.1006226146308)); #49362=CARTESIAN_POINT('',(-37.1006226146283,-6.99999999999989,-23.5)); #49363=CARTESIAN_POINT('',(-37.1006226146283,-6.99999999999994,-10.8750000000013)); #49364=CARTESIAN_POINT('',(-40.3817123294471,-6.99999999999983,-23.5)); #49365=CARTESIAN_POINT('Origin',(-32.9999999999996,-4.94065992412146,-23.4434197753361)); #49366=CARTESIAN_POINT('',(-32.9999999999996,4.26636803939852,-23.5)); #49367=CARTESIAN_POINT('',(-32.9999999999996,-2.47032996205974,-23.5)); #49368=CARTESIAN_POINT('',(-32.9999999999996,4.26636803939857,-18.7217098876689)); #49369=CARTESIAN_POINT('Origin',(-43.3695228402671,-24.2236618147559,-14.0000000000018)); #49370=CARTESIAN_POINT('',(-42.7567372001504,-22.5400471055699,-26.756737200153)); #49371=CARTESIAN_POINT('',(-39.1635831881431,-12.6679375929568,-23.5)); #49372=CARTESIAN_POINT('Origin',(-40.9999999999986,-25.5209731553928,-27.8417055528373)); #49373=CARTESIAN_POINT('Origin',(-43.8190778623562,4.76344752724987,-19.076374733024)); #49374=CARTESIAN_POINT('Origin',(-31.9999999999996,4.09004105869008,-18.7217098876689)); #49375=CARTESIAN_POINT('Origin',(-31.9999999999996,4.09004105869008,-23.5)); #49376=CARTESIAN_POINT('Origin',(-40.9999999999986,10.0000000000001,-14.0000000000017)); #49377=CARTESIAN_POINT('',(-40.9999999999986,10.0000000000001,-7.50000000000168)); #49378=CARTESIAN_POINT('Origin',(-38.0134246588959,1.93267624126747E-12, -23.5)); #49379=CARTESIAN_POINT('',(-38.0134246588959,-9.99999999999807,-23.5)); #49380=CARTESIAN_POINT('Origin',(3.875,5.00000000000006,-2.50000000000175)); #49381=CARTESIAN_POINT('',(14.7669073436905,5.00000000000006,-4.50000000000175)); #49382=CARTESIAN_POINT('',(3.875,5.00000000000006,-4.50000000000175)); #49383=CARTESIAN_POINT('',(14.7669073436905,7.00000000000006,-2.50000000000175)); #49384=CARTESIAN_POINT('Origin',(14.7669073436905,5.00000000000006,-2.50000000000175)); #49385=CARTESIAN_POINT('',(3.875,7.00000000000006,-2.50000000000175)); #49386=CARTESIAN_POINT('Origin',(-33.2499999999982,7.00000000000006,-6.25000000000083)); #49387=CARTESIAN_POINT('',(14.7669073436905,7.,0.)); #49388=CARTESIAN_POINT('',(14.7669073436905,7.00000000000006,-5.60000000000001)); #49389=CARTESIAN_POINT('',(-30.1249999999982,7.,1.4210854715202E-14)); #49390=CARTESIAN_POINT('Origin',(40.9999999999977,10.,1.4210854715202E-14)); #49391=CARTESIAN_POINT('',(48.8499999999986,-10.,-1.27897692436818E-13)); #49392=CARTESIAN_POINT('',(40.9999999999977,-10.,-1.27897692436818E-13)); #49393=CARTESIAN_POINT('',(48.8499999999986,10.,1.4210854715202E-14)); #49394=CARTESIAN_POINT('',(14.7669073436905,-6.99999999999989,-1.27897692436818E-13)); #49395=CARTESIAN_POINT('',(-27.8749999999982,-6.99999999999989,-9.94759830064141E-14)); #49396=CARTESIAN_POINT('',(16.5255916591782,4.04761904761915,4.2632564145606E-14)); #49397=CARTESIAN_POINT('Origin',(14.7669073436905,5.00000000000006,2.8421709430404E-14)); #49398=CARTESIAN_POINT('',(16.5255916591782,-4.04761904761892,-2.8421709430404E-14)); #49399=CARTESIAN_POINT('Origin',(24.0000000000005,0.,1.4210854715202E-14)); #49400=CARTESIAN_POINT('Origin',(14.7669073436905,-4.99999999999983,1.4210854715202E-14)); #49401=CARTESIAN_POINT('',(31.4744083408223,4.04761904761915,4.2632564145606E-14)); #49402=CARTESIAN_POINT('',(33.23309265631,7.,0.)); #49403=CARTESIAN_POINT('Origin',(33.23309265631,5.00000000000006,1.4210854715202E-14)); #49404=CARTESIAN_POINT('',(43.9999999999986,7.,0.)); #49405=CARTESIAN_POINT('',(44.1249999999982,7.,0.)); #49406=CARTESIAN_POINT('',(45.9999999999986,5.00000000000006,-2.8421709430404E-14)); #49407=CARTESIAN_POINT('Origin',(43.9999999999986,5.00000000000006,-1.4210854715202E-13)); #49408=CARTESIAN_POINT('',(45.9999999999986,-4.99999999999983,-8.5265128291212E-14)); #49409=CARTESIAN_POINT('',(45.9999999999986,10.0000000000001,1.4210854715202E-14)); #49410=CARTESIAN_POINT('',(43.9999999999986,-6.99999999999989,-1.13686837721616E-13)); #49411=CARTESIAN_POINT('Origin',(43.9999999999986,-4.99999999999983,-2.55795384873636E-13)); #49412=CARTESIAN_POINT('',(33.23309265631,-6.99999999999989,-1.13686837721616E-13)); #49413=CARTESIAN_POINT('',(41.8749999999982,-6.99999999999989,-1.13686837721616E-13)); #49414=CARTESIAN_POINT('',(31.4744083408227,-4.04761904761892,-1.4210854715202E-14)); #49415=CARTESIAN_POINT('Origin',(33.23309265631,-4.99999999999983,1.4210854715202E-14)); #49416=CARTESIAN_POINT('Origin',(24.0000000000005,0.,1.4210854715202E-14)); #49417=CARTESIAN_POINT('',(24.0000000000005,-3.30000000000001,1.4210854715202E-14)); #49418=CARTESIAN_POINT('Origin',(24.0000000000005,0.,1.4210854715202E-14)); #49419=CARTESIAN_POINT('Origin',(40.9999999999982,10.0000000000001,-7.50000000000171)); #49420=CARTESIAN_POINT('',(40.9999999999986,3.73738709727286,-7.50000000000185)); #49421=CARTESIAN_POINT('',(40.9999999999986,10.0000000000001,-7.50000000000171)); #49422=CARTESIAN_POINT('',(44.6397023426607,-6.2626129027272,-7.50000000000182)); #49423=CARTESIAN_POINT('',(42.145284937953,0.590742591402091,-7.50000000000178)); #49424=CARTESIAN_POINT('',(-29.1056504363182,-6.2626129027272,-7.50000000000181)); #49425=CARTESIAN_POINT('',(24.0000000000005,-5.5,-7.50000000000171)); #49426=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-7.50000000000171)); #49427=CARTESIAN_POINT('Origin',(45.2113008726387,-6.26261290272714,-7.50000000000185)); #49428=CARTESIAN_POINT('',(45.7605149174228,-9.34202014332556,-6.3791874252402)); #49429=CARTESIAN_POINT('',(42.5127352537979,-0.41881885415313,-9.62696708886476)); #49430=CARTESIAN_POINT('',(-29.9806504363182,-9.34202014332561,-6.37918742524018)); #49431=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-2.50000000000171)); #49432=CARTESIAN_POINT('',(16.5255916591782,-4.04761904761892,-2.50000000000173)); #49433=CARTESIAN_POINT('',(14.7669073436905,-4.99999999999983,-4.50000000000182)); #49434=CARTESIAN_POINT('Origin',(14.7669073436905,-4.99999999999983,-2.50000000000173)); #49435=CARTESIAN_POINT('',(16.5255916591782,4.04761904761909,-2.50000000000166)); #49436=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-2.50000000000171)); #49437=CARTESIAN_POINT('Origin',(14.7669073436905,5.00000000000011,-2.50000000000166)); #49438=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-4.50000000000179)); #49439=CARTESIAN_POINT('Origin',(14.7669073436905,-4.99999999999983,-2.50000000000183)); #49440=CARTESIAN_POINT('',(14.7669073436905,-6.99999999999983,-2.50000000000185)); #49441=CARTESIAN_POINT('Origin',(14.7669073436905,-4.99999999999983,-2.50000000000183)); #49442=CARTESIAN_POINT('Origin',(14.7669073436905,-4.99999999999983,-2.50000000000183)); #49443=CARTESIAN_POINT('Origin',(14.7669073436905,5.00000000000006,-2.50000000000166)); #49444=CARTESIAN_POINT('Origin',(14.7669073436905,5.00000000000006,-2.50000000000166)); #49445=CARTESIAN_POINT('Origin',(14.7669073436905,-4.99999999999983,-5.60000000000002)); #49446=CARTESIAN_POINT('',(14.7669073436905,-6.99999999999983,-5.60000000000004)); #49447=CARTESIAN_POINT('',(16.5255916591782,-4.04761904761892,-5.60000000000002)); #49448=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-5.60000000000002)); #49449=CARTESIAN_POINT('',(16.5255916591782,4.04761904761909,-5.60000000000001)); #49450=CARTESIAN_POINT('Origin',(14.7669073436905,5.00000000000006,-5.60000000000001)); #49451=CARTESIAN_POINT('Origin',(43.9999999999986,10.0000000000001,-2.50000000000173)); #49452=CARTESIAN_POINT('',(45.9999999999986,-4.99999999999983,-2.50000000000185)); #49453=CARTESIAN_POINT('',(43.9999999999986,-4.99999999999983,-4.50000000000183)); #49454=CARTESIAN_POINT('Origin',(43.9999999999986,-4.99999999999983,-2.50000000000183)); #49455=CARTESIAN_POINT('',(45.9999999999986,-1.22858679951082,-2.50000000000182)); #49456=CARTESIAN_POINT('',(45.9999999999986,10.0000000000001,-2.50000000000173)); #49457=CARTESIAN_POINT('',(43.9999999999986,4.26636803939829,-4.50000000000173)); #49458=CARTESIAN_POINT('Origin',(43.9999999999986,4.26636803939834,-2.50000000000178)); #49459=CARTESIAN_POINT('',(43.9999999999986,10.0000000000001,-4.50000000000173)); #49460=CARTESIAN_POINT('Origin',(-27.8749999999982,-4.99999999999983,-2.50000000000181)); #49461=CARTESIAN_POINT('',(33.23309265631,-6.99999999999983,-2.50000000000186)); #49462=CARTESIAN_POINT('',(33.23309265631,-4.99999999999983,-4.50000000000183)); #49463=CARTESIAN_POINT('Origin',(33.23309265631,-4.99999999999983,-2.50000000000185)); #49464=CARTESIAN_POINT('',(43.9999999999986,-6.99999999999983,-2.50000000000186)); #49465=CARTESIAN_POINT('',(-27.8749999999982,-6.99999999999983,-2.50000000000182)); #49466=CARTESIAN_POINT('Origin',(43.9999999999986,-4.99999999999983,-2.50000000000185)); #49467=CARTESIAN_POINT('',(-27.8749999999982,-4.99999999999983,-4.50000000000179)); #49468=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-2.50000000000171)); #49469=CARTESIAN_POINT('',(31.4744083408223,4.04761904761909,-2.50000000000168)); #49470=CARTESIAN_POINT('',(33.23309265631,5.00000000000011,-4.50000000000176)); #49471=CARTESIAN_POINT('Origin',(33.23309265631,5.00000000000011,-2.50000000000168)); #49472=CARTESIAN_POINT('',(31.4744083408227,-4.04761904761892,-2.50000000000173)); #49473=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-2.50000000000171)); #49474=CARTESIAN_POINT('Origin',(33.23309265631,-4.99999999999983,-2.50000000000173)); #49475=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-4.50000000000179)); #49476=CARTESIAN_POINT('Origin',(33.23309265631,-4.99999999999983,-2.50000000000185)); #49477=CARTESIAN_POINT('Origin',(33.23309265631,-4.99999999999983,-2.50000000000185)); #49478=CARTESIAN_POINT('Origin',(43.9999999999986,-4.99999999999983,-2.50000000000185)); #49479=CARTESIAN_POINT('Origin',(43.9999999999986,-4.99999999999983,-2.50000000000183)); #49480=CARTESIAN_POINT('Origin',(10.125,5.00000000000006,-2.50000000000175)); #49481=CARTESIAN_POINT('',(43.9999999999986,7.00000000000006,-2.50000000000178)); #49482=CARTESIAN_POINT('',(43.9999999999986,5.00000000000006,-4.50000000000173)); #49483=CARTESIAN_POINT('Origin',(43.9999999999986,5.00000000000006,-2.50000000000178)); #49484=CARTESIAN_POINT('',(33.23309265631,7.00000000000006,-2.50000000000178)); #49485=CARTESIAN_POINT('',(10.125,7.00000000000006,-2.50000000000175)); #49486=CARTESIAN_POINT('Origin',(33.23309265631,5.00000000000006,-2.50000000000178)); #49487=CARTESIAN_POINT('',(10.125,5.00000000000006,-4.50000000000175)); #49488=CARTESIAN_POINT('Origin',(33.23309265631,5.00000000000006,-2.50000000000168)); #49489=CARTESIAN_POINT('Origin',(33.23309265631,5.00000000000006,-2.50000000000168)); #49490=CARTESIAN_POINT('Origin',(-26.9999999999982,10.0000000000001,-4.50000000000169)); #49491=CARTESIAN_POINT('',(43.9999999999986,10.0000000000001,-4.50000000000171)); #49492=CARTESIAN_POINT('Origin',(43.9999999999986,-4.99999999999989,5.97677783767047)); #49493=CARTESIAN_POINT('',(43.9999999999986,-6.99999999999989,5.97677783767046)); #49494=CARTESIAN_POINT('',(45.9999999999986,-4.99999999999989,5.97677783767047)); #49495=CARTESIAN_POINT('Origin',(47.2499999999982,7.00000000000006,-6.25000000000084)); #49496=CARTESIAN_POINT('',(43.9999999999986,7.00000000000006,-3.12500000000041)); #49497=CARTESIAN_POINT('',(33.23309265631,7.00000000000006,-5.60000000000002)); #49498=CARTESIAN_POINT('Origin',(33.23309265631,5.00000000000006,-5.60000000000002)); #49499=CARTESIAN_POINT('',(31.4744083408223,4.04761904761909,-5.60000000000002)); #49500=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-5.60000000000002)); #49501=CARTESIAN_POINT('',(31.4744083408227,-4.04761904761892,-5.60000000000002)); #49502=CARTESIAN_POINT('Origin',(33.23309265631,-4.99999999999983,-5.60000000000002)); #49503=CARTESIAN_POINT('',(33.23309265631,-6.99999999999983,-5.60000000000004)); #49504=CARTESIAN_POINT('Origin',(-28.7499999999982,-6.99999999999994,11.953555675341)); #49505=CARTESIAN_POINT('Origin',(24.0000000000005,3.30000000000001,-2.70000000000169)); #49506=CARTESIAN_POINT('',(24.0000000000005,-5.5,-2.70000000000169)); #49507=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-2.70000000000169)); #49508=CARTESIAN_POINT('',(24.0000000000005,-3.30000000000001,-2.7000000000017)); #49509=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-2.70000000000169)); #49510=CARTESIAN_POINT('Origin',(24.0000000000005,0.,-5.60000000000002)); #49511=CARTESIAN_POINT('',(24.0000000000005,-5.5,-5.60000000000002)); #49512=CARTESIAN_POINT('Origin',(24.0000000000005,0.,19.4)); #49513=CARTESIAN_POINT('',(24.0000000000005,-3.30000000000001,19.4)); #49514=CARTESIAN_POINT('Origin',(43.9806504363182,-8.99999999999994,-5.43949480445429)); #49515=CARTESIAN_POINT('',(46.7002075382084,-9.99999999999994,-5.4394948044543)); #49516=CARTESIAN_POINT('Origin',(46.7002075382084,-8.99999999999994,-5.43949480445429)); #49517=CARTESIAN_POINT('',(-43.9806504363182,-9.99999999999994,-5.43949480445429)); #49518=CARTESIAN_POINT('Origin',(42.7499999999982,-6.99999999999994,11.953555675341)); #49519=CARTESIAN_POINT('',(41.8749999999982,-6.99999999999983,-2.50000000000183)); #49520=CARTESIAN_POINT('Origin',(41.8749999999982,-4.99999999999983,-2.50000000000182)); #49521=CARTESIAN_POINT('',(-41.8749999999982,-4.99999999999983,-4.50000000000179)); #49522=CARTESIAN_POINT('Origin',(40.9999999999982,10.0000000000001,-4.50000000000171)); #49523=CARTESIAN_POINT('Origin',(43.9999999999986,10.0000000000001,-14.0000000000017)); #49524=CARTESIAN_POINT('',(43.9999999999986,7.00000000000006,-17.0000000000018)); #49525=CARTESIAN_POINT('',(43.9999999999986,7.00000000000012,-9.37500000000128)); #49526=CARTESIAN_POINT('',(43.9999999999986,4.2663680393984,-14.0000000000018)); #49527=CARTESIAN_POINT('',(43.9999999999986,4.2663680393984,-16.5381873665129)); #49528=CARTESIAN_POINT('',(43.9999999999986,0.852240811042464,-17.0000000000018)); #49529=CARTESIAN_POINT('Ctrl Pts',(43.9999999999986,4.2663680393984,-14.0000000000018)); #49530=CARTESIAN_POINT('Ctrl Pts',(43.9999999999986,4.26636803939829,-15.2426406871211)); #49531=CARTESIAN_POINT('Ctrl Pts',(43.9999999999986,0.852240811042464,-17.0000000000018)); #49532=CARTESIAN_POINT('',(43.9999999999986,5.00000000000011,-17.0000000000018)); #49533=CARTESIAN_POINT('Origin',(43.9999999999986,5.00000000000006,-4.62500000000041)); #49534=CARTESIAN_POINT('',(45.9999999999986,5.00000000000006,-23.5)); #49535=CARTESIAN_POINT('',(45.9999999999986,5.00000000000006,-4.62500000000041)); #49536=CARTESIAN_POINT('',(43.9999999999986,7.00000000000006,-23.5)); #49537=CARTESIAN_POINT('',(43.9999999999986,7.00000000000006,-4.62500000000041)); #49538=CARTESIAN_POINT('Origin',(43.9999999999986,5.00000000000006,-23.5)); #49539=CARTESIAN_POINT('Origin',(48.8499999999986,10.0000000000001,-1.49999999999999)); #49540=CARTESIAN_POINT('',(48.8499999999976,-9.99999999999807,-23.5)); #49541=CARTESIAN_POINT('',(48.8499999999976,-9.99999999999807,-24.1264280970462)); #49542=CARTESIAN_POINT('',(48.8499999999976,1.93267624126747E-12,-23.5)); #49543=CARTESIAN_POINT('Origin',(47.2499999999982,10.0000000000001,-7.75000000000084)); #49544=CARTESIAN_POINT('Origin',(38.0134246588968,1.13686837721616E-13, -14.0000000000018)); #49545=CARTESIAN_POINT('',(29.9999999999996,5.21495421120471,-14.0000000000018)); #49546=CARTESIAN_POINT('',(29.9999999999991,-2.47032996206065,-14.0000000000018)); #49547=CARTESIAN_POINT('',(31.0431016263219,4.09004105868996,-14.0000000000018)); #49548=CARTESIAN_POINT('Origin',(29.9999999999996,9.58499589759919,-14.0000000000018)); #49549=CARTESIAN_POINT('',(40.9999999999986,4.09004105868996,-14.0000000000018)); #49550=CARTESIAN_POINT('',(39.506712329448,4.09004105868996,-14.0000000000018)); #49551=CARTESIAN_POINT('',(40.9999999999986,5.00000000000011,-14.0000000000018)); #49552=CARTESIAN_POINT('Origin',(29.9999999999996,-4.94065992412146,-23.4434197753361)); #49553=CARTESIAN_POINT('',(29.9999999999986,4.09004105869008,-23.5)); #49554=CARTESIAN_POINT('',(29.9999999999986,-2.47032996205883,-23.5)); #49555=CARTESIAN_POINT('',(29.9999999999996,4.09004105869002,-15.043101626324)); #49556=CARTESIAN_POINT('',(29.9999999999996,4.09004105869008,-18.7217098876689)); #49557=CARTESIAN_POINT('Origin',(29.9999999999996,9.58499589759924,-14.0000000000018)); #49558=CARTESIAN_POINT('Origin',(39.506712329448,4.09004105868996,-16.0000000000018)); #49559=CARTESIAN_POINT('',(31.9999999999996,3.40600077203862,-14.12061475843)); #49560=CARTESIAN_POINT('Origin',(31.9999999999996,4.09004105868996,-16.0000000000018)); #49561=CARTESIAN_POINT('',(40.9999999999986,3.40600077203862,-14.12061475843)); #49562=CARTESIAN_POINT('',(39.506712329448,3.40600077203862,-14.12061475843)); #49563=CARTESIAN_POINT('Origin',(40.9999999999986,4.09004105868996,-16.0000000000018)); #49564=CARTESIAN_POINT('Origin',(35.7002075382093,-8.99999999999994,-10.8750000000014)); #49565=CARTESIAN_POINT('',(35.7002075382093,-9.99999999999807,-23.5)); #49566=CARTESIAN_POINT('',(34.7605149174228,-9.34202014332556,-23.5)); #49567=CARTESIAN_POINT('Origin',(35.7002075382093,-8.99999999999983,-23.5)); #49568=CARTESIAN_POINT('',(35.7002075382093,-9.99999999999989,-20.6399001589974)); #49569=CARTESIAN_POINT('',(35.7002075382093,-9.99999999999994,-10.8750000000014)); #49570=CARTESIAN_POINT('',(34.7605149174228,-9.34202014332567,-20.6399001589974)); #49571=CARTESIAN_POINT('Origin',(35.7002075382093,-8.99999999999989,-20.6399001589974)); #49572=CARTESIAN_POINT('',(34.7605149174228,-9.34202014332567,-10.8750000000014)); #49573=CARTESIAN_POINT('Ctrl Pts',(36.6399001589951,-9.99999999999988,-19.7002075382115)); #49574=CARTESIAN_POINT('Ctrl Pts',(36.4204407352488,-9.99999999999988,-19.7002075382115)); #49575=CARTESIAN_POINT('Ctrl Pts',(35.9270210524891,-9.99999999999987,-19.8657442820189)); #49576=CARTESIAN_POINT('Ctrl Pts',(35.7002075382092,-9.99999999999987,-20.3472875940023)); #49577=CARTESIAN_POINT('Ctrl Pts',(35.7002075382092,-9.99999999999987,-20.6399001589974)); #49578=CARTESIAN_POINT('Ctrl Pts',(36.6399001589951,-9.99999999999988,-19.4965857921454)); #49579=CARTESIAN_POINT('Ctrl Pts',(36.3778395697088,-9.99983090488485,-19.5078224546732)); #49580=CARTESIAN_POINT('Ctrl Pts',(35.7945019629029,-9.99981364076947,-19.7221152350074)); #49581=CARTESIAN_POINT('Ctrl Pts',(35.5115680088468,-9.99977453984651,-20.290486039949)); #49582=CARTESIAN_POINT('Ctrl Pts',(35.4965857921432,-9.99999999999987,-20.6399001589974)); #49583=CARTESIAN_POINT('Ctrl Pts',(36.6399001589951,-9.87153254965722,-19.0899538771462)); #49584=CARTESIAN_POINT('Ctrl Pts',(36.2929013842348,-9.88619463578263,-19.1233084010288)); #49585=CARTESIAN_POINT('Ctrl Pts',(35.5297050933624,-9.90627246276813,-19.4349253700099)); #49586=CARTESIAN_POINT('Ctrl Pts',(35.1344265756541,-9.89108199782446,-20.1772351259837)); #49587=CARTESIAN_POINT('Ctrl Pts',(35.089953877144,-9.87153254965723,-20.6399001589974)); #49588=CARTESIAN_POINT('Ctrl Pts',(36.6399001589951,-9.53336199553525,-18.8301576561992)); #49589=CARTESIAN_POINT('Ctrl Pts',(36.2383859100497,-9.58125644570101,-18.8623402144528)); #49590=CARTESIAN_POINT('Ctrl Pts',(35.3368154502554,-9.65054427495225,-19.2226742327913)); #49591=CARTESIAN_POINT('Ctrl Pts',(34.8730677338685,-9.59722126242302,-20.1045478270703)); #49592=CARTESIAN_POINT('Ctrl Pts',(34.830157656197,-9.5333619955353,-20.6399001589974)); #49593=CARTESIAN_POINT('Ctrl Pts',(36.6399001589951,-9.34202014332546,-18.7605149174255)); #49594=CARTESIAN_POINT('Ctrl Pts',(36.2239646477363,-9.40516634065182,-18.7834982536594)); #49595=CARTESIAN_POINT('Ctrl Pts',(35.2714634924548,-9.49950979790509,-19.1489099515121)); #49596=CARTESIAN_POINT('Ctrl Pts',(34.7911593657352,-9.42621507309407,-20.085319477319)); #49597=CARTESIAN_POINT('Ctrl Pts',(34.7605149174233,-9.34202014332553,-20.6399001589974)); #49598=CARTESIAN_POINT('',(36.6399001589948,-9.34202014332556,-18.7605149174255)); #49599=CARTESIAN_POINT('',(36.6399001589948,-9.99999999999983,-19.7002075382115)); #49600=CARTESIAN_POINT('Origin',(36.6399001589948,-8.99999999999983,-19.7002075382115)); #49601=CARTESIAN_POINT('Origin',(36.7643853106852,-8.99999999999989,-20.7643853106872)); #49602=CARTESIAN_POINT('Origin',(36.7643853106852,-9.99999999999983,-20.7643853106874)); #49603=CARTESIAN_POINT('Origin',(6.12500000000046,-8.99999999999983,-19.7002075382114)); #49604=CARTESIAN_POINT('',(40.9999999999986,-9.3420201433255,-18.7605149174255)); #49605=CARTESIAN_POINT('',(40.9999999999986,-9.99999999999989,-19.7002075382114)); #49606=CARTESIAN_POINT('Origin',(40.9999999999986,-8.99999999999983,-19.7002075382114)); #49607=CARTESIAN_POINT('',(6.12500000000046,-9.3420201433255,-18.7605149174255)); #49608=CARTESIAN_POINT('',(6.12500000000046,-9.99999999999989,-19.7002075382114)); #49609=CARTESIAN_POINT('Origin',(40.9999999999986,-9.,-14.0000000000018)); #49610=CARTESIAN_POINT('',(45.7605149174228,-9.34202014332567,-14.0000000000018)); #49611=CARTESIAN_POINT('',(46.7002075382084,-9.99999999999989,-14.0000000000018)); #49612=CARTESIAN_POINT('Origin',(46.7002075382084,-9.,-14.0000000000018)); #49613=CARTESIAN_POINT('Origin',(40.9999999999986,-9.34202014332567,-14.0000000000018)); #49614=CARTESIAN_POINT('Origin',(40.9999999999986,-9.99999999999989,-14.0000000000018)); #49615=CARTESIAN_POINT('Origin',(46.7002075382084,-8.99999999999989,-13.4131873665126)); #49616=CARTESIAN_POINT('',(46.7002075382084,-9.99999999999989,-13.4131873665126)); #49617=CARTESIAN_POINT('',(45.7605149174224,-9.34202014332556,-13.4131873665126)); #49618=CARTESIAN_POINT('Origin',(40.9999999999986,3.73738709727286,-19.076374733024)); #49619=CARTESIAN_POINT('',(40.9999999999986,3.73738709727303,-14.0000000000018)); #49620=CARTESIAN_POINT('',(40.9999999999986,3.73738709727303,-16.5381873665129)); #49621=CARTESIAN_POINT('',(41.4259050554074,2.56722257470597,-14.0000000000018)); #49622=CARTESIAN_POINT('Origin',(40.9999999999986,-23.6917754236147,-14.0000000000018)); #49623=CARTESIAN_POINT('',(40.9999999999986,3.73738709727303,-14.0000000000018)); #49624=CARTESIAN_POINT('',(40.9999999999986,3.73738709727303,-14.0000000000018)); #49625=CARTESIAN_POINT('Origin',(40.9999999999986,-26.5470335853698,-25.0226276904796)); #49626=CARTESIAN_POINT('',(41.9154558076971,-23.8364901632114,-24.0360705661279)); #49627=CARTESIAN_POINT('Origin',(41.9154558076971,-23.15244987656,-25.9154558076997)); #49628=CARTESIAN_POINT('',(30.1206147584276,3.40600077203874,-16.0000000000018)); #49629=CARTESIAN_POINT('Origin',(31.9999999999996,4.09004105869008,-16.0000000000018)); #49630=CARTESIAN_POINT('',(40.0360705661251,-23.8364901632114,-25.9154558076997)); #49631=CARTESIAN_POINT('Origin',(40.5504449779092,-25.2497222447329,-14.0000000000018)); #49632=CARTESIAN_POINT('',(30.1206147584276,3.40600077203874,-23.5)); #49633=CARTESIAN_POINT('',(30.1206147584276,3.40600077203874,-18.7217098876689)); #49634=CARTESIAN_POINT('',(36.3445053257851,-13.6939980229338,-23.5)); #49635=CARTESIAN_POINT('Origin',(45.9999999999986,10.0000000000001,-1.49999999999999)); #49636=CARTESIAN_POINT('',(45.9999999999986,-1.22858679951059,-14.0000000000018)); #49637=CARTESIAN_POINT('',(45.9999999999986,-1.22858679951071,-10.2881873665121)); #49638=CARTESIAN_POINT('',(45.9999999999986,-6.99999999999989,-23.5)); #49639=CARTESIAN_POINT('',(45.9999999999986,5.00000000000102,-23.5)); #49640=CARTESIAN_POINT('',(45.9999999999986,-6.99999999999983,-19.0417101776481)); #49641=CARTESIAN_POINT('',(45.9999999999986,-6.99999999999994,-4.62500000000054)); #49642=CARTESIAN_POINT('Ctrl Pts',(45.9999999999986,-1.22858679951059,-14.0000000000018)); #49643=CARTESIAN_POINT('Ctrl Pts',(45.9999999999986,-1.22858679951059,-16.0832441181809)); #49644=CARTESIAN_POINT('Ctrl Pts',(45.9999999999986,-6.99999999999994,-19.041710177648)); #49645=CARTESIAN_POINT('Origin',(47.2499999999982,7.00000000000006,-7.75000000000084)); #49646=CARTESIAN_POINT('',(32.9999999999996,7.00000000000006,-17.0000000000018)); #49647=CARTESIAN_POINT('',(42.6317123294475,7.00000000000006,-17.0000000000018)); #49648=CARTESIAN_POINT('',(32.9999999999996,7.00000000000006,-23.5)); #49649=CARTESIAN_POINT('',(32.9999999999996,7.00000000000006,-15.5967098876685)); #49650=CARTESIAN_POINT('',(42.6317123294471,7.00000000000006,-23.5)); #49651=CARTESIAN_POINT('Origin',(40.9999999999986,-22.6657149936377,-14.0000000000018)); #49652=CARTESIAN_POINT('',(40.9999999999986,-6.99999999999989,-21.1006226146309)); #49653=CARTESIAN_POINT('Origin',(40.9999999999986,-6.99999999999994,-14.0000000000018)); #49654=CARTESIAN_POINT('',(40.9999999999986,4.26636803939846,-17.0000000000018)); #49655=CARTESIAN_POINT('',(40.9999999999986,4.76344752725004,-16.8190778623595)); #49656=CARTESIAN_POINT('Ctrl Pts',(40.9999999999986,4.26636803939834,-17.0000000000018)); #49657=CARTESIAN_POINT('Ctrl Pts',(42.2426406871105,4.26636803939823,-17.0000000000018)); #49658=CARTESIAN_POINT('Ctrl Pts',(43.9999999999732,0.852240811092429,-17.0000000000018)); #49659=CARTESIAN_POINT('',(44.2449829177654,3.59328300468297,-14.0000000000018)); #49660=CARTESIAN_POINT('Origin',(38.0134246588968,1.13686837721616E-13, -17.0000000000018)); #49661=CARTESIAN_POINT('',(32.9999999999996,4.26636803939846,-17.0000000000018)); #49662=CARTESIAN_POINT('',(39.506712329448,4.26636803939846,-17.0000000000018)); #49663=CARTESIAN_POINT('',(32.9999999999996,-2.47032996206065,-17.0000000000018)); #49664=CARTESIAN_POINT('Origin',(42.7499999999982,-6.99999999999994,-7.75000000000097)); #49665=CARTESIAN_POINT('',(37.1006226146283,-6.99999999999989,-23.5)); #49666=CARTESIAN_POINT('',(40.3817123294471,-6.99999999999983,-23.5)); #49667=CARTESIAN_POINT('',(37.1006226146283,-6.99999999999989,-21.1006226146309)); #49668=CARTESIAN_POINT('',(37.1006226146283,-6.99999999999994,-10.8750000000013)); #49669=CARTESIAN_POINT('',(41.8749999999986,-6.99999999999983,-21.1006226146309)); #49670=CARTESIAN_POINT('Origin',(32.9999999999996,-4.94065992412146,-23.4434197753361)); #49671=CARTESIAN_POINT('',(32.9999999999996,4.26636803939852,-23.5)); #49672=CARTESIAN_POINT('',(32.9999999999996,4.26636803939857,-18.7217098876689)); #49673=CARTESIAN_POINT('',(32.9999999999996,-2.47032996205974,-23.5)); #49674=CARTESIAN_POINT('Origin',(43.3695228402671,-24.2236618147559,-14.0000000000018)); #49675=CARTESIAN_POINT('',(39.1635831881431,-12.6679375929568,-23.5)); #49676=CARTESIAN_POINT('',(42.7567372001504,-22.5400471055699,-26.756737200153)); #49677=CARTESIAN_POINT('Origin',(40.9999999999986,-25.5209731553928,-27.8417055528373)); #49678=CARTESIAN_POINT('Origin',(43.8190778623562,4.76344752724987,-19.076374733024)); #49679=CARTESIAN_POINT('Origin',(31.9999999999996,4.09004105869008,-18.7217098876689)); #49680=CARTESIAN_POINT('Origin',(31.9999999999996,4.09004105869008,-23.5)); #49681=CARTESIAN_POINT('Origin',(40.9999999999986,10.0000000000001,-14.0000000000017)); #49682=CARTESIAN_POINT('Origin',(38.0134246588959,1.93267624126747E-12, -23.5)); #49683=CARTESIAN_POINT('',(38.0134246588959,-9.99999999999807,-23.5)); #49684=CARTESIAN_POINT('Origin',(42.7499999999982,-9.99999999999994,-7.75000000000098)); #49685=CARTESIAN_POINT('',(0.,0.,0.)); #49686=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13647355857453E-16, 0.)); #49687=CARTESIAN_POINT('',(2.25514051876985E-15,-4.94242883390254E-16,3.1)); #49688=CARTESIAN_POINT('Origin',(2.25514051876985E-15,-1.14602375654575E-16, 0.)); #49689=CARTESIAN_POINT('',(0.100000000000002,-4.81041395601659E-16,3.)); #49690=CARTESIAN_POINT('Origin',(0.100000000000002,-4.93287863593133E-16, 3.1)); #49691=CARTESIAN_POINT('',(0.100000000000002,-3.,-3.67394039744206E-16)); #49692=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13647355857453E-16, 0.)); #49693=CARTESIAN_POINT('Origin',(0.100000000000002,-1.13647355857453E-16, 0.)); #49694=CARTESIAN_POINT('Origin',(7.5,-4.29758908704655E-17,0.)); #49695=CARTESIAN_POINT('',(11.38655,3.,3.67381906146713E-16)); #49696=CARTESIAN_POINT('',(11.38655,-3.,-3.67394039744206E-16)); #49697=CARTESIAN_POINT('Origin',(11.38655,-5.85856894544157E-18,0.)); #49698=CARTESIAN_POINT('Origin',(11.38655,-5.85856894544157E-18,0.)); #49699=CARTESIAN_POINT('',(7.5,-3.,-3.67394039744206E-16)); #49700=CARTESIAN_POINT('Origin',(2.22044604925031E-15,4.,0.)); #49701=CARTESIAN_POINT('',(2.22044604925031E-15,-5.,-6.12323399573677E-16)); #49702=CARTESIAN_POINT('Origin',(2.22044604925031E-15,-1.14602375654575E-16, 0.)); #49703=CARTESIAN_POINT('Origin',(11.693275,-2.92928447272078E-18,0.)); #49704=CARTESIAN_POINT('',(12.,2.38655,-2.92258429371479E-16)); #49705=CARTESIAN_POINT('Origin',(12.,3.85185988877447E-33,0.)); #49706=CARTESIAN_POINT('',(11.693275,2.693275,3.29831060797359E-16)); #49707=CARTESIAN_POINT('Origin',(12.,1.5,0.)); #49708=CARTESIAN_POINT('Origin',(-3.39999999999999,-1.47073048756704E-16, 0.)); #49709=CARTESIAN_POINT('',(-3.39999999999999,-7.59396448330381E-16,5.)); #49710=CARTESIAN_POINT('',(-3.39999999999999,-5.,-6.12323399573677E-16)); #49711=CARTESIAN_POINT('Origin',(-3.39999999999999,-1.47073048756704E-16, 0.)); #49712=CARTESIAN_POINT('Origin',(-3.39999999999999,-1.47073048756704E-16, 0.)); #49713=CARTESIAN_POINT('',(-3.99999999999999,-6.91647759164269E-16,4.4)); #49714=CARTESIAN_POINT('Origin',(-3.39999999999999,-6.8591764038154E-16, 4.4)); #49715=CARTESIAN_POINT('Origin',(-3.99999999999999,-1.52803167539433E-16, 0.)); #49716=CARTESIAN_POINT('Origin',(-3.99999999999999,3.65470053837925,0.)); #49717=CARTESIAN_POINT('',(-3.99999999999999,2.,-1.15470053837925)); #49718=CARTESIAN_POINT('',(-3.99999999999999,2.,1.15470053837925)); #49719=CARTESIAN_POINT('',(-3.99999999999999,2.,0.577350269189624)); #49720=CARTESIAN_POINT('',(-3.99999999999999,1.30016896120542E-16,2.3094010767585)); #49721=CARTESIAN_POINT('',(-3.99999999999999,0.5,2.02072594216369)); #49722=CARTESIAN_POINT('',(-3.99999999999999,-2.,1.15470053837925)); #49723=CARTESIAN_POINT('',(-3.99999999999999,-1.5,1.44337567297406)); #49724=CARTESIAN_POINT('',(-3.99999999999999,-2.,-1.15470053837925)); #49725=CARTESIAN_POINT('',(-3.99999999999999,-2.,-0.577350269189625)); #49726=CARTESIAN_POINT('',(-3.99999999999999,-4.31319054659998E-16,-2.3094010767585)); #49727=CARTESIAN_POINT('',(-3.99999999999999,-0.500000000000001,-2.02072594216369)); #49728=CARTESIAN_POINT('',(-3.99999999999999,1.5,-1.44337567297407)); #49729=CARTESIAN_POINT('Origin',(-2.,-1.33702771597004E-16,0.)); #49730=CARTESIAN_POINT('',(-2.,-5.,-6.12323399573677E-16)); #49731=CARTESIAN_POINT('Origin',(-3.99999999999999,-4.31319054659998E-16, -2.3094010767585)); #49732=CARTESIAN_POINT('',(-0.999999999999995,1.67428471991788E-16,-2.3094010767585)); #49733=CARTESIAN_POINT('',(-0.999999999999995,-2.,-1.15470053837925)); #49734=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,-4.60702887832607E-15, -2.3094010767585)); #49735=CARTESIAN_POINT('Ctrl Pts',(-0.666666666666663,-1.,-1.73205080756888)); #49736=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,-2.,-1.15470053837925)); #49737=CARTESIAN_POINT('',(-2.5,1.38777878078145E-16,-2.3094010767585)); #49738=CARTESIAN_POINT('',(-2.5,-2.,-1.15470053837925)); #49739=CARTESIAN_POINT('Origin',(-3.99999999999999,2.,-1.15470053837925)); #49740=CARTESIAN_POINT('',(-0.999999999999995,2.,-1.15470053837925)); #49741=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,2.,-1.15470053837925)); #49742=CARTESIAN_POINT('Ctrl Pts',(-0.666666666666663,1.,-1.73205080756888)); #49743=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,2.193087147503E-15, -2.3094010767585)); #49744=CARTESIAN_POINT('',(-2.5,2.,-1.15470053837925)); #49745=CARTESIAN_POINT('Origin',(-3.99999999999999,2.,1.15470053837925)); #49746=CARTESIAN_POINT('',(-0.999999999999995,2.,1.15470053837925)); #49747=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,2.,1.15470053837925)); #49748=CARTESIAN_POINT('Ctrl Pts',(-0.666666666666662,2.,-2.89649904117365E-15)); #49749=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,2.,-1.15470053837925)); #49750=CARTESIAN_POINT('',(-2.5,2.,1.15470053837925)); #49751=CARTESIAN_POINT('Origin',(-3.99999999999999,-3.82007918848582E-17, 2.3094010767585)); #49752=CARTESIAN_POINT('',(-0.999999999999995,5.83762106226222E-16,2.3094010767585)); #49753=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,1.77675351326857E-15, 2.3094010767585)); #49754=CARTESIAN_POINT('Ctrl Pts',(-0.666666666666661,1.,1.73205080756888)); #49755=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,2.,1.15470053837925)); #49756=CARTESIAN_POINT('',(-2.5,9.71445146547012E-16,2.3094010767585)); #49757=CARTESIAN_POINT('Origin',(-3.99999999999999,-2.,-1.15470053837925)); #49758=CARTESIAN_POINT('',(-0.821367205045913,-2.,-2.67380513978014E-16)); #49759=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,-2.,-1.15470053837925)); #49760=CARTESIAN_POINT('Ctrl Pts',(-0.821367205045913,-2.,-0.535898384862245)); #49761=CARTESIAN_POINT('Ctrl Pts',(-0.821367205045913,-2.,-2.89831668126558E-16)); #49762=CARTESIAN_POINT('',(-0.999999999999995,-2.,1.15470053837925)); #49763=CARTESIAN_POINT('',(-2.5,-2.,1.15470053837925)); #49764=CARTESIAN_POINT('Ctrl Pts',(-0.821367205045913,-2.,-2.89831668126558E-16)); #49765=CARTESIAN_POINT('Ctrl Pts',(-0.821367205045913,-2.,0.535898384862245)); #49766=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,-2.,1.15470053837925)); #49767=CARTESIAN_POINT('Origin',(-3.99999999999999,-2.,1.15470053837925)); #49768=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999994,-2.,1.15470053837925)); #49769=CARTESIAN_POINT('Ctrl Pts',(-0.666666666666662,-1.,1.73205080756888)); #49770=CARTESIAN_POINT('Ctrl Pts',(-0.999999999999995,-1.69269343868504E-15, 2.3094010767585)); #49771=CARTESIAN_POINT('Origin',(-0.333333333333328,-1.17785774978313E-16, 0.)); #49772=CARTESIAN_POINT('',(0.333333333333339,-1.11418976330837E-16,0.)); #49773=CARTESIAN_POINT('',(-0.333333333333328,-1.15470053837925,-1.41410031829988E-16)); #49774=CARTESIAN_POINT('',(0.,0.,0.)); #49775=CARTESIAN_POINT('',(1.38050658413677E-29,2.00732569195435E-15,-322.)); #49776=CARTESIAN_POINT('',(-2.17211600236811E-15,1.90125692967058E-15,-8.)); #49777=CARTESIAN_POINT('',(-5.88059933302355E-16,3.93001074002863E-15,-314.)); #49778=CARTESIAN_POINT('',(1.52595148341778E-15,-1.52100554373646E-15,-18.8)); #49779=CARTESIAN_POINT('',(-4.8920282484354E-15,4.69177653494898E-16,-303.2)); #49780=CARTESIAN_POINT('',(-1.90084412084959E-13,-0.916666666666669,-139.6)); #49781=CARTESIAN_POINT('',(-2.57245268238124E-13,0.916666666666666,-182.4)); #49782=CARTESIAN_POINT('',(-1.34543219937106E-14,-1.52100554373635E-15, -161.)); #49783=CARTESIAN_POINT('',(13.5638699435238,3.46719370026697,-143.)); #49784=CARTESIAN_POINT('',(6.72704362901826E-16,-1.52100554373646E-15,-105.75)); #49785=CARTESIAN_POINT('',(-26.5165042944955,-26.5165042944955,-326.)); #49786=CARTESIAN_POINT('',(26.5165042944955,26.5165042944955,-326.)); #49787=CARTESIAN_POINT('',(62.,4.68161381839131E-15,-228.5)); #49788=CARTESIAN_POINT('',(43.840620433566,43.8406204335656,-228.5)); #49789=CARTESIAN_POINT('',(-29.9999999999995,61.9999999999996,-228.5)); #49790=CARTESIAN_POINT('',(-130.,62.,-228.5)); #49791=CARTESIAN_POINT('',(-26.5165042944955,26.5165042944955,-326.)); #49792=CARTESIAN_POINT('',(26.5165042944955,-26.5165042944955,-326.)); #49793=CARTESIAN_POINT('',(43.8406204335658,43.8406204335658,-93.8)); #49794=CARTESIAN_POINT('',(61.9999999999998,-1.88343810383348E-15,-93.8000000000001)); #49795=CARTESIAN_POINT('',(-130.,62.,-93.8000000000001)); #49796=CARTESIAN_POINT('',(-30.0000000000001,61.9999999999999,-93.8)); #49797=CARTESIAN_POINT('',(-26.5165042944955,26.5165042944955,3.99999999999999)); #49798=CARTESIAN_POINT('',(-26.5165042944955,-26.5165042944955,3.99999999999999)); #49799=CARTESIAN_POINT('',(26.5165042944955,-26.5165042944955,3.99999999999999)); #49800=CARTESIAN_POINT('',(26.5165042944955,26.5165042944955,3.99999999999999)); #49801=CARTESIAN_POINT('',(-264.,40.5,3.99999999999999)); #49802=CARTESIAN_POINT('',(-219.,40.5,3.99999999999999)); #49803=CARTESIAN_POINT('',(-264.,-4.50000000000001,3.99999999999999)); #49804=CARTESIAN_POINT('',(-219.,-4.50000000000001,3.99999999999999)); #49805=CARTESIAN_POINT('',(-219.,40.5,-326.)); #49806=CARTESIAN_POINT('',(-219.,-4.50000000000001,-326.)); #49807=CARTESIAN_POINT('',(-264.,-4.50000000000001,-326.)); #49808=CARTESIAN_POINT('',(-264.,40.5,-326.)); #49809=CARTESIAN_POINT('',(-241.5,40.5,-314.000003814697)); #49810=CARTESIAN_POINT('',(-241.5,-4.50000000000001,-314.000003814697)); #49811=CARTESIAN_POINT('',(-241.5,40.5,-7.99999618530272)); #49812=CARTESIAN_POINT('',(-241.5,-4.50000000000001,-7.99999618530272)); #49813=CARTESIAN_POINT('',(4.11892742135933E-13,-6.21424718546891E-14,-230.5)); #49814=CARTESIAN_POINT('',(-9.44190687019469E-14,6.883382752676E-15,-91.8)); #49815=CARTESIAN_POINT('',(-163.,-60.75,5.)); #49816=CARTESIAN_POINT('',(-163.,-61.25,-327.)); #49817=CARTESIAN_POINT('',(-163.,-60.75,5.)); #49818=CARTESIAN_POINT('',(-163.,-61.25,-327.)); #49819=CARTESIAN_POINT('',(-163.,-60.75,-19.5)); #49820=CARTESIAN_POINT('',(-163.,-61.25,-302.5)); #49821=CARTESIAN_POINT('',(-180.,18.,-161.)); #49822=CARTESIAN_POINT('',(-174.8,28.9999999999997,-13.5)); #49823=CARTESIAN_POINT('',(-174.8,28.9999999999997,-308.5)); #49824=CARTESIAN_POINT('',(-174.8,6.9999999999997,-13.5)); #49825=CARTESIAN_POINT('',(-174.8,6.9999999999997,-308.5)); #49826=CARTESIAN_POINT('',(-288.,-130.,-307.)); #49827=CARTESIAN_POINT('',(-288.,-130.,-15.)); #49828=CARTESIAN_POINT('',(-103.,-88.2499999999999,-327.)); #49829=CARTESIAN_POINT('',(-103.,-88.2499999999999,-327.)); #49830=CARTESIAN_POINT('',(-103.,-88.2499999999999,-302.5)); #49831=CARTESIAN_POINT('',(-103.,-87.7499999999999,-19.5)); #49832=CARTESIAN_POINT('',(-103.,-87.7499999999999,5.)); #49833=CARTESIAN_POINT('',(-103.,-87.7499999999999,5.)); #49834=CARTESIAN_POINT('',(-253.,-88.2499999999999,-327.)); #49835=CARTESIAN_POINT('',(-253.,-88.2499999999999,-327.)); #49836=CARTESIAN_POINT('',(-253.,-88.2499999999999,-302.5)); #49837=CARTESIAN_POINT('',(-253.,-87.7499999999999,-19.5)); #49838=CARTESIAN_POINT('',(-253.,-87.7499999999999,5.)); #49839=CARTESIAN_POINT('',(-253.,-87.7499999999999,5.00000000000001)); #49840=CARTESIAN_POINT('',(-180.,-14.,-326.)); #49841=CARTESIAN_POINT('',(-180.,50.,-326.)); #49842=CARTESIAN_POINT('',(-180.,50.,3.99999999999999)); #49843=CARTESIAN_POINT('',(-180.,-14.,3.99999999999999)); #49844=CARTESIAN_POINT('',(9.68847853108845,2.47656692876212,49.4999999999996)); #49845=CARTESIAN_POINT('',(62.,0.,-52.5)); #49846=CARTESIAN_POINT('',(43.8406204335659,43.8406204335659,-52.2)); #49847=CARTESIAN_POINT('',(-30.,62.,-52.2)); #49848=CARTESIAN_POINT('',(-130.,62.,-52.5)); #49849=CARTESIAN_POINT('',(-30.,62.,-269.5)); #49850=CARTESIAN_POINT('',(62.,2.00732569195435E-15,-269.5)); #49851=CARTESIAN_POINT('',(43.8406204335659,43.8406204335659,-269.5)); #49852=CARTESIAN_POINT('',(-130.,62.,-269.5)); #49853=CARTESIAN_POINT('',(-2.8421709430404E-13,1.33226762955019E-13,-298.)); #49854=CARTESIAN_POINT('',(-8.88178419700126E-14,6.88338275267598E-15,-24.3)); #49855=CARTESIAN_POINT('',(-8.88178419700126E-14,6.883382752676E-15,-59.3)); #49856=CARTESIAN_POINT('',(-4.37049946973358E-14,8.03028560054585E-15,-263.)); #49857=CARTESIAN_POINT('',(-176.,-4.49999999999972,-67.5)); #49858=CARTESIAN_POINT('',(-176.,40.5000000000003,-67.5)); #49859=CARTESIAN_POINT('',(-176.,-4.49999999999972,-254.5)); #49860=CARTESIAN_POINT('',(-176.,40.5000000000003,-254.5)); #49861=CARTESIAN_POINT('',(-193.5,40.5,-254.5)); #49862=CARTESIAN_POINT('',(-193.5,-4.49999999999996,-254.5)); #49863=CARTESIAN_POINT('',(-193.5,-4.49999999999996,-67.5)); #49864=CARTESIAN_POINT('',(-193.5,40.5,-67.5)); #49865=CARTESIAN_POINT('',(-3.5527136788005E-14,6.88338275267599E-15,-83.05)); #49866=CARTESIAN_POINT('',(-1.77635683940025E-14,8.03028560054584E-15,-239.25)); #49867=CARTESIAN_POINT('',(-180.,-12.,-161.)); #49868=CARTESIAN_POINT('',(-180.,-14.7000000000017,-185.)); #49869=CARTESIAN_POINT('',(-180.,-14.7000000000016,-136.999999999999)); #49870=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49871=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49872=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49873=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49874=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49875=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49876=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49877=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49878=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49879=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49880=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49881=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49882=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49883=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49884=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49885=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49886=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49887=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49888=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49889=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49890=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49891=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49892=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49893=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49894=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49895=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49896=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49897=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49898=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49899=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#49930, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #49900=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49870)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49901=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49871)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49902=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49872)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49903=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49873)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49904=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49874)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49905=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49875)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49906=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49876)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49907=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49877)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49908=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49878)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49909=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49879)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49910=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49880)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49911=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49881)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49912=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49882)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49913=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49883)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49914=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49884)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49915=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49885)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49916=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49886)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49917=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49887)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49918=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49888)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49919=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49889)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49920=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49890)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49921=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49891)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49922=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49892)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49923=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49893)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49924=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49894)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49925=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49895)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49926=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49896)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49927=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49897)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49928=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49898)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49929=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#49899)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#49930,#49932,#49933)) REPRESENTATION_CONTEXT('','3D') ); #49930=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #49931=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #49932=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #49933=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #49934=SHAPE_DEFINITION_REPRESENTATION(#49963,#50088); #49935=SHAPE_DEFINITION_REPRESENTATION(#49964,#50089); #49936=SHAPE_DEFINITION_REPRESENTATION(#49965,#50090); #49937=SHAPE_DEFINITION_REPRESENTATION(#49966,#50091); #49938=SHAPE_DEFINITION_REPRESENTATION(#49967,#50092); #49939=SHAPE_DEFINITION_REPRESENTATION(#49968,#50093); #49940=SHAPE_DEFINITION_REPRESENTATION(#49969,#50094); #49941=SHAPE_DEFINITION_REPRESENTATION(#49970,#50095); #49942=SHAPE_DEFINITION_REPRESENTATION(#49971,#50096); #49943=SHAPE_DEFINITION_REPRESENTATION(#49972,#50097); #49944=SHAPE_DEFINITION_REPRESENTATION(#49973,#50098); #49945=SHAPE_DEFINITION_REPRESENTATION(#49974,#50099); #49946=SHAPE_DEFINITION_REPRESENTATION(#49975,#50100); #49947=SHAPE_DEFINITION_REPRESENTATION(#49976,#50101); #49948=SHAPE_DEFINITION_REPRESENTATION(#49977,#50102); #49949=SHAPE_DEFINITION_REPRESENTATION(#49978,#50103); #49950=SHAPE_DEFINITION_REPRESENTATION(#49979,#50104); #49951=SHAPE_DEFINITION_REPRESENTATION(#49980,#50105); #49952=SHAPE_DEFINITION_REPRESENTATION(#49981,#50106); #49953=SHAPE_DEFINITION_REPRESENTATION(#49982,#50107); #49954=SHAPE_DEFINITION_REPRESENTATION(#49983,#50108); #49955=SHAPE_DEFINITION_REPRESENTATION(#49984,#50109); #49956=SHAPE_DEFINITION_REPRESENTATION(#49985,#50110); #49957=SHAPE_DEFINITION_REPRESENTATION(#49986,#50111); #49958=SHAPE_DEFINITION_REPRESENTATION(#49987,#50112); #49959=SHAPE_DEFINITION_REPRESENTATION(#49988,#50113); #49960=SHAPE_DEFINITION_REPRESENTATION(#49989,#50114); #49961=SHAPE_DEFINITION_REPRESENTATION(#49990,#50115); #49962=SHAPE_DEFINITION_REPRESENTATION(#49991,#50116); #49963=PRODUCT_DEFINITION_SHAPE('',$,#50118); #49964=PRODUCT_DEFINITION_SHAPE('',$,#50119); #49965=PRODUCT_DEFINITION_SHAPE('',$,#50120); #49966=PRODUCT_DEFINITION_SHAPE('',$,#50121); #49967=PRODUCT_DEFINITION_SHAPE('',$,#50122); #49968=PRODUCT_DEFINITION_SHAPE('',$,#50123); #49969=PRODUCT_DEFINITION_SHAPE('',$,#50124); #49970=PRODUCT_DEFINITION_SHAPE('',$,#50125); #49971=PRODUCT_DEFINITION_SHAPE('',$,#50126); #49972=PRODUCT_DEFINITION_SHAPE('',$,#50127); #49973=PRODUCT_DEFINITION_SHAPE('',$,#50128); #49974=PRODUCT_DEFINITION_SHAPE('',$,#50129); #49975=PRODUCT_DEFINITION_SHAPE('',$,#50130); #49976=PRODUCT_DEFINITION_SHAPE('',$,#50131); #49977=PRODUCT_DEFINITION_SHAPE('',$,#50132); #49978=PRODUCT_DEFINITION_SHAPE('',$,#50133); #49979=PRODUCT_DEFINITION_SHAPE('',$,#50134); #49980=PRODUCT_DEFINITION_SHAPE('',$,#50135); #49981=PRODUCT_DEFINITION_SHAPE('',$,#50136); #49982=PRODUCT_DEFINITION_SHAPE('',$,#50137); #49983=PRODUCT_DEFINITION_SHAPE('',$,#50138); #49984=PRODUCT_DEFINITION_SHAPE('',$,#50139); #49985=PRODUCT_DEFINITION_SHAPE('',$,#50140); #49986=PRODUCT_DEFINITION_SHAPE('',$,#50141); #49987=PRODUCT_DEFINITION_SHAPE('',$,#50142); #49988=PRODUCT_DEFINITION_SHAPE('',$,#50143); #49989=PRODUCT_DEFINITION_SHAPE('',$,#50144); #49990=PRODUCT_DEFINITION_SHAPE('',$,#50145); #49991=PRODUCT_DEFINITION_SHAPE('',$,#50146); #49992=PRODUCT_DEFINITION_SHAPE($,$,#299); #49993=PRODUCT_DEFINITION_SHAPE($,$,#300); #49994=PRODUCT_DEFINITION_SHAPE($,$,#301); #49995=PRODUCT_DEFINITION_SHAPE($,$,#302); #49996=PRODUCT_DEFINITION_SHAPE($,$,#303); #49997=PRODUCT_DEFINITION_SHAPE($,$,#304); #49998=PRODUCT_DEFINITION_SHAPE($,$,#305); #49999=PRODUCT_DEFINITION_SHAPE($,$,#306); #50000=PRODUCT_DEFINITION_SHAPE($,$,#307); #50001=PRODUCT_DEFINITION_SHAPE($,$,#308); #50002=PRODUCT_DEFINITION_SHAPE($,$,#309); #50003=PRODUCT_DEFINITION_SHAPE($,$,#310); #50004=PRODUCT_DEFINITION_SHAPE($,$,#311); #50005=PRODUCT_DEFINITION_SHAPE($,$,#312); #50006=PRODUCT_DEFINITION_SHAPE($,$,#313); #50007=PRODUCT_DEFINITION_SHAPE($,$,#314); #50008=PRODUCT_DEFINITION_SHAPE($,$,#315); #50009=PRODUCT_DEFINITION_SHAPE($,$,#316); #50010=PRODUCT_DEFINITION_SHAPE($,$,#317); #50011=PRODUCT_DEFINITION_SHAPE($,$,#318); #50012=PRODUCT_DEFINITION_SHAPE($,$,#319); #50013=PRODUCT_DEFINITION_SHAPE($,$,#320); #50014=PRODUCT_DEFINITION_SHAPE($,$,#321); #50015=PRODUCT_DEFINITION_SHAPE($,$,#322); #50016=PRODUCT_DEFINITION_SHAPE($,$,#323); #50017=PRODUCT_DEFINITION_SHAPE($,$,#324); #50018=PRODUCT_DEFINITION_SHAPE($,$,#325); #50019=PRODUCT_DEFINITION_SHAPE($,$,#326); #50020=PRODUCT_DEFINITION_SHAPE($,$,#327); #50021=PRODUCT_DEFINITION_SHAPE($,$,#328); #50022=PRODUCT_DEFINITION_SHAPE($,$,#329); #50023=PRODUCT_DEFINITION_SHAPE($,$,#330); #50024=PRODUCT_DEFINITION_SHAPE($,$,#331); #50025=PRODUCT_DEFINITION_SHAPE($,$,#332); #50026=PRODUCT_DEFINITION_SHAPE($,$,#333); #50027=PRODUCT_DEFINITION_SHAPE($,$,#334); #50028=PRODUCT_DEFINITION_SHAPE($,$,#335); #50029=PRODUCT_DEFINITION_SHAPE($,$,#336); #50030=PRODUCT_DEFINITION_SHAPE($,$,#337); #50031=PRODUCT_DEFINITION_SHAPE($,$,#338); #50032=PRODUCT_DEFINITION_SHAPE($,$,#339); #50033=PRODUCT_DEFINITION_SHAPE($,$,#340); #50034=PRODUCT_DEFINITION_SHAPE($,$,#341); #50035=PRODUCT_DEFINITION_SHAPE($,$,#342); #50036=PRODUCT_DEFINITION_SHAPE($,$,#343); #50037=PRODUCT_DEFINITION_SHAPE($,$,#344); #50038=PRODUCT_DEFINITION_SHAPE($,$,#345); #50039=PRODUCT_DEFINITION_SHAPE($,$,#346); #50040=PRODUCT_DEFINITION_SHAPE($,$,#347); #50041=PRODUCT_DEFINITION_SHAPE($,$,#348); #50042=PRODUCT_DEFINITION_SHAPE($,$,#349); #50043=PRODUCT_DEFINITION_SHAPE($,$,#350); #50044=PRODUCT_DEFINITION_SHAPE($,$,#351); #50045=PRODUCT_DEFINITION_SHAPE($,$,#352); #50046=PRODUCT_DEFINITION_SHAPE($,$,#353); #50047=PRODUCT_DEFINITION_SHAPE($,$,#354); #50048=PRODUCT_DEFINITION_SHAPE($,$,#355); #50049=PRODUCT_DEFINITION_SHAPE($,$,#356); #50050=PRODUCT_DEFINITION_SHAPE($,$,#357); #50051=PRODUCT_DEFINITION_SHAPE($,$,#358); #50052=PRODUCT_DEFINITION_SHAPE($,$,#359); #50053=PRODUCT_DEFINITION_SHAPE($,$,#360); #50054=PRODUCT_DEFINITION_SHAPE($,$,#361); #50055=PRODUCT_DEFINITION_SHAPE($,$,#362); #50056=PRODUCT_DEFINITION_SHAPE($,$,#363); #50057=PRODUCT_DEFINITION_SHAPE($,$,#364); #50058=PRODUCT_DEFINITION_SHAPE($,$,#365); #50059=PRODUCT_DEFINITION_SHAPE($,$,#366); #50060=PRODUCT_DEFINITION_SHAPE($,$,#367); #50061=PRODUCT_DEFINITION_SHAPE($,$,#368); #50062=PRODUCT_DEFINITION_SHAPE($,$,#369); #50063=PRODUCT_DEFINITION_SHAPE($,$,#370); #50064=PRODUCT_DEFINITION_SHAPE($,$,#371); #50065=PRODUCT_DEFINITION_SHAPE($,$,#372); #50066=PRODUCT_DEFINITION_SHAPE($,$,#373); #50067=PRODUCT_DEFINITION_SHAPE($,$,#374); #50068=PRODUCT_DEFINITION_SHAPE($,$,#375); #50069=PRODUCT_DEFINITION_SHAPE($,$,#376); #50070=PRODUCT_DEFINITION_SHAPE($,$,#377); #50071=PRODUCT_DEFINITION_SHAPE($,$,#378); #50072=PRODUCT_DEFINITION_SHAPE($,$,#379); #50073=PRODUCT_DEFINITION_SHAPE($,$,#380); #50074=PRODUCT_DEFINITION_SHAPE($,$,#381); #50075=PRODUCT_DEFINITION_SHAPE($,$,#382); #50076=PRODUCT_DEFINITION_SHAPE($,$,#383); #50077=PRODUCT_DEFINITION_SHAPE($,$,#384); #50078=PRODUCT_DEFINITION_SHAPE($,$,#385); #50079=PRODUCT_DEFINITION_SHAPE($,$,#386); #50080=PRODUCT_DEFINITION_SHAPE($,$,#387); #50081=PRODUCT_DEFINITION_SHAPE($,$,#388); #50082=PRODUCT_DEFINITION_SHAPE($,$,#389); #50083=PRODUCT_DEFINITION_SHAPE($,$,#390); #50084=PRODUCT_DEFINITION_SHAPE($,$,#391); #50085=PRODUCT_DEFINITION_SHAPE($,$,#392); #50086=PRODUCT_DEFINITION_SHAPE($,$,#393); #50087=PRODUCT_DEFINITION_SHAPE($,$,#394); #50088=SHAPE_REPRESENTATION('',(#30110,#32587,#32588,#32589,#32590,#32591, #32592,#32593,#32594,#32595,#32596,#32597,#32598,#32599,#32600,#32601,#32602, #32603,#32604,#32605,#32606,#32607,#32608,#32609,#32610,#32611,#32612,#32613, #32614,#32615,#32616,#32617,#32618,#32619,#32620,#32621,#32622,#32623,#32624, #32625,#32626,#32627,#32628,#32629,#32630,#32631,#32632,#32633,#32634,#32635, #32636,#32637,#32638,#32639,#32640,#32641,#32642,#32643,#32644,#32645,#32646, #32647,#32648,#32649,#32650,#32651,#32652,#32653,#32654,#32655,#32656,#32657, #32658,#32659,#32660,#32661,#32662,#32663,#32664,#32665,#32666,#32667,#32668, #32669,#32670,#32671,#32672,#32673,#32674,#32675,#32676,#32677,#32678,#32679, #32680,#32681,#32682),#49900); #50089=SHAPE_REPRESENTATION('',(#30111),#49901); #50090=SHAPE_REPRESENTATION('',(#30235),#49902); #50091=SHAPE_REPRESENTATION('',(#30278),#49903); #50092=SHAPE_REPRESENTATION('',(#30515),#49904); #50093=SHAPE_REPRESENTATION('',(#30542),#49905); #50094=SHAPE_REPRESENTATION('',(#30956),#49906); #50095=SHAPE_REPRESENTATION('',(#30967),#49907); #50096=SHAPE_REPRESENTATION('',(#31025),#49908); #50097=SHAPE_REPRESENTATION('',(#31053),#49909); #50098=SHAPE_REPRESENTATION('',(#31081),#49910); #50099=SHAPE_REPRESENTATION('',(#31104),#49911); #50100=SHAPE_REPRESENTATION('',(#31166),#49912); #50101=SHAPE_REPRESENTATION('',(#31228),#49913); #50102=SHAPE_REPRESENTATION('',(#31359),#49914); #50103=SHAPE_REPRESENTATION('',(#31387),#49915); #50104=SHAPE_REPRESENTATION('',(#31540),#49916); #50105=SHAPE_REPRESENTATION('',(#31556),#49917); #50106=SHAPE_REPRESENTATION('',(#31565),#49918); #50107=SHAPE_REPRESENTATION('',(#31593),#49919); #50108=SHAPE_REPRESENTATION('',(#31646),#49920); #50109=SHAPE_REPRESENTATION('',(#31657),#49921); #50110=SHAPE_REPRESENTATION('',(#31687),#49922); #50111=SHAPE_REPRESENTATION('',(#31727),#49923); #50112=SHAPE_REPRESENTATION('',(#31767),#49924); #50113=SHAPE_REPRESENTATION('',(#31795),#49925); #50114=SHAPE_REPRESENTATION('',(#31924),#49926); #50115=SHAPE_REPRESENTATION('',(#31964),#49927); #50116=SHAPE_REPRESENTATION('',(#32559),#49928); #50117=PRODUCT_DEFINITION_CONTEXT('part definition',#50206,'design'); #50118=PRODUCT_DEFINITION('MTW_1-00013795','MTW_1-00013795',#50147,#50117); #50119=PRODUCT_DEFINITION('MTW_1-00013558','MTW_1-00013558',#50148,#50117); #50120=PRODUCT_DEFINITION('29-0743-0FZ.000','00046668',#50149,#50117); #50121=PRODUCT_DEFINITION('leer','00001856',#50150,#50117); #50122=PRODUCT_DEFINITION('leer_1','00025792',#50151,#50117); #50123=PRODUCT_DEFINITION('leer_2','00279838',#50152,#50117); #50124=PRODUCT_DEFINITION('leer_3','00028726',#50153,#50117); #50125=PRODUCT_DEFINITION('29-1721-0FZ.001','00047041',#50154,#50117); #50126=PRODUCT_DEFINITION('leer_4','00000257',#50155,#50117); #50127=PRODUCT_DEFINITION('leer_5','00000085',#50156,#50117); #50128=PRODUCT_DEFINITION('21-1029-1FZ.001','00000697',#50157,#50117); #50129=PRODUCT_DEFINITION('MTW_1-00013512','MTW_1-00013512',#50158,#50117); #50130=PRODUCT_DEFINITION('MTW_1-00013504','MTW_1-00013504',#50159,#50117); #50131=PRODUCT_DEFINITION('MTW_1-00013556','MTW_1-00013556',#50160,#50117); #50132=PRODUCT_DEFINITION('leer_6','00000142',#50161,#50117); #50133=PRODUCT_DEFINITION('MTW_1-00013528','MTW_1-00013528',#50162,#50117); #50134=PRODUCT_DEFINITION('21-1351-0FZ.004','00002968',#50163,#50117); #50135=PRODUCT_DEFINITION('leer_7','00000920',#50164,#50117); #50136=PRODUCT_DEFINITION('leer_8','00025750',#50165,#50117); #50137=PRODUCT_DEFINITION('Shoulder screw ISO 7379-10-M8-20', 'MTW_1-00012776',#50166,#50117); #50138=PRODUCT_DEFINITION('leer_9','00000169',#50167,#50117); #50139=PRODUCT_DEFINITION('29-1391-0FZ.001','00170035',#50168,#50117); #50140=PRODUCT_DEFINITION('MTW_1-00013496','MTW_1-00013496',#50169,#50117); #50141=PRODUCT_DEFINITION('MTW_1-00013495','MTW_1-00013495',#50170,#50117); #50142=PRODUCT_DEFINITION('leer_10','00000057',#50171,#50117); #50143=PRODUCT_DEFINITION('MTW_1-00126777','MTW_1-00126777',#50172,#50117); #50144=PRODUCT_DEFINITION('MTW_1-00013494','MTW_1-00013494',#50173,#50117); #50145=PRODUCT_DEFINITION('29-1483-0','MTW_1-00026280',#50174,#50117); #50146=PRODUCT_DEFINITION('leer_11','00000035',#50175,#50117); #50147=PRODUCT_DEFINITION_FORMATION('-',$,#50208); #50148=PRODUCT_DEFINITION_FORMATION('-',$,#50209); #50149=PRODUCT_DEFINITION_FORMATION('',$,#50210); #50150=PRODUCT_DEFINITION_FORMATION('',$,#50211); #50151=PRODUCT_DEFINITION_FORMATION('',$,#50212); #50152=PRODUCT_DEFINITION_FORMATION('32LAST_VERSION',$,#50213); #50153=PRODUCT_DEFINITION_FORMATION('',$,#50214); #50154=PRODUCT_DEFINITION_FORMATION('C',$,#50215); #50155=PRODUCT_DEFINITION_FORMATION('',$,#50216); #50156=PRODUCT_DEFINITION_FORMATION('01',$,#50217); #50157=PRODUCT_DEFINITION_FORMATION('',$,#50218); #50158=PRODUCT_DEFINITION_FORMATION('-',$,#50219); #50159=PRODUCT_DEFINITION_FORMATION('-',$,#50220); #50160=PRODUCT_DEFINITION_FORMATION('-',$,#50221); #50161=PRODUCT_DEFINITION_FORMATION('',$,#50222); #50162=PRODUCT_DEFINITION_FORMATION('-',$,#50223); #50163=PRODUCT_DEFINITION_FORMATION('',$,#50224); #50164=PRODUCT_DEFINITION_FORMATION('',$,#50225); #50165=PRODUCT_DEFINITION_FORMATION('',$,#50226); #50166=PRODUCT_DEFINITION_FORMATION('-',$,#50227); #50167=PRODUCT_DEFINITION_FORMATION('',$,#50228); #50168=PRODUCT_DEFINITION_FORMATION('',$,#50229); #50169=PRODUCT_DEFINITION_FORMATION('-',$,#50230); #50170=PRODUCT_DEFINITION_FORMATION('-',$,#50231); #50171=PRODUCT_DEFINITION_FORMATION('',$,#50232); #50172=PRODUCT_DEFINITION_FORMATION('-',$,#50233); #50173=PRODUCT_DEFINITION_FORMATION('-',$,#50234); #50174=PRODUCT_DEFINITION_FORMATION('-',$,#50235); #50175=PRODUCT_DEFINITION_FORMATION('',$,#50236); #50176=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013795', 'MTW_1-00013795',(#50208)); #50177=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013558', 'MTW_1-00013558',(#50209)); #50178=PRODUCT_RELATED_PRODUCT_CATEGORY('00046668','00046668',(#50210)); #50179=PRODUCT_RELATED_PRODUCT_CATEGORY('00001856','00001856',(#50211)); #50180=PRODUCT_RELATED_PRODUCT_CATEGORY('00025792','00025792',(#50212)); #50181=PRODUCT_RELATED_PRODUCT_CATEGORY('00279838','00279838',(#50213)); #50182=PRODUCT_RELATED_PRODUCT_CATEGORY('00028726','00028726',(#50214)); #50183=PRODUCT_RELATED_PRODUCT_CATEGORY('00047041','00047041',(#50215)); #50184=PRODUCT_RELATED_PRODUCT_CATEGORY('00000257','00000257',(#50216)); #50185=PRODUCT_RELATED_PRODUCT_CATEGORY('00000085','00000085',(#50217)); #50186=PRODUCT_RELATED_PRODUCT_CATEGORY('00000697','00000697',(#50218)); #50187=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013512', 'MTW_1-00013512',(#50219)); #50188=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013504', 'MTW_1-00013504',(#50220)); #50189=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013556', 'MTW_1-00013556',(#50221)); #50190=PRODUCT_RELATED_PRODUCT_CATEGORY('00000142','00000142',(#50222)); #50191=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013528', 'MTW_1-00013528',(#50223)); #50192=PRODUCT_RELATED_PRODUCT_CATEGORY('00002968','00002968',(#50224)); #50193=PRODUCT_RELATED_PRODUCT_CATEGORY('00000920','00000920',(#50225)); #50194=PRODUCT_RELATED_PRODUCT_CATEGORY('00025750','00025750',(#50226)); #50195=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00012776', 'MTW_1-00012776',(#50227)); #50196=PRODUCT_RELATED_PRODUCT_CATEGORY('00000169','00000169',(#50228)); #50197=PRODUCT_RELATED_PRODUCT_CATEGORY('00170035','00170035',(#50229)); #50198=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013496', 'MTW_1-00013496',(#50230)); #50199=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013495', 'MTW_1-00013495',(#50231)); #50200=PRODUCT_RELATED_PRODUCT_CATEGORY('00000057','00000057',(#50232)); #50201=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00126777', 'MTW_1-00126777',(#50233)); #50202=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00013494', 'MTW_1-00013494',(#50234)); #50203=PRODUCT_RELATED_PRODUCT_CATEGORY('MTW_1-00026280', 'MTW_1-00026280',(#50235)); #50204=PRODUCT_RELATED_PRODUCT_CATEGORY('00000035','00000035',(#50236)); #50205=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#50206); #50206=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #50207=PRODUCT_CONTEXT('part definition',#50206,'mechanical'); #50208=PRODUCT('MTW_1-00013795','MTW_1-00013795','ohne Motor',(#50207)); #50209=PRODUCT('MTW_1-00013558','MTW_1-00013558','GKF',(#50207)); #50210=PRODUCT('29-0743-0FZ.000','00046668', 'Lagerflansch mit Bohrung GKF',(#50207)); #50211=PRODUCT('leer','00001856','Pendelkugellager',(#50207)); #50212=PRODUCT('leer_1','00025792', 'Sicherungsringe f\X\FCr Wellen DIN 471 - 30x1.5 rostfrei',(#50207)); #50213=PRODUCT('leer_2','00279838','Art. 12355',(#50207)); #50214=PRODUCT('leer_3','00028726',$,(#50207)); #50215=PRODUCT('29-1721-0FZ.001','00047041','GKF 314',(#50207)); #50216=PRODUCT('leer_4','00000257', 'Zylinderkopfschraube DIN 7984 - M5 x 12',(#50207)); #50217=PRODUCT('leer_5','00000085',$,(#50207)); #50218=PRODUCT('21-1029-1FZ.001','00000697','Klemmplatte 90',(#50207)); #50219=PRODUCT('MTW_1-00013512','MTW_1-00013512',$,(#50207)); #50220=PRODUCT('MTW_1-00013504','MTW_1-00013504',$,(#50207)); #50221=PRODUCT('MTW_1-00013556','MTW_1-00013556','GKF 314',(#50207)); #50222=PRODUCT('leer_6','00000142', 'Zylinderkopfschraube DIN 7984 - M8 x 35',(#50207)); #50223=PRODUCT('MTW_1-00013528','MTW_1-00013528','GKF',(#50207)); #50224=PRODUCT('21-1351-0FZ.004','00002968', 'Gleitmutter M05x13 verzinkt',(#50207)); #50225=PRODUCT('leer_7','00000920',$,(#50207)); #50226=PRODUCT('leer_8','00025750', 'Zylinderkopfschraube DIN 7984 - M5 x 30',(#50207)); #50227=PRODUCT('Shoulder screw ISO 7379-10-M8-20','MTW_1-00012776', 'ISO 7379',(#50207)); #50228=PRODUCT('leer_9','00000169',$,(#50207)); #50229=PRODUCT('29-1391-0FZ.001','00170035', 'Tr\X\E4gerstange GKF L = 105 mm',(#50207)); #50230=PRODUCT('MTW_1-00013496','MTW_1-00013496',$,(#50207)); #50231=PRODUCT('MTW_1-00013495','MTW_1-00013495',$,(#50207)); #50232=PRODUCT('leer_10','00000057',$,(#50207)); #50233=PRODUCT('MTW_1-00126777','MTW_1-00126777',$,(#50207)); #50234=PRODUCT('MTW_1-00013494','MTW_1-00013494',$,(#50207)); #50235=PRODUCT('29-1483-0','MTW_1-00026280','3D-Druckteil',(#50207)); #50236=PRODUCT('leer_11','00000035', 'Zylinderkopfschraube DIN 7984 - M6 x 12',(#50207)); ENDSEC; END-ISO-10303-21;